Skip to content

Commit

Permalink
[rtl] Fix non-DSP reset in ibex_counter
Browse files Browse the repository at this point in the history
When targeting Xilinx FPGAs, we utilize a DSP for counters
with a width of less than 49-bit. In this case, a sync. reset
is needed. However, currently, there is a bug in the RTL
where also a sync. reset is used for the non-DSP counters
on the FPGA.

Signed-off-by: Pascal Nasahl <[email protected]>
  • Loading branch information
nasahlpa authored and vogelpi committed Dec 6, 2024
1 parent 0945aa8 commit 667fd20
Showing 1 changed file with 25 additions and 17 deletions.
42 changes: 25 additions & 17 deletions rtl/ibex_counter.sv
Original file line number Diff line number Diff line change
Expand Up @@ -51,27 +51,38 @@ module ibex_counter #(
end

`ifdef FPGA_XILINX
// Set DSP pragma for supported xilinx FPGAs
localparam int DspPragma = CounterWidth < 49 ? "yes" : "no";
(* use_dsp = DspPragma *) logic [CounterWidth-1:0] counter_q;

// DSP output register requires synchronous reset.
`define COUNTER_FLOP_RST posedge clk_i
// On Xilinx FPGAs, 48-bit DSPs are available that can be used for the
// counter. Hence, use Xilinx specific flop implementation. The datatype for
// UseDsp is on purpose int as with string Xilinx throws an error for the
// use_dsp pragma.
localparam int UseDsp = CounterWidth < 49 ? "yes" : "no";
(* use_dsp = UseDsp *) logic [CounterWidth-1:0] counter_q;
`else
localparam int UseDsp = "no";
logic [CounterWidth-1:0] counter_q;

`define COUNTER_FLOP_RST posedge clk_i or negedge rst_ni
`endif

// Counter flop
always_ff @(`COUNTER_FLOP_RST) begin
if (!rst_ni) begin
counter_q <= '0;
end else begin
counter_q <= counter_d;
if (UseDsp == "yes") begin : g_cnt_dsp
// Use sync. reset for DSP.
always_ff @(posedge clk_i) begin
if (!rst_ni) begin
counter_q <= '0;
end else begin
counter_q <= counter_d;
end
end
end else begin : g_cnt_no_dsp
// Use async. reset for flop.
always_ff @(posedge clk_i or negedge rst_ni) begin
if (!rst_ni) begin
counter_q <= '0;
end else begin
counter_q <= counter_d;
end
end
end


if (CounterWidth < 64) begin : g_counter_narrow
logic [63:CounterWidth] unused_counter_load;

Expand All @@ -98,6 +109,3 @@ module ibex_counter #(
assign counter_val_o = counter;

endmodule

// Keep helper defines file-local.
`undef COUNTER_FLOP_RST

0 comments on commit 667fd20

Please sign in to comment.