Skip to content
This repository has been archived by the owner on Jul 3, 2018. It is now read-only.

Commit

Permalink
Merge branch 'master' of github.com:dinyar/uGMTfirmware
Browse files Browse the repository at this point in the history
  • Loading branch information
dinyar committed May 24, 2016
2 parents f67b2b8 + 0d44412 commit f3aa6b5
Show file tree
Hide file tree
Showing 27 changed files with 171 additions and 171 deletions.
10 changes: 5 additions & 5 deletions uGMT_algos/addr_table/cancel_out_bo.xml
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
<node description="Collections of LUTs for cancel-out between BMTF and OMTF." fwinfo="endpoint">
<node id="cancel_out_bo_0" address="0x0" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_1" address="0x4800" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_2" address="0x9000" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_3" address="0xD800" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_4" address="0x12000" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_5" address="0x16800" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_1" address="0x8000" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_2" address="0x10000" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_3" address="0x18000" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_4" address="0x20000" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
<node id="cancel_out_bo_5" address="0x28000" module="file://cancel_out_bo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with four BMTF wedges."/>
</node>
6 changes: 3 additions & 3 deletions uGMT_algos/addr_table/cancel_out_bo_wedge.xml
Original file line number Diff line number Diff line change
@@ -1,6 +1,6 @@
<node description="Collection of LUTs to compare one OMTF sector with four BMTF wedges." fwinfo="endpoint">
<node id="cancel_out_mems_0" address="0x0" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_1" address="0x1200" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_2" address="0x2400" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_3" address="0x3600" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_1" address="0x2000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_2" address="0x4000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_3" address="0x6000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
</node>
10 changes: 5 additions & 5 deletions uGMT_algos/addr_table/cancel_out_eo.xml
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
<node description="Collections of LUTs for cancel-out between EMTF and OMTF." fwinfo="endpoint">
<node id="cancel_out_eo_0" address="0x0" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_1" address="0x3600" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_2" address="0x6C00" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_3" address="0xA200" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_4" address="0xD800" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_5" address="0x10E00" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_1" address="0x8000" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_2" address="0x10000" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_3" address="0x18000" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_4" address="0x20000" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
<node id="cancel_out_eo_5" address="0x28000" module="file://cancel_out_eo_wedge.xml" description="Collection of LUTs to compare one OMTF sector with three EMTF sectors."/>
</node>
4 changes: 2 additions & 2 deletions uGMT_algos/addr_table/cancel_out_eo_wedge.xml
Original file line number Diff line number Diff line change
@@ -1,5 +1,5 @@
<node description="Collection of LUTs to compare one OMTF sector with three EMTF sectors." fwinfo="endpoint">
<node id="cancel_out_mems_0" address="0x0" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_1" address="0x1200" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_2" address="0x2400" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_1" address="0x2000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_2" address="0x4000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
</node>
10 changes: 5 additions & 5 deletions uGMT_algos/addr_table/cancel_out_half_sorters.xml
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
<node description="Collection of LUTs to compare each EMTF or OMTF sector with its neighbour." fwinfo="endpoint">
<node id="cancel_out_mems_0" address="0x0" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_1" address="0x1200" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_2" address="0x2400" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_3" address="0x3600" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_4" address="0x4800" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_5" address="0x5A00" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_1" address="0x2000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_2" address="0x4000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_3" address="0x6000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_4" address="0x8000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
<node id="cancel_out_mems_5" address="0xA000" module="file://cancel_out_mems.xml" description="Cancel-out LUTs."/>
</node>
26 changes: 13 additions & 13 deletions uGMT_algos/addr_table/sorting.xml
Original file line number Diff line number Diff line change
@@ -1,16 +1,16 @@
<node description="Sorting and cancel-out algorithm LUTs" fwinfo="endpoint">
<node id="cou_bo_pos" address="0x0" module="file://cancel_out_bo.xml" description="LUTs containing match qualities for BMTF-OMTF matching on positive side." parameters="content=BOPosMatchQual" />
<node id="cou_bo_neg" address="0x20000" module="file://cancel_out_bo.xml" description="LUTs containing match qualities for BMTF-OMTF matching on negative side." parameters="content=BONegMatchQual" />
<node id="cou_eo_pos" address="0x40000" module="file://cancel_out_eo.xml" description="LUTs containing match qualities for EMTF-OMTF matching on positive side." parameters="content=EOPosMatchQual" />
<node id="cou_eo_neg" address="0x60000" module="file://cancel_out_eo.xml" description="LUTs containing match qualities for EMTF-OMTF matching on negative side." parameters="content=EONegMatchQual" />
<node id="cou_omtf_pos" address="0x80000" module="file://cancel_out_half_sorters.xml" description="LUTs containing match qualities for matching within the OMTF region on positive side." parameters="content=OmtfPosSingleMatchQual" />
<node id="cou_omtf_neg" address="0x90000" module="file://cancel_out_half_sorters.xml" description="LUTs containing match qualities for matching within the OMTF region on negative side." parameters="content=OmtfNegSingleMatchQual" />
<node id="cou_emtf_pos" address="0xA0000" module="file://cancel_out_half_sorters.xml" description="LUTs containing match qualities for matching within the EMTF region on positive side." parameters="content=EmtfPosSingleMatchQual" />
<node id="cou_emtf_neg" address="0xB0000" module="file://cancel_out_half_sorters.xml" description="LUTs containing match qualities for matching within the EMTF region on negative side." parameters="content=EmtfNegSingleMatchQual" />
<node id="muon_counter_BMTF" address="0xC0000" description="Counter for barrel sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_OMTFp" address="0xC0001" description="Counter for positive overlap sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_OMTFn" address="0xC0002" description="Counter for negative overlap sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_EMTFp" address="0xC0003" description="Counter for positive endcap sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_EMTFn" address="0xC0004" description="Counter for negative endcap sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_output" address="0xC0005" description="Counter for final sorter output" fwinfo="endpoint;width=0" />
<node id="cou_bo_neg" address="0x40000" module="file://cancel_out_bo.xml" description="LUTs containing match qualities for BMTF-OMTF matching on negative side." parameters="content=BONegMatchQual" />
<node id="cou_eo_pos" address="0x80000" module="file://cancel_out_eo.xml" description="LUTs containing match qualities for EMTF-OMTF matching on positive side." parameters="content=EOPosMatchQual" />
<node id="cou_eo_neg" address="0xC0000" module="file://cancel_out_eo.xml" description="LUTs containing match qualities for EMTF-OMTF matching on negative side." parameters="content=EONegMatchQual" />
<node id="cou_omtf_pos" address="0x100000" module="file://cancel_out_half_sorters.xml" description="LUTs containing match qualities for matching within the OMTF region on positive side." parameters="content=OmtfPosSingleMatchQual" />
<node id="cou_omtf_neg" address="0x110000" module="file://cancel_out_half_sorters.xml" description="LUTs containing match qualities for matching within the OMTF region on negative side." parameters="content=OmtfNegSingleMatchQual" />
<node id="cou_emtf_pos" address="0x120000" module="file://cancel_out_half_sorters.xml" description="LUTs containing match qualities for matching within the EMTF region on positive side." parameters="content=EmtfPosSingleMatchQual" />
<node id="cou_emtf_neg" address="0x130000" module="file://cancel_out_half_sorters.xml" description="LUTs containing match qualities for matching within the EMTF region on negative side." parameters="content=EmtfNegSingleMatchQual" />
<node id="muon_counter_BMTF" address="0x140000" description="Counter for barrel sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_OMTFp" address="0x140001" description="Counter for positive overlap sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_OMTFn" address="0x140002" description="Counter for negative overlap sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_EMTFp" address="0x140003" description="Counter for positive endcap sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_EMTFn" address="0x140004" description="Counter for negative endcap sorter output" fwinfo="endpoint;width=0" />
<node id="muon_counter_output" address="0x140005" description="Counter for final sorter output" fwinfo="endpoint;width=0" />
</node>
28 changes: 14 additions & 14 deletions uGMT_algos/firmware/hdl/ipbus_decode_cancel_out_bo.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@ package ipbus_decode_cancel_out_bo is
subtype ipbus_sel_t is std_logic_vector(IPBUS_SEL_WIDTH - 1 downto 0);
function ipbus_sel_cancel_out_bo(addr : in std_logic_vector(31 downto 0)) return ipbus_sel_t;

-- START automatically generated VHDL the Wed Apr 13 14:47:31 2016
-- START automatically generated VHDL the Tue May 24 12:21:58 2016
constant N_SLV_CANCEL_OUT_BO_0: integer := 0;
constant N_SLV_CANCEL_OUT_BO_1: integer := 1;
constant N_SLV_CANCEL_OUT_BO_2: integer := 2;
Expand All @@ -36,19 +36,19 @@ package body ipbus_decode_cancel_out_bo is
variable sel: ipbus_sel_t;
begin

-- START automatically generated VHDL the Wed Apr 13 14:47:31 2016
if std_match(addr, "----------------000-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_0, IPBUS_SEL_WIDTH)); -- cancel_out_bo_0 / base 0x00000000 / mask 0x0000e000
elsif std_match(addr, "----------------001-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_1, IPBUS_SEL_WIDTH)); -- cancel_out_bo_1 / base 0x00002000 / mask 0x0000e000
elsif std_match(addr, "----------------010-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_2, IPBUS_SEL_WIDTH)); -- cancel_out_bo_2 / base 0x00004000 / mask 0x0000e000
elsif std_match(addr, "----------------011-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_3, IPBUS_SEL_WIDTH)); -- cancel_out_bo_3 / base 0x00006000 / mask 0x0000e000
elsif std_match(addr, "----------------100-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_4, IPBUS_SEL_WIDTH)); -- cancel_out_bo_4 / base 0x00008000 / mask 0x0000e000
elsif std_match(addr, "----------------101-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_5, IPBUS_SEL_WIDTH)); -- cancel_out_bo_5 / base 0x0000a000 / mask 0x0000e000
-- START automatically generated VHDL the Tue May 24 12:21:58 2016
if std_match(addr, "--------------000---------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_0, IPBUS_SEL_WIDTH)); -- cancel_out_bo_0 / base 0x00000000 / mask 0x00038000
elsif std_match(addr, "--------------001---------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_1, IPBUS_SEL_WIDTH)); -- cancel_out_bo_1 / base 0x00008000 / mask 0x00038000
elsif std_match(addr, "--------------010---------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_2, IPBUS_SEL_WIDTH)); -- cancel_out_bo_2 / base 0x00010000 / mask 0x00038000
elsif std_match(addr, "--------------011---------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_3, IPBUS_SEL_WIDTH)); -- cancel_out_bo_3 / base 0x00018000 / mask 0x00038000
elsif std_match(addr, "--------------100---------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_4, IPBUS_SEL_WIDTH)); -- cancel_out_bo_4 / base 0x00020000 / mask 0x00038000
elsif std_match(addr, "--------------101---------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_BO_5, IPBUS_SEL_WIDTH)); -- cancel_out_bo_5 / base 0x00028000 / mask 0x00038000
-- END automatically generated VHDL

else
Expand Down
20 changes: 10 additions & 10 deletions uGMT_algos/firmware/hdl/ipbus_decode_cancel_out_bo_wedge.vhd
Original file line number Diff line number Diff line change
Expand Up @@ -17,7 +17,7 @@ package ipbus_decode_cancel_out_bo_wedge is
subtype ipbus_sel_t is std_logic_vector(IPBUS_SEL_WIDTH - 1 downto 0);
function ipbus_sel_cancel_out_bo_wedge(addr : in std_logic_vector(31 downto 0)) return ipbus_sel_t;

-- START automatically generated VHDL the Wed Apr 13 14:47:31 2016
-- START automatically generated VHDL the Tue May 24 12:21:57 2016
constant N_SLV_CANCEL_OUT_MEMS_0: integer := 0;
constant N_SLV_CANCEL_OUT_MEMS_1: integer := 1;
constant N_SLV_CANCEL_OUT_MEMS_2: integer := 2;
Expand All @@ -34,15 +34,15 @@ package body ipbus_decode_cancel_out_bo_wedge is
variable sel: ipbus_sel_t;
begin

-- START automatically generated VHDL the Wed Apr 13 14:47:31 2016
if std_match(addr, "-------------------00-----------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_MEMS_0, IPBUS_SEL_WIDTH)); -- cancel_out_mems_0 / base 0x00000000 / mask 0x00001800
elsif std_match(addr, "-------------------01-----------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_MEMS_1, IPBUS_SEL_WIDTH)); -- cancel_out_mems_1 / base 0x00000800 / mask 0x00001800
elsif std_match(addr, "-------------------10-----------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_MEMS_2, IPBUS_SEL_WIDTH)); -- cancel_out_mems_2 / base 0x00001000 / mask 0x00001800
elsif std_match(addr, "-------------------11-----------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_MEMS_3, IPBUS_SEL_WIDTH)); -- cancel_out_mems_3 / base 0x00001800 / mask 0x00001800
-- START automatically generated VHDL the Tue May 24 12:21:57 2016
if std_match(addr, "-----------------00-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_MEMS_0, IPBUS_SEL_WIDTH)); -- cancel_out_mems_0 / base 0x00000000 / mask 0x00006000
elsif std_match(addr, "-----------------01-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_MEMS_1, IPBUS_SEL_WIDTH)); -- cancel_out_mems_1 / base 0x00002000 / mask 0x00006000
elsif std_match(addr, "-----------------10-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_MEMS_2, IPBUS_SEL_WIDTH)); -- cancel_out_mems_2 / base 0x00004000 / mask 0x00006000
elsif std_match(addr, "-----------------11-------------") then
sel := ipbus_sel_t(to_unsigned(N_SLV_CANCEL_OUT_MEMS_3, IPBUS_SEL_WIDTH)); -- cancel_out_mems_3 / base 0x00006000 / mask 0x00006000
-- END automatically generated VHDL

else
Expand Down
Loading

0 comments on commit f3aa6b5

Please sign in to comment.