Skip to content

Commit

Permalink
Add support for the cmod_a7 35 board.
Browse files Browse the repository at this point in the history
Signed-off-by: Bastian Löher <[email protected]>
  • Loading branch information
bl0x committed Oct 8, 2021
1 parent 07a6353 commit 09bc45a
Show file tree
Hide file tree
Showing 3 changed files with 23 additions and 1 deletion.
6 changes: 5 additions & 1 deletion common/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -6,6 +6,10 @@ ifeq ($(TARGET),arty_35)
DEVICE := xc7a50t_test
BITSTREAM_DEVICE := artix7
PARTNAME := xc7a35tcsg324-1
else ifeq ($(TARGET),cmod_a7)
DEVICE := xc7a50t_test
BITSTREAM_DEVICE := artix7
PARTNAME := xc7a35tcpg236-1
else ifeq ($(TARGET),arty_100)
DEVICE := xc7a100t_test
BITSTREAM_DEVICE := artix7
Expand Down Expand Up @@ -68,4 +72,4 @@ ${BOARD_BUILDDIR}/${TOP}.bit: ${BOARD_BUILDDIR}/${TOP}.fasm
cd ${BOARD_BUILDDIR} && symbiflow_write_bitstream -d ${BITSTREAM_DEVICE} -f ${TOP}.fasm -p ${PARTNAME} -b ${TOP}.bit

clean:
rm -rf ${BUILDDIR}
rm -rf ${BUILDDIR}
2 changes: 2 additions & 0 deletions xc7/counter_test/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -6,6 +6,8 @@ ifeq ($(TARGET),arty_35)
XDC := ${current_dir}/arty.xdc
else ifeq ($(TARGET),arty_100)
XDC := ${current_dir}/arty.xdc
else ifeq ($(TARGET),cmod_a7)
XDC := ${current_dir}/cmod_a7.xdc
else ifeq ($(TARGET),nexys4ddr)
XDC := ${current_dir}/nexys4ddr.xdc
else ifeq ($(TARGET),zybo)
Expand Down
16 changes: 16 additions & 0 deletions xc7/counter_test/cmod_a7.xdc
Original file line number Diff line number Diff line change
@@ -0,0 +1,16 @@
# Clock pin
set_property PACKAGE_PIN L17 [get_ports {clk}]
set_property IOSTANDARD LVCMOS33 [get_ports {clk}]

# LEDs
set_property PACKAGE_PIN A17 [get_ports {led[0]}]
set_property PACKAGE_PIN C16 [get_ports {led[1]}]
set_property PACKAGE_PIN B17 [get_ports {led[2]}]
set_property PACKAGE_PIN B16 [get_ports {led[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}]

# Clock constraints (12 MHz oscillator)
create_clock -period 83.33 -waveform {0 41.66} [get_ports {clk}]

0 comments on commit 09bc45a

Please sign in to comment.