Skip to content

Commit

Permalink
Rc v1 4 (aws#413)
Browse files Browse the repository at this point in the history
* adding shell v1.4
	new file:   shell_v04261818/build/constraints/cl_clocks_aws.xdc
	new file:   shell_v04261818/build/constraints/cl_ddr.xdc
	new file:   shell_v04261818/build/constraints/cl_debug_bridge.xdc
	new file:   shell_v04261818/build/constraints/cl_synth_aws.xdc
	new file:   shell_v04261818/build/constraints/xsdbm_timing_exception.xdc
	new file:   shell_v04261818/build/scripts/apply_debug_constraints.tcl
	new file:   shell_v04261818/build/scripts/aws_build_dcp_from_cl.sh
	new file:   shell_v04261818/build/scripts/aws_clock_properties.tcl
	new file:   shell_v04261818/build/scripts/aws_dcp_verify.tcl
	new file:   shell_v04261818/build/scripts/aws_gen_clk_constraints.tcl
	new file:   shell_v04261818/build/scripts/check_uram.tcl
	new file:   shell_v04261818/build/scripts/device_type.tcl
	new file:   shell_v04261818/build/scripts/params.tcl
	new file:   shell_v04261818/build/scripts/prepare_build_environment.sh
	new file:   shell_v04261818/build/scripts/step_user.tcl
	new file:   shell_v04261818/build/scripts/strategy_BASIC.tcl
	new file:   shell_v04261818/build/scripts/strategy_CONGESTION.tcl
	new file:   shell_v04261818/build/scripts/strategy_DEFAULT.tcl
	new file:   shell_v04261818/build/scripts/strategy_EXPLORE.tcl
	new file:   shell_v04261818/build/scripts/strategy_TIMING.tcl
	new file:   shell_v04261818/build/scripts/uram_options.tcl
	new file:   shell_v04261818/build/scripts/vivado_keyfile.txt
	new file:   shell_v04261818/build/scripts/vivado_keyfile_2017_4.txt
	new file:   shell_v04261818/build/scripts/vivado_vhdl_keyfile.txt
	new file:   shell_v04261818/build/scripts/vivado_vhdl_keyfile_2017_4.txt
	new file:   shell_v04261818/design/interfaces/README.md
	new file:   shell_v04261818/design/interfaces/cl_ports.vh
	new file:   shell_v04261818/design/interfaces/unused_apppf_irq_template.inc
	new file:   shell_v04261818/design/interfaces/unused_cl_sda_template.inc
	new file:   shell_v04261818/design/interfaces/unused_ddr_a_b_d_template.inc
	new file:   shell_v04261818/design/interfaces/unused_ddr_c_template.inc
	new file:   shell_v04261818/design/interfaces/unused_dma_pcis_template.inc
	new file:   shell_v04261818/design/interfaces/unused_flr_template.inc
	new file:   shell_v04261818/design/interfaces/unused_pcim_template.inc
	new file:   shell_v04261818/design/interfaces/unused_sh_bar1_template.inc
	new file:   shell_v04261818/design/interfaces/unused_sh_ocl_template.inc
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/axi_clock_converter_0.veo
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/axi_clock_converter_0.vho
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/axi_clock_converter_0.xci
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/axi_clock_converter_0.xml
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/axi_clock_converter_0_clocks.xdc
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/axi_clock_converter_0_ooc.xdc
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/doc/axi_clock_converter_v2_1_changelog.txt
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/hdl/axi_clock_converter_v2_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/hdl/axi_infrastructure_v1_1_0.vh
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/hdl/axi_infrastructure_v1_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/hdl/fifo_generator_v13_2_rfs.v
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/hdl/fifo_generator_v13_2_rfs.vhd
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/hdl/fifo_generator_v13_2_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/sim/axi_clock_converter_0.v
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/simulation/fifo_generator_vlog_beh.v
	new file:   shell_v04261818/design/ip/axi_clock_converter_0/synth/axi_clock_converter_0.v
	new file:   shell_v04261818/design/ip/axi_register_slice/axi_register_slice.veo
	new file:   shell_v04261818/design/ip/axi_register_slice/axi_register_slice.vho
	new file:   shell_v04261818/design/ip/axi_register_slice/axi_register_slice.xci
	new file:   shell_v04261818/design/ip/axi_register_slice/axi_register_slice.xml
	new file:   shell_v04261818/design/ip/axi_register_slice/doc/axi_register_slice_v2_1_changelog.txt
	new file:   shell_v04261818/design/ip/axi_register_slice/hdl/axi_infrastructure_v1_1_0.vh
	new file:   shell_v04261818/design/ip/axi_register_slice/hdl/axi_infrastructure_v1_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/axi_register_slice/hdl/axi_register_slice_v2_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/axi_register_slice/sim/axi_register_slice.v
	new file:   shell_v04261818/design/ip/axi_register_slice/synth/axi_register_slice.v
	new file:   shell_v04261818/design/ip/axi_register_slice_light/axi_register_slice_light.veo
	new file:   shell_v04261818/design/ip/axi_register_slice_light/axi_register_slice_light.vho
	new file:   shell_v04261818/design/ip/axi_register_slice_light/axi_register_slice_light.xci
	new file:   shell_v04261818/design/ip/axi_register_slice_light/axi_register_slice_light.xml
	new file:   shell_v04261818/design/ip/axi_register_slice_light/doc/axi_register_slice_v2_1_changelog.txt
	new file:   shell_v04261818/design/ip/axi_register_slice_light/hdl/axi_infrastructure_v1_1_0.vh
	new file:   shell_v04261818/design/ip/axi_register_slice_light/hdl/axi_infrastructure_v1_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/axi_register_slice_light/hdl/axi_register_slice_v2_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/axi_register_slice_light/sim/axi_register_slice_light.v
	new file:   shell_v04261818/design/ip/axi_register_slice_light/synth/axi_register_slice_light.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/cl_axi_interconnect.bd
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/cl_axi_interconnect.bxml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/cl_axi_interconnect.dcp
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/cl_axi_interconnect_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/cl_axi_interconnect_sim_netlist.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/cl_axi_interconnect_sim_netlist.vhdl
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/cl_axi_interconnect_stub.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/cl_axi_interconnect_stub.vhdl
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/hdl/cl_axi_interconnect_wrapper.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/hw_handoff/cl_axi_interconnect.hwh
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/hw_handoff/cl_axi_interconnect_bd.tcl
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_axi_interconnect_0_0/cl_axi_interconnect_axi_interconnect_0_0.xci
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_axi_interconnect_0_0/cl_axi_interconnect_axi_interconnect_0_0.xml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m00_regslice_0/cl_axi_interconnect_m00_regslice_0.xci
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m00_regslice_0/cl_axi_interconnect_m00_regslice_0.xml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m00_regslice_0/cl_axi_interconnect_m00_regslice_0_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m00_regslice_0/sim/cl_axi_interconnect_m00_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m00_regslice_0/synth/cl_axi_interconnect_m00_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m01_regslice_0/cl_axi_interconnect_m01_regslice_0.xci
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m01_regslice_0/cl_axi_interconnect_m01_regslice_0.xml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m01_regslice_0/cl_axi_interconnect_m01_regslice_0_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m01_regslice_0/sim/cl_axi_interconnect_m01_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m01_regslice_0/synth/cl_axi_interconnect_m01_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m02_regslice_0/cl_axi_interconnect_m02_regslice_0.xci
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m02_regslice_0/cl_axi_interconnect_m02_regslice_0.xml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m02_regslice_0/cl_axi_interconnect_m02_regslice_0_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m02_regslice_0/sim/cl_axi_interconnect_m02_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m02_regslice_0/synth/cl_axi_interconnect_m02_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m03_regslice_0/cl_axi_interconnect_m03_regslice_0.xci
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m03_regslice_0/cl_axi_interconnect_m03_regslice_0.xml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m03_regslice_0/cl_axi_interconnect_m03_regslice_0_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m03_regslice_0/sim/cl_axi_interconnect_m03_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_m03_regslice_0/synth/cl_axi_interconnect_m03_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s00_regslice_0/cl_axi_interconnect_s00_regslice_0.xci
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s00_regslice_0/cl_axi_interconnect_s00_regslice_0.xml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s00_regslice_0/cl_axi_interconnect_s00_regslice_0_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s00_regslice_0/sim/cl_axi_interconnect_s00_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s00_regslice_0/synth/cl_axi_interconnect_s00_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/cl_axi_interconnect_s01_regslice_0.xci
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/cl_axi_interconnect_s01_regslice_0.xml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/cl_axi_interconnect_s01_regslice_0_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/sim/cl_axi_interconnect_s01_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_s01_regslice_0/synth/cl_axi_interconnect_s01_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_xbar_0/cl_axi_interconnect_xbar_0.xci
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_xbar_0/cl_axi_interconnect_xbar_0.xml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_xbar_0/cl_axi_interconnect_xbar_0_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_xbar_0/sim/cl_axi_interconnect_xbar_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ip/cl_axi_interconnect_xbar_0/synth/cl_axi_interconnect_xbar_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/3ed1/hdl/axi_register_slice_v2_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/5c35/hdl/fifo_generator_v13_2_rfs.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/5c35/hdl/fifo_generator_v13_2_rfs.vhd
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/5c35/hdl/fifo_generator_v13_2_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/5c35/simulation/fifo_generator_vlog_beh.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/67d8/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/9909/hdl/axi_data_fifo_v2_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/b752/hdl/generic_baseblocks_v2_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/c631/hdl/axi_crossbar_v2_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/ec67/hdl/axi_infrastructure_v1_1_0.vh
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ipshared/ec67/hdl/axi_infrastructure_v1_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/sim/cl_axi_interconnect.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/synth/cl_axi_interconnect.hwdef
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/synth/cl_axi_interconnect.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect/ui/bd_26ef0651.ui
	new file:   shell_v04261818/design/ip/cl_axi_interconnect_m00_regslice_0/cl_axi_interconnect_m00_regslice_0.xci
	new file:   shell_v04261818/design/ip/cl_axi_interconnect_m00_regslice_0/cl_axi_interconnect_m00_regslice_0.xml
	new file:   shell_v04261818/design/ip/cl_axi_interconnect_m00_regslice_0/cl_axi_interconnect_m00_regslice_0_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_axi_interconnect_m00_regslice_0/sim/cl_axi_interconnect_m00_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_axi_interconnect_m00_regslice_0/synth/cl_axi_interconnect_m00_regslice_0.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/.Xil/Vivado-60721-ip-10-206-21-243/coregen/clock_temp/clock_temp.xci
	new file:   shell_v04261818/design/ip/cl_debug_bridge/.Xil/Vivado-60721-ip-10-206-21-243/coregen/clock_temp/clock_temp.xml
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/bd_a493.bd
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/bd_a493.bxml
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/bd_a493_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/hdl/bd_a493_wrapper.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/hw_handoff/cl_debug_bridge.hwh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/hw_handoff/cl_debug_bridge_bd.tcl
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/bd_a493_xsdbm_0.xci
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/bd_a493_xsdbm_0.xml
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/bd_a493_xsdbm_0_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/constraints/xsdbm.xdc
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/fifo_generator_v13_1_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/ltlib_v1_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/ltlib_v1_0_0_lib_fn.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/ltlib_v1_0_0_ver.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_bs.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_bs_core.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_bs_core_vec.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_bs_ports.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_bs_vec.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_bsid_ports.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_bsid_vec_ports.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_i2x.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_icn.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_id_map.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_id_vec_map.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_in.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/verilog/xsdbm_v3_0_0_sl_prt_map.vh
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/hdl/xsdbm_v3_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/sim/bd_a493_xsdbm_0.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_0/synth/bd_a493_xsdbm_0.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_1/bd_a493_lut_buffer_0.xci
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_1/bd_a493_lut_buffer_0.xml
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_1/hdl/lut_buffer_v2_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_1/sim/bd_a493_lut_buffer_0.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/ip/ip_1/synth/bd_a493_lut_buffer_0.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/sim/bd_a493.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/synth/bd_a493.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/bd_0/synth/cl_debug_bridge.hwdef
	new file:   shell_v04261818/design/ip/cl_debug_bridge/cl_debug_bridge.veo
	new file:   shell_v04261818/design/ip/cl_debug_bridge/cl_debug_bridge.vho
	new file:   shell_v04261818/design/ip/cl_debug_bridge/cl_debug_bridge.xci
	new file:   shell_v04261818/design/ip/cl_debug_bridge/cl_debug_bridge.xml
	new file:   shell_v04261818/design/ip/cl_debug_bridge/cl_debug_bridge_ooc.xdc
	new file:   shell_v04261818/design/ip/cl_debug_bridge/doc/debug_bridge_v3_0_changelog.txt
	new file:   shell_v04261818/design/ip/cl_debug_bridge/sim/cl_debug_bridge.v
	new file:   shell_v04261818/design/ip/cl_debug_bridge/synth/cl_debug_bridge.v
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/bd_bf3f.bd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/bd_bf3f.bmm
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/bd_bf3f.bxml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/bd_bf3f_ooc.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/hdl/bd_bf3f_wrapper.v
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/hw_handoff/ddr4_core_microblaze_mcs.hwh
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/hw_handoff/ddr4_core_microblaze_mcs_bd.tcl
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_0/bd_bf3f_microblaze_I_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_0/bd_bf3f_microblaze_I_0.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_0/bd_bf3f_microblaze_I_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_0/bd_bf3f_microblaze_I_0_ooc_debug.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_0/data/mb_bootloop_le.elf
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_0/hdl/microblaze_v10_0_vh_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_0/sim/bd_bf3f_microblaze_I_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_0/synth/bd_bf3f_microblaze_I_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_1/bd_bf3f_rst_0_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_1/bd_bf3f_rst_0_0.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_1/bd_bf3f_rst_0_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_1/bd_bf3f_rst_0_0_board.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_1/hdl/lib_cdc_v1_0_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_1/hdl/proc_sys_reset_v5_0_vh_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_1/sim/bd_bf3f_rst_0_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_1/synth/bd_bf3f_rst_0_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_10/bd_bf3f_iomodule_0_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_10/bd_bf3f_iomodule_0_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_10/bd_bf3f_iomodule_0_0_board.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_10/hdl/iomodule_v3_1_vh_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_10/sim/bd_bf3f_iomodule_0_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_10/synth/bd_bf3f_iomodule_0_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_2/bd_bf3f_ilmb_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_2/bd_bf3f_ilmb_0.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_2/bd_bf3f_ilmb_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_2/hdl/lmb_v10_v3_0_vh_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_2/sim/bd_bf3f_ilmb_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_2/synth/bd_bf3f_ilmb_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_3/bd_bf3f_dlmb_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_3/bd_bf3f_dlmb_0.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_3/bd_bf3f_dlmb_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_3/hdl/lmb_v10_v3_0_vh_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_3/sim/bd_bf3f_dlmb_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_3/synth/bd_bf3f_dlmb_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_4/bd_bf3f_dlmb_cntlr_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_4/bd_bf3f_dlmb_cntlr_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_4/hdl/lmb_bram_if_cntlr_v4_0_vh_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_4/sim/bd_bf3f_dlmb_cntlr_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_4/synth/bd_bf3f_dlmb_cntlr_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_5/bd_bf3f_ilmb_cntlr_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_5/bd_bf3f_ilmb_cntlr_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_5/hdl/lmb_bram_if_cntlr_v4_0_vh_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_5/sim/bd_bf3f_ilmb_cntlr_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_5/synth/bd_bf3f_ilmb_cntlr_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_6/bd_bf3f_lmb_bram_I_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_6/bd_bf3f_lmb_bram_I_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_6/bd_bf3f_lmb_bram_I_0_ooc.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_6/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_6/sim/bd_bf3f_lmb_bram_I_0.v
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_6/simulation/blk_mem_gen_v8_4.v
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_6/synth/bd_bf3f_lmb_bram_I_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_7/bd_bf3f_second_dlmb_cntlr_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_7/bd_bf3f_second_dlmb_cntlr_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_7/hdl/lmb_bram_if_cntlr_v4_0_vh_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_7/sim/bd_bf3f_second_dlmb_cntlr_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_7/synth/bd_bf3f_second_dlmb_cntlr_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_8/bd_bf3f_second_ilmb_cntlr_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_8/bd_bf3f_second_ilmb_cntlr_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_8/hdl/lmb_bram_if_cntlr_v4_0_vh_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_8/sim/bd_bf3f_second_ilmb_cntlr_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_8/synth/bd_bf3f_second_ilmb_cntlr_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_9/bd_bf3f_second_lmb_bram_I_0.xci
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_9/bd_bf3f_second_lmb_bram_I_0.xml
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_9/bd_bf3f_second_lmb_bram_I_0_ooc.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_9/hdl/blk_mem_gen_v8_4_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_9/sim/bd_bf3f_second_lmb_bram_I_0.v
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_9/simulation/blk_mem_gen_v8_4.v
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/ip/ip_9/synth/bd_bf3f_second_lmb_bram_I_0.vhd
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/sim/bd_bf3f.v
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/synth/bd_bf3f.v
	new file:   shell_v04261818/design/ip/ddr4_core/bd_0/synth/ddr4_core_microblaze_mcs.hwdef
	new file:   shell_v04261818/design/ip/ddr4_core/ddr4_core.veo
	new file:   shell_v04261818/design/ip/ddr4_core/ddr4_core.vho
	new file:   shell_v04261818/design/ip/ddr4_core/ddr4_core.xci
	new file:   shell_v04261818/design/ip/ddr4_core/ddr4_core.xml
	new file:   shell_v04261818/design/ip/ddr4_core/ddr4_core_board.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/doc/ddr4_v2_2_changelog.txt
	new file:   shell_v04261818/design/ip/ddr4_core/ip_0/ddr4_core_microblaze_mcs.xci
	new file:   shell_v04261818/design/ip/ddr4_core/ip_0/ddr4_core_microblaze_mcs.xml
	new file:   shell_v04261818/design/ip/ddr4_core/ip_0/ddr4_core_microblaze_mcs_board.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/ip_0/ddr4_core_microblaze_mcs_ooc.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/ip_0/mb_bootloop_le.elf
	new file:   shell_v04261818/design/ip/ddr4_core/ip_0/sim/ddr4_core_microblaze_mcs.v
	new file:   shell_v04261818/design/ip/ddr4_core/ip_0/synth/ddr4_core_microblaze_mcs.v
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/ddr4_core_phy.xci
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/ddr4_core_phy.xml
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/par/ddr4_core_phy_ooc.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/clocking/ddr4_phy_v2_2_pll.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/iob/ddr4_phy_v2_2_iob.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/iob/ddr4_phy_v2_2_iob_byte.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/ip_top/ddr4_core_phy.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/map/ddr4_core_phy_ddrMapDDR4.vh
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/map/ddr4_core_phy_iobMapDDR4.vh
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/map/ddr4_core_phy_riuMap.vh
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/phy/ddr4_core_phy_ddr4.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/phy/ddr4_phy_v2_2_xiphy.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/phy/ddr4_phy_v2_2_xiphy_behav.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/xiphy_files/ddr4_phy_v2_2_xiphy_bitslice_wrapper.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/xiphy_files/ddr4_phy_v2_2_xiphy_byte_wrapper.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/xiphy_files/ddr4_phy_v2_2_xiphy_control_wrapper.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/xiphy_files/ddr4_phy_v2_2_xiphy_riuor_wrapper.sv
	new file:   shell_v04261818/design/ip/ddr4_core/ip_1/rtl/xiphy_files/ddr4_phy_v2_2_xiphy_tristate_wrapper.sv
	new file:   shell_v04261818/design/ip/ddr4_core/par/ddr4_core.xdc
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_a_upsizer.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_ar_channel.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_aw_channel.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_b_channel.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_cmd_arbiter.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_cmd_fsm.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_cmd_translator.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_fifo.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_incr_cmd.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_r_channel.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_register_slice.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_upsizer.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_w_channel.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_wr_cmd_fsm.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axi_wrap_cmd.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_axic_register_slice.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_carry_and.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_carry_latch_and.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_carry_latch_or.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_carry_or.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_command_fifo.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_comparator.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_comparator_sel.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_comparator_sel_static.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_r_upsizer.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi/ddr4_v2_2_w_upsizer.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi_ctrl/ddr4_v2_2_axi_ctrl_addr_decode.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi_ctrl/ddr4_v2_2_axi_ctrl_read.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi_ctrl/ddr4_v2_2_axi_ctrl_reg.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi_ctrl/ddr4_v2_2_axi_ctrl_reg_bank.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi_ctrl/ddr4_v2_2_axi_ctrl_top.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/axi_ctrl/ddr4_v2_2_axi_ctrl_write.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_core_ddr4_cal_riu.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_3_cal_assert.vh
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_3_chipscope_icon2xsdb_mstrbr_ver_inc.vh
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_3_cs_ver_inc.vh
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_addr_decode.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_config_rom.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_cplx.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_cplx_data.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_debug_microblaze.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_mc_odt.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_pi.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_rd_en.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_read.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_sync.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_top.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_wr_bit.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_wr_byte.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_write.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_xsdb_arbiter.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_cal_xsdb_bram.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_chipscope_xsdb_slave.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/cal/ddr4_v2_2_dp_AB9.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/clocking/ddr4_v2_2_infrastructure.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_act_rank.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_act_timer.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_arb_a.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_arb_c.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_arb_mux_p.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_arb_p.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_cmd_mux_ap.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_cmd_mux_c.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_ctl.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_ecc.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_ecc_buf.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_ecc_dec_fix.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_ecc_fi_xor.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_ecc_gen.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_ecc_merge_enc.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_group.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_periodic.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_rd_wr.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_ref.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/controller/ddr4_v2_2_mc_wtr.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ip_top/ddr4_core.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ip_top/ddr4_core_ddr4.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ip_top/ddr4_core_ddr4_mem_intfc.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ip_top/ddr4_v2_2_3_ddr4_assert.vh
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ip_top/old_ddr4_core.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ip_top/old_ddr4_core_ddr4.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ip_top/old_ddr4_core_ddr4_mem_intfc.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ui/ddr4_v2_2_ui.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ui/ddr4_v2_2_ui_cmd.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ui/ddr4_v2_2_ui_rd_data.sv
	new file:   shell_v04261818/design/ip/ddr4_core/rtl/ui/ddr4_v2_2_ui_wr_data.sv
	new file:   shell_v04261818/design/ip/ddr4_core/sw/calibration_0/Debug/calibration_ddr.elf
	new file:   shell_v04261818/design/ip/ddr4_core/tb/microblaze_mcs_0.sv
	new file:   shell_v04261818/design/ip/dest_register_slice/dest_register_slice.veo
	new file:   shell_v04261818/design/ip/dest_register_slice/dest_register_slice.vho
	new file:   shell_v04261818/design/ip/dest_register_slice/dest_register_slice.xci
	new file:   shell_v04261818/design/ip/dest_register_slice/dest_register_slice.xml
	new file:   shell_v04261818/design/ip/dest_register_slice/doc/axi_register_slice_v2_1_changelog.txt
	new file:   shell_v04261818/design/ip/dest_register_slice/hdl/axi_infrastructure_v1_1_0.vh
	new file:   shell_v04261818/design/ip/dest_register_slice/hdl/axi_infrastructure_v1_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/dest_register_slice/hdl/axi_register_slice_v2_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/dest_register_slice/sim/dest_register_slice.v
	new file:   shell_v04261818/design/ip/dest_register_slice/synth/dest_register_slice.v
	new file:   shell_v04261818/design/ip/ila_0/doc/ila_v6_2_changelog.txt
	new file:   shell_v04261818/design/ip/ila_0/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/ila_0/hdl/fifo_generator_v13_1_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/ila_0/hdl/ila_v6_2_syn_rfs.v
	new file:   shell_v04261818/design/ip/ila_0/hdl/ltlib_v1_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/ila_v6_2_5_ila_in.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/ila_v6_2_5_ila_lib_fn.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/ila_v6_2_5_ila_lparam.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/ila_v6_2_5_ila_param.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/ila_v6_2_5_ila_ver.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/ltlib_v1_0_0_lib_fn.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/ltlib_v1_0_0_ver.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_bs.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_bs_core.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_bs_core_vec.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_bs_ports.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_bs_vec.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_bsid_ports.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_bsid_vec_ports.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_i2x.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_icn.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_id_map.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_id_vec_map.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_in.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbm_v3_0_0_sl_prt_map.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbs_v1_0_2_i2x.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/verilog/xsdbs_v1_0_2_in.vh
	new file:   shell_v04261818/design/ip/ila_0/hdl/xsdbm_v3_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/ila_0/hdl/xsdbs_v1_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/ila_0/ila_0.veo
	new file:   shell_v04261818/design/ip/ila_0/ila_0.xci
	new file:   shell_v04261818/design/ip/ila_0/ila_0.xml
	new file:   shell_v04261818/design/ip/ila_0/ila_0_ooc.xdc
	new file:   shell_v04261818/design/ip/ila_0/ila_v6_2/constraints/ila.xdc
	new file:   shell_v04261818/design/ip/ila_0/ila_v6_2/constraints/ila_impl.xdc
	new file:   shell_v04261818/design/ip/ila_0/sim/ila_0.v
	new file:   shell_v04261818/design/ip/ila_0/synth/ila_0.v
	new file:   shell_v04261818/design/ip/ila_1/doc/ila_v6_2_changelog.txt
	new file:   shell_v04261818/design/ip/ila_1/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/ila_1/hdl/fifo_generator_v13_1_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/ila_1/hdl/ila_v6_2_syn_rfs.v
	new file:   shell_v04261818/design/ip/ila_1/hdl/ltlib_v1_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/ila_v6_2_5_ila_in.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/ila_v6_2_5_ila_lib_fn.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/ila_v6_2_5_ila_lparam.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/ila_v6_2_5_ila_param.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/ila_v6_2_5_ila_ver.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/ltlib_v1_0_0_lib_fn.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/ltlib_v1_0_0_ver.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_bs.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_bs_core.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_bs_core_vec.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_bs_ports.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_bs_vec.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_bsid_ports.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_bsid_vec_ports.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_i2x.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_icn.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_id_map.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_id_vec_map.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_in.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbm_v3_0_0_sl_prt_map.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbs_v1_0_2_i2x.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/verilog/xsdbs_v1_0_2_in.vh
	new file:   shell_v04261818/design/ip/ila_1/hdl/xsdbm_v3_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/ila_1/hdl/xsdbs_v1_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/ila_1/ila_1.veo
	new file:   shell_v04261818/design/ip/ila_1/ila_1.xci
	new file:   shell_v04261818/design/ip/ila_1/ila_1.xml
	new file:   shell_v04261818/design/ip/ila_1/ila_1_ooc.xdc
	new file:   shell_v04261818/design/ip/ila_1/ila_v6_2/constraints/ila.xdc
	new file:   shell_v04261818/design/ip/ila_1/ila_v6_2/constraints/ila_impl.xdc
	new file:   shell_v04261818/design/ip/ila_1/sim/ila_1.v
	new file:   shell_v04261818/design/ip/ila_1/synth/ila_1.v
	new file:   shell_v04261818/design/ip/ila_vio_counter/doc/ila_v6_2_changelog.txt
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/fifo_generator_v13_1_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/ila_v6_2_syn_rfs.v
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/ltlib_v1_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/ila_v6_2_5_ila_in.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/ila_v6_2_5_ila_lib_fn.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/ila_v6_2_5_ila_lparam.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/ila_v6_2_5_ila_param.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/ila_v6_2_5_ila_ver.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/ltlib_v1_0_0_lib_fn.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/ltlib_v1_0_0_ver.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_bs.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_bs_core.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_bs_core_vec.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_bs_ports.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_bs_vec.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_bsid_ports.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_bsid_vec_ports.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_i2x.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_icn.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_id_map.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_id_vec_map.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_in.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbm_v3_0_0_sl_prt_map.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbs_v1_0_2_i2x.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/verilog/xsdbs_v1_0_2_in.vh
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/xsdbm_v3_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/ila_vio_counter/hdl/xsdbs_v1_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/ila_vio_counter/ila_v6_2/constraints/ila.xdc
	new file:   shell_v04261818/design/ip/ila_vio_counter/ila_v6_2/constraints/ila_impl.xdc
	new file:   shell_v04261818/design/ip/ila_vio_counter/ila_vio_counter.veo
	new file:   shell_v04261818/design/ip/ila_vio_counter/ila_vio_counter.xci
	new file:   shell_v04261818/design/ip/ila_vio_counter/ila_vio_counter.xml
	new file:   shell_v04261818/design/ip/ila_vio_counter/ila_vio_counter_ooc.xdc
	new file:   shell_v04261818/design/ip/ila_vio_counter/sim/ila_vio_counter.v
	new file:   shell_v04261818/design/ip/ila_vio_counter/synth/ila_vio_counter.v
	new file:   shell_v04261818/design/ip/src_register_slice/doc/axi_register_slice_v2_1_changelog.txt
	new file:   shell_v04261818/design/ip/src_register_slice/hdl/axi_infrastructure_v1_1_0.vh
	new file:   shell_v04261818/design/ip/src_register_slice/hdl/axi_infrastructure_v1_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/src_register_slice/hdl/axi_register_slice_v2_1_vl_rfs.v
	new file:   shell_v04261818/design/ip/src_register_slice/sim/src_register_slice.v
	new file:   shell_v04261818/design/ip/src_register_slice/src_register_slice.veo
	new file:   shell_v04261818/design/ip/src_register_slice/src_register_slice.vho
	new file:   shell_v04261818/design/ip/src_register_slice/src_register_slice.xci
	new file:   shell_v04261818/design/ip/src_register_slice/src_register_slice.xml
	new file:   shell_v04261818/design/ip/src_register_slice/synth/src_register_slice.v
	new file:   shell_v04261818/design/ip/vio_0/doc/vio_v3_0_changelog.txt
	new file:   shell_v04261818/design/ip/vio_0/hdl/blk_mem_gen_v8_3_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/vio_0/hdl/fifo_generator_v13_1_vhsyn_rfs.vhd
	new file:   shell_v04261818/design/ip/vio_0/hdl/ltlib_v1_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/ltlib_v1_0_0_lib_fn.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/ltlib_v1_0_0_ver.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/xsdbm_v2_0_0_bs.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/xsdbm_v2_0_0_bs_core.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/xsdbm_v2_0_0_bs_ports.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/xsdbm_v2_0_0_i2x.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/xsdbm_v2_0_0_icn.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/xsdbm_v2_0_0_in.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/xsdbs_v1_0_2_i2x.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/verilog/xsdbs_v1_0_2_in.vh
	new file:   shell_v04261818/design/ip/vio_0/hdl/vio_v3_0_17_vio_include.v
	new file:   shell_v04261818/design/ip/vio_0/hdl/vio_v3_0_syn_rfs.v
	new file:   shell_v04261818/design/ip/vio_0/hdl/xsdbm_v2_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/vio_0/hdl/xsdbs_v1_0_vl_rfs.v
	new file:   shell_v04261818/design/ip/vio_0/sim/vio_0.v
	new file:   shell_v04261818/design/ip/vio_0/synth/vio_0.v
	new file:   shell_v04261818/design/ip/vio_0/vio_0.veo
	new file:   shell_v04261818/design/ip/vio_0/vio_0.vho
	new file:   shell_v04261818/design/ip/vio_0/vio_0.xci
	new file:   shell_v04261818/design/ip/vio_0/vio_0.xdc
	new file:   shell_v04261818/design/ip/vio_0/vio_0.xml
	new file:   shell_v04261818/design/lib/bram_2rw.sv
	new file:   shell_v04261818/design/lib/flop_fifo.sv
	new file:   shell_v04261818/design/lib/lib_pipe.sv
	new file:   shell_v04261818/design/sh_ddr/sim/ccf_ctl.v
	new file:   shell_v04261818/design/sh_ddr/sim/flop_ccf.sv
	new file:   shell_v04261818/design/sh_ddr/sim/gray.inc
	new file:   shell_v04261818/design/sh_ddr/sim/mgt_acc_axl.sv
	new file:   shell_v04261818/design/sh_ddr/sim/mgt_gen_axl.sv
	new file:   shell_v04261818/design/sh_ddr/sim/sh_ddr.sv
	new file:   shell_v04261818/design/sh_ddr/sim/sync.v
	new file:   shell_v04261818/design/sh_ddr/synth/ccf_ctl.v
	new file:   shell_v04261818/design/sh_ddr/synth/flop_ccf.sv
	new file:   shell_v04261818/design/sh_ddr/synth/gray.inc
	new file:   shell_v04261818/design/sh_ddr/synth/mgt_acc_axl.sv
	new file:   shell_v04261818/design/sh_ddr/synth/mgt_gen_axl.sv
	new file:   shell_v04261818/design/sh_ddr/synth/sh_ddr.sv
	new file:   shell_v04261818/design/sh_ddr/synth/sync.v
	new file:   shell_v04261818/hlx/build/scripts/add_hdk_rtl_ip.tcl
	new file:   shell_v04261818/hlx/build/scripts/add_simulation.tcl
	new file:   shell_v04261818/hlx/build/scripts/aws_bd_faas_initscript.tcl
	new file:   shell_v04261818/hlx/build/scripts/aws_build_dcp_from_cl_hlx_ipi.sh
	new file:   shell_v04261818/hlx/build/scripts/aws_build_dcp_from_cl_hlx_rtl.sh
	new file:   shell_v04261818/hlx/build/scripts/aws_make.tcl
	new file:   shell_v04261818/hlx/build/scripts/aws_proc_overrides.tcl
	new file:   shell_v04261818/hlx/build/scripts/create_dcp_from_proj.tcl
	new file:   shell_v04261818/hlx/build/scripts/create_proj_from_magic.tcl
	new file:   shell_v04261818/hlx/build/scripts/hdk_setup.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/apply_debug_constraints_hlx.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/aws_clock_properties.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/cl_debug_bridge_hlx.xdc
	new file:   shell_v04261818/hlx/build/scripts/subscripts/cl_pnr_user.xdc
	new file:   shell_v04261818/hlx/build/scripts/subscripts/cl_synth_user.xdc
	new file:   shell_v04261818/hlx/build/scripts/subscripts/encrypt_cl_bd_call.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/launch_runs_pre.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/make_post_synth_dcp.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/opt_design_post.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/opt_design_pre.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/place_design_post.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/route_design_post.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/synth_design_post.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/synth_design_pre.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/tarball_variables.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/write_bitstream_pre.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/write_checkpoint_call.tcl
	new file:   shell_v04261818/hlx/build/scripts/subscripts/xsdbm_timing_exception.xdc
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/blue_cloud.png
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/encrypt_synth_files.tcl
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/green_cloud.png
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/helper.tcl
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/keyfile_v2.txt
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/keyfile_v2_vhd.txt
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/make_faas.tcl
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/red_cloud.png
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/sed/IPI_template/faas_project.tcl
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/sed/IPI_template/init.tcl
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/sed/IPI_template/params.tcl
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/sed/IPI_template/supported_parts_boards.tcl
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/subprocs.tcl
	new file:   shell_v04261818/hlx/build/scripts/tclapp/xilinx/faasutils/yellow_cloud.png
	new file:   shell_v04261818/hlx/design/boards/board.xml
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/bd/bd.tcl
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/component.xml
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/data/aws_logo.png
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/doc/aws_v1_0_changelog.txt
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/hdl/aws_v1_0_2_ports.vh
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/hdl/aws_v1_0_vl_rfs.sv
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/hdl/aws_v1_0_vlsyn_rfs.sv
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/hdl/sim/gray.inc
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/hdl/synth/gray.inc
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/interface/aws_f1_sh1.xml
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/interface/aws_f1_sh1_rtl.xml
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/ip/axi_clock_converter_0/axi_clock_converter_0.xci
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/ip/ddr4_core/ddr4_core.xci
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/ttcl/clocks_xdc.ttcl
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/ttcl/ooc_xdc.ttcl
	new file:   shell_v04261818/hlx/design/ip/aws_v1_0/xgui/aws_v1_0.tcl
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/component.xml
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/constraints/dds_ooc.xdc
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/doc/ReleaseNotes.txt
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/drivers/dds_v1_0/data/dds.mdd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/drivers/dds_v1_0/data/dds.tcl
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/drivers/dds_v1_0/src/Makefile
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/drivers/dds_v1_0/src/xdds.c
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/drivers/dds_v1_0/src/xdds.h
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/drivers/dds_v1_0/src/xdds_hw.h
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/drivers/dds_v1_0/src/xdds_linux.c
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/drivers/dds_v1_0/src/xdds_sinit.c
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/dds.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/dds_DDS_OUTPUT1_m_axi.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/dds_DDS_OUTPUT_m_axi.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/dds_PROG_BUS_s_axi.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/dds_mac_muladd_16eOg.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/dds_mac_mulsub_18dEe.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/process_frame.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/process_r.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/process_r_dds_0_cbkb.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/process_r_dds_0_cbkb_rom.dat
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/process_r_dds_0_fcud.v
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/verilog/process_r_dds_0_fcud_rom.dat
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/dds.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/dds_DDS_OUTPUT1_m_axi.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/dds_DDS_OUTPUT_m_axi.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/dds_PROG_BUS_s_axi.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/dds_mac_muladd_16eOg.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/dds_mac_mulsub_18dEe.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/process_frame.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/process_r.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/process_r_dds_0_cbkb.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/hdl/vhdl/process_r_dds_0_fcud.vhd
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/misc/Thumbs.db
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/misc/logo.png
	new file:   shell_v04261818/hlx/design/ip/dds_v1_0/xgui/dds_v1_0.tcl
	new file:   shell_v04261818/hlx/design/lib/cl_ports_hlx.vh
	new file:   shell_v04261818/hlx/design/lib/cl_top.sv
	new file:   shell_v04261818/hlx/design/lib/sh_connectors.vh
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hello_world_ref/cl_hello_world_ref.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hello_world_ref/constraints/cl_pnr_user.xdc
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hello_world_ref/constraints/cl_synth_user.xdc
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hello_world_ref/design.xml
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hello_world_ref/design/hello_world.v
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hello_world_ref/faas_project.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hello_world_ref/init.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hello_world_ref/params.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hello_world_ref/supported_parts_boards.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/cl_hls_dds.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/constraints/cl_pnr_user.xdc
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/constraints/cl_synth_user.xdc
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/design.xml
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/faas_project.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/init.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/params.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/software/Makefile
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/software/test_cl.c
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/supported_parts_boards.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_hls_dds/verif/test_cl.sv
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/cl_ipi_cdma_test.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/constraints/cl_pnr_user.xdc
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/constraints/cl_synth_user.xdc
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/design.xml
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/faas_project.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/init.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/params.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/software/Makefile
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/software/test_cl.c
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/supported_parts_boards.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/cl_ipi_cdma_test/verif/test_cl.sv
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/constraints/cl_pnr_user.xdc
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/constraints/cl_synth_user.xdc
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/design.xml
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/faas_project.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/hello_world.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/init.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/params.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/software/Makefile
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/software/test_cl.c
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/supported_parts_boards.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/IPI/hello_world/verif/test_cl.sv
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_dram_dma/cl_dram_dma.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_dram_dma/design.xml
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_dram_dma/faas_project.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_dram_dma/init.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_dram_dma/params.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_dram_dma/supported_parts_boards.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_hello_world/cl_hello_world.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_hello_world/design.xml
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_hello_world/faas_project.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_hello_world/init.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_hello_world/params.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_hello_world/supported_parts_boards.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_hello_world/verif/scripts/dpi.tcl
	new file:   shell_v04261818/hlx/hlx_examples/build/RTL/cl_hello_world/verif/scripts/dpi_xsim.tcl
	new file:   shell_v04261818/hlx/hlx_setup.tcl
	new file:   shell_v04261818/hlx/verif/cl_ports_sh_bfm.vh
	new file:   shell_v04261818/hlx/verif/scripts/dpi.tcl
	new file:   shell_v04261818/hlx/verif/scripts/dpi_xsim.tcl
	new file:   shell_v04261818/hlx/verif/tb.sv
	new file:   shell_v04261818/hlx/verif/test_cl.sv
	new file:   shell_v04261818/new_cl_template/build/README.md
	new file:   shell_v04261818/new_cl_template/build/constraints/cl_pnr_user.xdc
	new file:   shell_v04261818/new_cl_template/build/constraints/cl_synth_user.xdc
	new file:   shell_v04261818/new_cl_template/build/scripts/aws_build_dcp_from_cl.sh
	new file:   shell_v04261818/new_cl_template/build/scripts/create_dcp_from_cl.tcl
	new file:   shell_v04261818/new_cl_template/build/scripts/encrypt.tcl
	new file:   shell_v04261818/new_cl_template/build/scripts/synth_cl_hello_world.tcl
	new file:   shell_v04261818/new_cl_template/design/cl_template.sv
	new file:   shell_v04261818/new_cl_template/design/cl_template_defines.vh
	new file:   shell_v04261818/shell_version.txt

* removing v1.3 shell

* merging shell V1.4 updates to public

* updating xilinx/SDAccel_examples to latest on aws_2017.1 branch

* fixing merge issues

* document updates
  • Loading branch information
AWSaalluri authored and kristopk committed Jul 9, 2018
1 parent 7f1e767 commit 2fdf23f
Show file tree
Hide file tree
Showing 1,038 changed files with 3,212,747 additions and 2,612,947 deletions.
2 changes: 2 additions & 0 deletions .gitignore
Original file line number Diff line number Diff line change
Expand Up @@ -34,11 +34,13 @@ waves.shm
*.so
*.a
*.ko
fio

!SDAccel/aws_platform/xilinx_aws-vu9p-f1_1ddr-xpr-2pr_4_0/sw/lib/x86_64/libxilinxopencl.so
!SDAccel/aws_platform/xilinx_aws-vu9p-f1_4ddr-xpr-2pr_4_0/sw/lib/x86_64/libxilinxopencl.so
!SDAccel/aws_platform/xilinx_aws-vu9p-f1_4ddr-xpr-2pr-debug_4_0/sw/lib/x86_64/libxilinxopencl.so
!SDAccel/aws_platform/xilinx_aws-vu9p-f1_dynamic_5_0/sw/lib/x86_64/libxilinxopencl.so
!SDAccel/aws_platform/xilinx_aws-vu9p-f1-04261818_dynamic_5_0/sw/lib/x86_64/libxilinxopencl.so

nohup.out
*.nohup.out
Expand Down
4 changes: 0 additions & 4 deletions .gitmodules
Original file line number Diff line number Diff line change
@@ -1,7 +1,3 @@
[submodule "SDAccel/examples/xilinx_2017.1"]
path = SDAccel/examples/xilinx_2017.1
url = https://github.com/Xilinx/SDAccel_Examples.git
branch = 2017.1
[submodule "SDAccel/examples/xilinx_2017.4"]
path = SDAccel/examples/xilinx_2017.4
url = https://github.com/Xilinx/SDAccel_Examples.git
Expand Down
30 changes: 4 additions & 26 deletions ERRATA.md
Original file line number Diff line number Diff line change
@@ -1,31 +1,9 @@

# AWS EC2 FPGA HDK+SDK Errata

## Shell (04261818)
[Shell_04261818_Errata](./hdk/docs/AWS_Shell_ERRATA.md)

## Release 1.3.X
### Implementation Restrictions
* PCIE AXI4 interfaces between Custom Logic(CL) and Shell(SH) have following restrictions:
   *   All PCIe transactions must adhere to the PCIe Express base spec
* 4Kbyte Address boundary for all transactions(PCIe restriction)
* Multiple outstanding outbound PCIe Read transactions with same ID not supported
* PCIE extended tag not supported, so read-request is limited to 32 outstanding
* Address must match DoubleWord(DW) address of the transaction
* WSTRB(write strobe) must reflect appropriate valid bytes for AXI write beats
* Only Increment burst type is supported
* AXI lock, memory type, protection type, Quality of service and Region identifier are not supported
* Transactions from the Shell to CL must complete within the timeout period to avoid transaction termination by the Shell
* DMA transactions from the Shell to CL must complete within the timeout period to avoid transaction termination and invalid data returned for the DMA transaction
## HDK

## Unsupported Features (Planned for future releases)
* FPGA to FPGA communication over PCIe for F1.16xl
* FPGA to FPGA over the 400Gbps Ring for F1.16xl
* Aurora and Reliable Aurora modules for the FPGA-to-FPGA
* Preserving the DRAM content between different AFI loads (by the same running instance)
* Cadence Xcelium simulations tools
* Questa 10.6b simulations tools have not been tested. Xilinx 2017.4 tools only support Questa 10.6b.
* PCIM and DMA-PCIS AXI-4 interfaces do not support AxSIZE other than 3'b110 (64B)

## Known Bugs/Issues

* AXI-L Interface ordering - The v071417d3 shell has an issues that impacts transaction ordering on the AXI-L interfaces (BAR1, OCL, SDA) only. The Shell should preserve PCIe ordering rules on these interfaces, but there is an issue where a read request may pass a previous write request. The shell terminates a write when the data is transferred on the W channel (WVALID/WREADY) rather than wait for the response on the B channel. A CL workaround for this issue is to backpressure reads (deassert ARREADY) when there are any writes pending.

## SDK
37 changes: 19 additions & 18 deletions FAQs.md
Original file line number Diff line number Diff line change
Expand Up @@ -6,7 +6,7 @@

[Marketplace FAQs](#marketplace)

[F1 Instance and Tools FAQs](#instance)
[F1 Instance and Runtime Tools FAQs](#instance)

[Development Languages FAQs](#languages)

Expand All @@ -16,8 +16,8 @@

[Troubleshooting FAQs](#troubleshooting)


## General
<a name="general"></a>
## General F1 FAQs

**Q: How is developing a FPGA design for the cloud different from the common practice outside the cloud?**

Expand Down Expand Up @@ -203,8 +203,8 @@ Yes. Developers are free to use any IP blocks within the Custom Logic region. Th
**Note** * AWS supports only the IP blocks contained in the HDK.*



## Getting Started
<a name="getting-started"></a>
## Getting Started FAQs
**Q: What AWS knowledge do I need to learn before I can develop accelerators and run on AWS F1 instances?**

[AWS Getting Started Resource Center](https://aws.amazon.com/getting-started/) has lots of resources to help developers get started. For F1 development, launching linux virtual machines (EC2) and storing and retrieving files from S3 are required skills.
Expand Down Expand Up @@ -261,7 +261,8 @@ pip install awscli --upgrade --user
The AWS CLI [documentation page](http://docs.aws.amazon.com/cli/latest/userguide/installing.html) shows steps to update the AWS CLI.
We recommend using the latest available version to be able to use the expanding list of commands that we add.

## Marketplace
<a name="marketplace"></a>
## Marketplace FAQs
**Q: What does publishing my AFI/AMI to AWS Marketplace enables?**

FPGA Developers can share or sell their AFI/AMI using the AWS Marketplace to other AWS users. Once in Marketplace, AWS users can launch an F1 instance with that AFI/AMI combination with the 1-click deployment feature. Marketplace Sellers can take advantage of the Management Portal to better build and analyze their business, using it to drive marketing activities and customer adoption. The metering, billing, collections, and disbursement of payments are managed by AWS, allowing developers to focus on marketing their solution. Please check out [AWS Marketplace Tour](https://aws.amazon.com/marketplace/management/tour/) for more details on how to become an AWS Marketplace seller, how to set pricing and collect metrics.
Expand All @@ -276,8 +277,8 @@ First, you need to [register as a Marketplace Seller](https://aws.amazon.com/mar

Neither, no FPGA internal design code is exposed. AWS Marketplace customers that pick up an AMI with one or more AFIs associated with it will not see any source code nor bitstream. Marketplace customers actually have permission to use the AFI but no permission to see its code. The only reference to the AFI is through its unique AFI ID. The AMI would call `fpga-local-load-image` with the correct AFI ID for that Marketplace offering, which will result in **AWS loading the AFI into the FPGA** in a sideband channel and without sending the AFI code through the customer's instance.


## Instance
<a name="instance"></a>
## F1 Instance and Runtime Tools FAQs
**Q: What OS can run on the F1 instance?**

Amazon Linux 2016.09 and CentOS 7.3 are supported and tested on AWS EC2 F1 instance. Developers can utilize the source code in the SDK directory to compile other variants of Linux for use on F1. Windows OSs are not supported on F1.
Expand Down Expand Up @@ -341,8 +342,8 @@ The developer can take advantage of a userspace polling-mode driver framework li

No. The FPGAs do not have direct access to the SSDs on F1. The SSDs on F1 are high-performance, NVMe SSD devices. The developer can take advantage of a userspace polling-mode driver framework like SPDK, to implement fast and low-latency copy between the NVMe SSD and the FPGA, with the data most probably being stored in the x86 LastLevelCache (LLC).


## Languages
<a name="languages"></a>
## Development Languages FAQs
**Q: Which HDL languages are supported?**

For RTL level development: Verilog and VHDL are both supported in the FPGA Developer AMI and in generating a Design Checkpoint. The Xilinx Vivado tools and simulator support mixed mode simulation of Verilog and VHDL. The AWS Shell is written in Verilog. Support for mixed mode simulation may vary if developers use other simulators. Check your simulator documentation for Verilog/VHDL/System Verilog support.
Expand All @@ -367,8 +368,8 @@ The FPGA Developer AMI has built-in support for the Xilinx XSIM simulator. All l

Support for other simulators is included through the bring-your-own license in the FPGA Developer AMI. AWS tests the HDK with Synopsys VCS, Mentor Questa/ModelSim, and Cadence Incisive. Licenses for these simulators must be acquired by the developer and are not available with AWS FPGA Developer AMI.


## FPGA
<a name="fpga"></a>
## FPGA Specific FAQs
**Q: What FPGA is used in AWS EC2 F1 instance?**

The FPGA for F1 is the Xilinx Ultrascale+ VU9P device with the -2 speed grade. The HDK scripts have the compile scripts needed for the VU9P device.
Expand Down Expand Up @@ -400,14 +401,14 @@ The FPGA Shell provides a selectable frequency clocks (up to 8 clocks) from the

**Q: What memory is attached to the FPGA?**

Each FPGA on F1 has 4 x DDR4 interfaces, each is 72bits wide (64bit data). Each DRAM interface has 16GiB of RDRAM attached. This yields 64GiB of total DRAM memory available localy to each F1 FPGA.
Each FPGA on F1 has 4 x DDR4 interfaces, each is 72bits wide (64bit data). Each DRAM interface has 16GiB of RDRAM attached. This yields 64GiB of total DRAM memory available locally to each F1 FPGA.


**Q: What FPGA debug capabilities are supported?**

AWS FPGA instances offer four debugging utilities:

* The first is the Virtual JTAG included in the AWS Shell. It provides an equivalent function to a physical JTAG debugger with exception that it's an emulated JTAG-over-PCIe. Based on Xilinxs' ChipScope circuit, the Virtual JTAG is pre-integrated with AWS Shell and available to the instance over memory-mapped PCIe space. The driver is included with the F1 SDK.
* The first is the Virtual JTAG included in the AWS Shell. It provides an equivalent function to a physical JTAG debugger with exception that it's an emulated JTAG-over-PCIe. Based on Xilinx ChipScope circuit, the Virtual JTAG is pre-integrated with AWS Shell and available to the instance over memory-mapped PCIe space. The driver is included with the F1 SDK.

* The second is the usage metrics available through the FPGA Image Management tools. The `fpga-describe-local-image` command allows the F1 instance to query metrics from the Shell and Shell to Custom Logic (CL) interfaces. See Shell Interface specification and FPGA Image Management tools for more information on supported metrics.

Expand All @@ -419,8 +420,8 @@ Refer to [Virtual JTAG readme](./hdk/docs/Virtual_JTAG_XVC.md) for more details.




## Shell
<a name="shell"></a>
## General AWS FPGA Shell FAQs
**Q: Do I need to interface to the AWS Shell?**

Yes. The only way to interface to PCIe and the instance CPU is using the AWS Shell. The AWS Shell is included with every FPGA. There is no option to run the F1 FPGA without a Shell. The Shell takes care of the non-differentiating heavy lifting tasks like PCIe tuning, FPGA I/O assignment, power, thermal management, and runtime health monitoring.
Expand All @@ -436,8 +437,8 @@ Yes. The HDK includes a simulation model for the AWS shell. See the [HDK common
The Shell consumes about 20% of the FPGA resources, and that includes the PCIe Gen3 X16, DMA engine, DRAM controller interface, ChipScope (Virtual JTAG) and other health monitoring and image loading logic. No modifications to the Shell or the partition pins between the Shell and the Custom Logic are possible by the FPGA developer.



## Troubleshooting
<a name="troubleshooting"></a>
## Troubleshooting FAQs
**Q: Why do I see error “vivado not found” while running hdk_setup.sh?**

This is an indication that Xilinx Vivado tool set are not installed. Try installing the tool if you are working on your own environment, or alternative use AWS FPGA Development AMI available on AWS Marketplace, which comes with pre-installed Vivado toolset and license.
Expand Down
Loading

0 comments on commit 2fdf23f

Please sign in to comment.