Skip to content

Commit

Permalink
Merge pull request #26 from zapta/master
Browse files Browse the repository at this point in the history
Tweaking ecp5 examples.
  • Loading branch information
Obijuan authored Nov 25, 2024
2 parents 588a714 + 999436f commit 9c0ab27
Show file tree
Hide file tree
Showing 11 changed files with 39 additions and 3 deletions.
2 changes: 1 addition & 1 deletion ColorLight-5A-75B-V8/Ledon/apio.ini
Original file line number Diff line number Diff line change
@@ -1,4 +1,4 @@
[env]
board = ColorLight-5A-75B-V8
top-module = top
top-module = ledon

4 changes: 2 additions & 2 deletions ColorLight-5A-75B-V8/Ledon/ledon.v
Original file line number Diff line number Diff line change
Expand Up @@ -2,8 +2,8 @@
//-- Turning on the LED
//--------------------------------------

module top(
output led, //-- LED
module ledon(
output led //-- LED
);

// -- The LED on the ColorLight-5A-75E works
Expand Down
36 changes: 36 additions & 0 deletions ColorLight-5A-75B-V8/Ledon/ledon_tb.v
Original file line number Diff line number Diff line change
@@ -0,0 +1,36 @@
//-------------------------------------------------------------------
//-- ledon_tb.v
//-- Testbench
//-------------------------------------------------------------------
//-- Juan Gonzalez (Obijuan)
//-- GPL license
//-------------------------------------------------------------------
`default_nettype none
`define DUMPSTR(x) `"x.vcd`"
`timescale 100 ns / 10 ns

module ledon_tb();

//-- Simulation time: 1us (10 * 100ns)
parameter DURATION = 10;

//-- Leds port
wire led;

//-- Instantiate the unit to test
ledon UUT (
.led(led)
);


initial begin

//-- File were to store the simulation results
$dumpfile(`DUMPSTR(`VCD_OUTPUT));
$dumpvars(0, ledon_tb);

#(DURATION) $display("End of simulation");
$finish;
end

endmodule
File renamed without changes.
File renamed without changes.
File renamed without changes.
File renamed without changes.

0 comments on commit 9c0ab27

Please sign in to comment.