diff --git a/c5_pin_model_dump.txt b/c5_pin_model_dump.txt
new file mode 100644
index 0000000..d8a635e
--- /dev/null
+++ b/c5_pin_model_dump.txt
@@ -0,0 +1,120 @@
+io_4iomodule_c5_index: 77gpio_index: 2
+io_4iomodule_c5_index: 60gpio_index: 476
+io_4iomodule_c5_index: 62gpio_index: 6
+io_4iomodule_c5_index: 26gpio_index: 472
+io_4iomodule_c5_index: 20gpio_index: 10
+io_4iomodule_c5_index: 12gpio_index: 468
+io_4iomodule_c5_index: 27gpio_index: 14
+io_4iomodule_c5_index: 71gpio_index: 464
+io_4iomodule_c5_index: 56gpio_index: 19
+io_4iomodule_c5_index: 14gpio_index: 460
+io_4iomodule_c5_index: 22gpio_index: 22
+io_4iomodule_c5_index: 10gpio_index: 456
+io_4iomodule_c5_index: 11gpio_index: 27
+io_4iomodule_c5_index: 73gpio_index: 452
+io_4iomodule_c5_index: 74gpio_index: 30
+io_4iomodule_c5_index: 76gpio_index: 448
+io_4iomodule_c5_index: 2gpio_index: 35
+io_4iomodule_c5_index: 78gpio_index: 444
+io_4iomodule_c5_index: 9gpio_index: 38
+io_4iomodule_c5_index: 36gpio_index: 440
+io_4iomodule_c5_index: 51gpio_index: 43
+io_4iomodule_c5_index: 23gpio_index: 436
+io_4iomodule_c5_index: 53gpio_index: 46
+io_4iomodule_c5_index: 50gpio_index: 432
+io_4iomodule_c5_index: 0gpio_index: 51
+io_4iomodule_c5_index: 43gpio_index: 428
+io_4iomodule_c5_index: 67gpio_index: 54
+io_4iomodule_c5_index: 16gpio_index: 424
+io_4iomodule_c5_index: 44gpio_index: 59
+io_4iomodule_c5_index: 29gpio_index: 420
+io_4iomodule_c5_index: 1gpio_index: 62
+io_4iomodule_c5_index: 8gpio_index: 416
+io_4iomodule_c5_index: 65gpio_index: 67
+io_4iomodule_c5_index: 25gpio_index: 412
+io_4iomodule_c5_index: 40gpio_index: 70
+io_4iomodule_c5_index: 55gpio_index: 408
+io_4iomodule_c5_index: 66gpio_index: 75
+io_4iomodule_c5_index: 5gpio_index: 404
+io_4iomodule_c5_index: 61gpio_index: 78
+io_4iomodule_c5_index: 17gpio_index: 400
+io_4iomodule_c5_index: 42gpio_index: 83
+io_4iomodule_c5_index: 59gpio_index: 396
+io_4iomodule_c5_index: 54gpio_index: 86
+io_4iomodule_c5_index: 58gpio_index: 392
+io_4iomodule_c5_index: 33gpio_index: 91
+io_4iomodule_c5_index: 41gpio_index: 388
+io_4iomodule_c5_index: 69gpio_index: 94
+io_4iomodule_c5_index: 3gpio_index: 384
+io_4iomodule_c5_index: 18gpio_index: 99
+io_4iomodule_c5_index: 15gpio_index: 380
+io_4iomodule_c5_index: 6gpio_index: 102
+io_4iomodule_c5_index: 7gpio_index: 376
+io_4iomodule_c5_index: 47gpio_index: 107
+io_4iomodule_c5_index: 39gpio_index: 372
+io_4iomodule_c5_index: 32gpio_index: 110
+io_4iomodule_c5_index: 24gpio_index: 368
+io_4iomodule_c5_index: 48gpio_index: 115
+io_4iomodule_c5_index: 57gpio_index: 364
+io_4iomodule_c5_index: 64gpio_index: 118
+io_4iomodule_c5_index: 31gpio_index: 360
+io_4iomodule_c5_index: 46gpio_index: 123
+io_4iomodule_c5_index: 21gpio_index: 356
+io_4iomodule_c5_index: 72gpio_index: 126
+io_4iomodule_c5_index: 70gpio_index: 352
+io_4iomodule_c5_index: 49gpio_index: 131
+io_4iomodule_c5_index: 63gpio_index: 348
+io_4iomodule_c5_index: 79gpio_index: 134
+io_4iomodule_c5_index: 28gpio_index: 344
+io_4iomodule_c5_index: 34gpio_index: 139
+io_4iomodule_c5_index: 4gpio_index: 340
+io_4iomodule_c5_index: 68gpio_index: 142
+io_4iomodule_c5_index: 37gpio_index: 336
+io_4iomodule_c5_index: 45gpio_index: 147
+io_4iomodule_c5_index: 35gpio_index: 332
+io_4iomodule_c5_index: 38gpio_index: 150
+io_4iomodule_c5_index: 19gpio_index: 328
+io_4iomodule_c5_index: 52gpio_index: 155
+io_4iomodule_c5_index: 30gpio_index: 324
+io_4iomodule_c5_index: 75gpio_index: 158
+io_4iomodule_c5_index: 13gpio_index: 320
+io_4iomodule_h_c5_index: 0gpio_index: 161
+io_4iomodule_h_c5_index: 15gpio_index: 165
+io_4iomodule_h_c5_index: 27gpio_index: 169
+io_4iomodule_h_c5_index: 30gpio_index: 173
+io_4iomodule_h_c5_index: 36gpio_index: 176
+io_4iomodule_h_c5_index: 37gpio_index: 180
+io_4iomodule_h_c5_index: 26gpio_index: 184
+io_4iomodule_h_c5_index: 24gpio_index: 188
+io_4iomodule_h_c5_index: 1gpio_index: 192
+io_4iomodule_h_c5_index: 21gpio_index: 196
+io_4iomodule_h_c5_index: 18gpio_index: 200
+io_4iomodule_h_c5_index: 6gpio_index: 204
+io_4iomodule_h_c5_index: 31gpio_index: 208
+io_4iomodule_h_c5_index: 3gpio_index: 212
+io_4iomodule_h_c5_index: 20gpio_index: 216
+io_4iomodule_h_c5_index: 4gpio_index: 220
+io_4iomodule_h_c5_index: 29gpio_index: 224
+io_4iomodule_h_c5_index: 22gpio_index: 228
+io_4iomodule_h_c5_index: 16gpio_index: 232
+io_4iomodule_h_c5_index: 9gpio_index: 236
+io_4iomodule_h_c5_index: 25gpio_index: 240
+io_4iomodule_h_c5_index: 11gpio_index: 244
+io_4iomodule_h_c5_index: 19gpio_index: 248
+io_4iomodule_h_c5_index: 23gpio_index: 252
+io_4iomodule_h_c5_index: 17gpio_index: 256
+io_4iomodule_h_c5_index: 8gpio_index: 260
+io_4iomodule_h_c5_index: 38gpio_index: 264
+io_4iomodule_h_c5_index: 2gpio_index: 268
+io_4iomodule_h_c5_index: 12gpio_index: 272
+io_4iomodule_h_c5_index: 35gpio_index: 276
+io_4iomodule_h_c5_index: 13gpio_index: 280
+io_4iomodule_h_c5_index: 5gpio_index: 284
+io_4iomodule_h_c5_index: 28gpio_index: 288
+io_4iomodule_h_c5_index: 7gpio_index: 292
+io_4iomodule_h_c5_index: 34gpio_index: 296
+io_4iomodule_h_c5_index: 14gpio_index: 300
+io_4iomodule_h_c5_index: 33gpio_index: 304
+io_4iomodule_h_c5_index: 39gpio_index: 308
+io_4iomodule_h_c5_index: 32gpio_index: 312
+io_4iomodule_h_c5_index: 10gpio_index: 316
diff --git a/db/.cmp.kpt b/db/.cmp.kpt
new file mode 100644
index 0000000..3e192d0
Binary files /dev/null and b/db/.cmp.kpt differ
diff --git a/db/mips.(0).cnf.cdb b/db/mips.(0).cnf.cdb
new file mode 100644
index 0000000..a28ff85
Binary files /dev/null and b/db/mips.(0).cnf.cdb differ
diff --git a/db/mips.(0).cnf.hdb b/db/mips.(0).cnf.hdb
new file mode 100644
index 0000000..d776f6d
Binary files /dev/null and b/db/mips.(0).cnf.hdb differ
diff --git a/db/mips.(1).cnf.cdb b/db/mips.(1).cnf.cdb
new file mode 100644
index 0000000..35375ab
Binary files /dev/null and b/db/mips.(1).cnf.cdb differ
diff --git a/db/mips.(1).cnf.hdb b/db/mips.(1).cnf.hdb
new file mode 100644
index 0000000..e5a2123
Binary files /dev/null and b/db/mips.(1).cnf.hdb differ
diff --git a/db/mips.(2).cnf.cdb b/db/mips.(2).cnf.cdb
new file mode 100644
index 0000000..5dee478
Binary files /dev/null and b/db/mips.(2).cnf.cdb differ
diff --git a/db/mips.(2).cnf.hdb b/db/mips.(2).cnf.hdb
new file mode 100644
index 0000000..fcc8a67
Binary files /dev/null and b/db/mips.(2).cnf.hdb differ
diff --git a/db/mips.(3).cnf.cdb b/db/mips.(3).cnf.cdb
new file mode 100644
index 0000000..60e6d4b
Binary files /dev/null and b/db/mips.(3).cnf.cdb differ
diff --git a/db/mips.(3).cnf.hdb b/db/mips.(3).cnf.hdb
new file mode 100644
index 0000000..9e32f6f
Binary files /dev/null and b/db/mips.(3).cnf.hdb differ
diff --git a/db/mips.(4).cnf.cdb b/db/mips.(4).cnf.cdb
new file mode 100644
index 0000000..7bbe290
Binary files /dev/null and b/db/mips.(4).cnf.cdb differ
diff --git a/db/mips.(4).cnf.hdb b/db/mips.(4).cnf.hdb
new file mode 100644
index 0000000..7749ed0
Binary files /dev/null and b/db/mips.(4).cnf.hdb differ
diff --git a/db/mips.(5).cnf.cdb b/db/mips.(5).cnf.cdb
new file mode 100644
index 0000000..af6e3a7
Binary files /dev/null and b/db/mips.(5).cnf.cdb differ
diff --git a/db/mips.(5).cnf.hdb b/db/mips.(5).cnf.hdb
new file mode 100644
index 0000000..37f7c19
Binary files /dev/null and b/db/mips.(5).cnf.hdb differ
diff --git a/db/mips.(6).cnf.cdb b/db/mips.(6).cnf.cdb
new file mode 100644
index 0000000..6043175
Binary files /dev/null and b/db/mips.(6).cnf.cdb differ
diff --git a/db/mips.(6).cnf.hdb b/db/mips.(6).cnf.hdb
new file mode 100644
index 0000000..d265186
Binary files /dev/null and b/db/mips.(6).cnf.hdb differ
diff --git a/db/mips.(7).cnf.cdb b/db/mips.(7).cnf.cdb
new file mode 100644
index 0000000..9429d8e
Binary files /dev/null and b/db/mips.(7).cnf.cdb differ
diff --git a/db/mips.(7).cnf.hdb b/db/mips.(7).cnf.hdb
new file mode 100644
index 0000000..60e8193
Binary files /dev/null and b/db/mips.(7).cnf.hdb differ
diff --git a/db/mips.(8).cnf.cdb b/db/mips.(8).cnf.cdb
new file mode 100644
index 0000000..527dc89
Binary files /dev/null and b/db/mips.(8).cnf.cdb differ
diff --git a/db/mips.(8).cnf.hdb b/db/mips.(8).cnf.hdb
new file mode 100644
index 0000000..e4d413d
Binary files /dev/null and b/db/mips.(8).cnf.hdb differ
diff --git a/db/mips.asm.qmsg b/db/mips.asm.qmsg
new file mode 100644
index 0000000..c1d2165
--- /dev/null
+++ b/db/mips.asm.qmsg
@@ -0,0 +1,6 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1648709500765 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition " "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1648709500777 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 31 12:21:40 2022 " "Processing started: Thu Mar 31 12:21:40 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1648709500777 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1648709500777 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off mips -c mips " "Command: quartus_asm --read_settings_files=off --write_settings_files=off mips -c mips" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1648709500777 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1648709503445 ""}
+{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1648709517288 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4862 " "Peak virtual memory: 4862 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648709517963 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:21:57 2022 " "Processing ended: Thu Mar 31 12:21:57 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648709517963 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:17 " "Elapsed time: 00:00:17" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648709517963 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:17 " "Total CPU time (on all processors): 00:00:17" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648709517963 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1648709517963 ""}
diff --git a/db/mips.asm.rdb b/db/mips.asm.rdb
new file mode 100644
index 0000000..b8f6631
Binary files /dev/null and b/db/mips.asm.rdb differ
diff --git a/db/mips.cbx.xml b/db/mips.cbx.xml
new file mode 100644
index 0000000..3b72075
--- /dev/null
+++ b/db/mips.cbx.xml
@@ -0,0 +1,5 @@
+
+
+
+
+
diff --git a/db/mips.cmp.bpm b/db/mips.cmp.bpm
new file mode 100644
index 0000000..49c2024
Binary files /dev/null and b/db/mips.cmp.bpm differ
diff --git a/db/mips.cmp.cdb b/db/mips.cmp.cdb
new file mode 100644
index 0000000..00181ce
Binary files /dev/null and b/db/mips.cmp.cdb differ
diff --git a/db/mips.cmp.hdb b/db/mips.cmp.hdb
new file mode 100644
index 0000000..6763901
Binary files /dev/null and b/db/mips.cmp.hdb differ
diff --git a/db/mips.cmp.idb b/db/mips.cmp.idb
new file mode 100644
index 0000000..a6f4443
Binary files /dev/null and b/db/mips.cmp.idb differ
diff --git a/db/mips.cmp.logdb b/db/mips.cmp.logdb
new file mode 100644
index 0000000..38052bf
--- /dev/null
+++ b/db/mips.cmp.logdb
@@ -0,0 +1,74 @@
+v1
+IO_RULES,NUM_PINS_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000001,Capacity Checks,Number of pins in an I/O bank should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,,
+IO_RULES,NUM_CLKS_NOT_EXCEED_CLKS_AVAILABLE,INAPPLICABLE,IO_000002,Capacity Checks,Number of clocks in an I/O bank should not exceed the number of clocks available.,Critical,No Global Signal assignments found.,,I/O,,
+IO_RULES,NUM_VREF_NOT_EXCEED_LOC_AVAILABLE,INAPPLICABLE,IO_000003,Capacity Checks,Number of pins in a Vrefgroup should not exceed the number of locations available.,Critical,No Location assignments found.,,I/O,,
+IO_RULES,IO_BANK_SUPPORT_VCCIO,INAPPLICABLE,IO_000004,Voltage Compatibility Checks,The I/O bank should support the requested VCCIO.,Critical,No IOBANK_VCCIO assignments found.,,I/O,,
+IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VREF,INAPPLICABLE,IO_000005,Voltage Compatibility Checks,The I/O bank should not have competing VREF values.,Critical,No VREF I/O Standard assignments found.,,I/O,,
+IO_RULES,IO_BANK_NOT_HAVE_COMPETING_VCCIO,PASS,IO_000006,Voltage Compatibility Checks,The I/O bank should not have competing VCCIO values.,Critical,0 such failures found.,,I/O,,
+IO_RULES,CHECK_UNAVAILABLE_LOC,INAPPLICABLE,IO_000007,Valid Location Checks,Checks for unavailable locations.,Critical,No Location assignments found.,,I/O,,
+IO_RULES,CHECK_RESERVED_LOC,INAPPLICABLE,IO_000008,Valid Location Checks,Checks for reserved locations.,Critical,No reserved LogicLock region found.,,I/O,,
+IO_RULES,LOC_SUPPORT_IO_STD,PASS,IO_000009,I/O Properties Checks for One I/O,The location should support the requested I/O standard.,Critical,0 such failures found.,,I/O,,
+IO_RULES,LOC_SUPPORT_IO_DIR,PASS,IO_000010,I/O Properties Checks for One I/O,The location should support the requested I/O direction.,Critical,0 such failures found.,,I/O,,
+IO_RULES,LOC_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000011,I/O Properties Checks for One I/O,The location should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,,
+IO_RULES,LOC_SUPPORT_OCT_VALUE,PASS,IO_000012,I/O Properties Checks for One I/O,The location should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,,
+IO_RULES,LOC_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000013,I/O Properties Checks for One I/O,The location should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,,
+IO_RULES,LOC_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000014,I/O Properties Checks for One I/O,The location should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,,
+IO_RULES,LOC_SUPPORT_PCI_CLAMP_DIODE,INAPPLICABLE,IO_000015,I/O Properties Checks for One I/O,The location should support the requested PCI Clamp Diode.,Critical,No Clamping Diode assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_CURRENT_STRENGTH,INAPPLICABLE,IO_000018,I/O Properties Checks for One I/O,The I/O standard should support the requested Current Strength.,Critical,No Current Strength assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_OCT_VALUE,PASS,IO_000019,I/O Properties Checks for One I/O,The I/O standard should support the requested On Chip Termination value.,Critical,0 such failures found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_PCI_CLAMP_DIODE,INAPPLICABLE,IO_000020,I/O Properties Checks for One I/O,The I/O standard should support the requested PCI Clamp Diode.,Critical,No Clamping Diode assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_WEAK_PULL_UP_VALUE,INAPPLICABLE,IO_000021,I/O Properties Checks for One I/O,The I/O standard should support the requested Weak Pull Up value.,Critical,No Weak Pull-Up Resistor assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_BUS_HOLD_VALUE,INAPPLICABLE,IO_000022,I/O Properties Checks for One I/O,The I/O standard should support the requested Bus Hold value.,Critical,No Enable Bus-Hold Circuitry assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORT_OPEN_DRAIN_VALUE,INAPPLICABLE,IO_000023,I/O Properties Checks for One I/O,The I/O standard should support the Open Drain value.,Critical,No open drain assignments found.,,I/O,,
+IO_RULES,IO_DIR_SUPPORT_OCT_VALUE,PASS,IO_000024,I/O Properties Checks for One I/O,The I/O direction should support the On Chip Termination value.,Critical,0 such failures found.,,I/O,,
+IO_RULES,OCT_AND_CURRENT_STRENGTH_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000026,I/O Properties Checks for One I/O,On Chip Termination and Current Strength should not be used at the same time.,Critical,No Current Strength assignments found.,,I/O,,
+IO_RULES,WEAK_PULL_UP_AND_BUS_HOLD_NOT_USED_SIMULTANEOUSLY,INAPPLICABLE,IO_000027,I/O Properties Checks for One I/O,Weak Pull Up and Bus Hold should not be used at the same time.,Critical,No Enable Bus-Hold Circuitry or Weak Pull-Up Resistor assignments found.,,I/O,,
+IO_RULES,IO_STD_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000045,I/O Properties Checks for One I/O,The I/O standard should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,,
+IO_RULES,LOC_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000046,I/O Properties Checks for One I/O,The location should support the requested Slew Rate value.,Critical,No Slew Rate assignments found.,,I/O,,
+IO_RULES,OCT_SUPPORTS_SLEW_RATE,INAPPLICABLE,IO_000047,I/O Properties Checks for One I/O,On Chip Termination and Slew Rate should not be used at the same time.,Critical,No Slew Rate assignments found.,,I/O,,
+IO_RULES,SINGLE_ENDED_OUTPUTS_LAB_ROWS_FROM_DIFF_IO,INAPPLICABLE,IO_000034,SI Related Distance Checks,Single-ended outputs should be 0 LAB row(s) away from a differential I/O.,High,No Differential I/O Standard assignments found.,,I/O,,
+IO_RULES,DEV_IO_RULE_OCT_DISCLAIMER,,,,,,,,,,
+IO_RULES_MATRIX,Pin/Rules,IO_000001;IO_000002;IO_000003;IO_000004;IO_000005;IO_000006;IO_000007;IO_000008;IO_000009;IO_000010;IO_000011;IO_000012;IO_000013;IO_000014;IO_000015;IO_000018;IO_000019;IO_000020;IO_000021;IO_000022;IO_000023;IO_000024;IO_000026;IO_000027;IO_000045;IO_000046;IO_000047;IO_000034,
+IO_RULES_MATRIX,Total Pass,0;0;0;0;0;34;0;0;34;34;0;32;0;0;0;0;32;0;0;0;0;32;0;0;0;0;0;0,
+IO_RULES_MATRIX,Total Unchecked,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0,
+IO_RULES_MATRIX,Total Inapplicable,34;34;34;34;34;0;34;34;0;0;34;2;34;34;34;34;2;34;34;34;34;2;34;34;34;34;34;34,
+IO_RULES_MATRIX,Total Fail,0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0;0,
+IO_RULES_MATRIX,pc_out[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[3],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[4],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[5],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[6],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[7],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[8],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[9],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[10],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[11],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[12],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[13],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[14],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,pc_out[15],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[0],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[1],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[2],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[3],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[4],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[5],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[6],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[7],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[8],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[9],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[10],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[11],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[12],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[13],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[14],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,alu_result[15],Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,reset,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_MATRIX,clk,Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Pass;Inapplicable;Inapplicable;Pass;Pass;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable;Inapplicable,
+IO_RULES_SUMMARY,Total I/O Rules,28,
+IO_RULES_SUMMARY,Number of I/O Rules Passed,6,
+IO_RULES_SUMMARY,Number of I/O Rules Failed,0,
+IO_RULES_SUMMARY,Number of I/O Rules Unchecked,0,
+IO_RULES_SUMMARY,Number of I/O Rules Inapplicable,22,
diff --git a/db/mips.cmp.rdb b/db/mips.cmp.rdb
new file mode 100644
index 0000000..bbb29dd
Binary files /dev/null and b/db/mips.cmp.rdb differ
diff --git a/db/mips.cmp_merge.kpt b/db/mips.cmp_merge.kpt
new file mode 100644
index 0000000..0b99e99
Binary files /dev/null and b/db/mips.cmp_merge.kpt differ
diff --git a/db/mips.cyclonev_io_sim_cache.ff_0c_fast.hsd b/db/mips.cyclonev_io_sim_cache.ff_0c_fast.hsd
new file mode 100644
index 0000000..52cd446
Binary files /dev/null and b/db/mips.cyclonev_io_sim_cache.ff_0c_fast.hsd differ
diff --git a/db/mips.cyclonev_io_sim_cache.ff_85c_fast.hsd b/db/mips.cyclonev_io_sim_cache.ff_85c_fast.hsd
new file mode 100644
index 0000000..8a543ff
Binary files /dev/null and b/db/mips.cyclonev_io_sim_cache.ff_85c_fast.hsd differ
diff --git a/db/mips.cyclonev_io_sim_cache.ss_0c_slow.hsd b/db/mips.cyclonev_io_sim_cache.ss_0c_slow.hsd
new file mode 100644
index 0000000..caa3793
Binary files /dev/null and b/db/mips.cyclonev_io_sim_cache.ss_0c_slow.hsd differ
diff --git a/db/mips.cyclonev_io_sim_cache.ss_85c_slow.hsd b/db/mips.cyclonev_io_sim_cache.ss_85c_slow.hsd
new file mode 100644
index 0000000..7870e89
Binary files /dev/null and b/db/mips.cyclonev_io_sim_cache.ss_85c_slow.hsd differ
diff --git a/db/mips.db_info b/db/mips.db_info
new file mode 100644
index 0000000..b5bb9fe
--- /dev/null
+++ b/db/mips.db_info
@@ -0,0 +1,3 @@
+Quartus_Version = Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+Version_Index = 486704640
+Creation_Time = Thu Mar 31 12:09:18 2022
diff --git a/db/mips.eda.qmsg b/db/mips.eda.qmsg
new file mode 100644
index 0000000..a8252b2
--- /dev/null
+++ b/db/mips.eda.qmsg
@@ -0,0 +1,6 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1648709553098 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition " "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1648709553111 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 31 12:22:32 2022 " "Processing started: Thu Mar 31 12:22:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1648709553111 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1648709553111 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off mips -c mips " "Command: quartus_eda --read_settings_files=off --write_settings_files=off mips -c mips" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1648709553111 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1648709556012 ""}
+{ "Info" "IWSC_DONE_HDL_GENERATION" "mips.vo D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/simulation/modelsim/ simulation " "Generated file mips.vo in folder \"D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1648709557709 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4754 " "Peak virtual memory: 4754 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648709557901 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:22:37 2022 " "Processing ended: Thu Mar 31 12:22:37 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648709557901 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648709557901 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648709557901 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1648709557901 ""}
diff --git a/db/mips.fit.qmsg b/db/mips.fit.qmsg
new file mode 100644
index 0000000..7e51ed8
--- /dev/null
+++ b/db/mips.fit.qmsg
@@ -0,0 +1,44 @@
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1648709228276 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1648709228277 ""}
+{ "Info" "IMPP_MPP_USER_DEVICE" "mips 5CGXFC7C7F23C8 " "Selected device 5CGXFC7C7F23C8 for design \"mips\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1648709228341 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1648709228414 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1648709228414 ""}
+{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1648709229137 ""}
+{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1648709229175 ""}
+{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1648709229445 ""}
+{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "34 34 " "No exact pin location assignment(s) for 34 pins of 34 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1648709229855 ""}
+{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_START_INFO" "" "Starting Fitter periphery placement operations" { } { } 0 184020 "Starting Fitter periphery placement operations" 0 0 "Fitter" 0 -1 1648709240918 ""}
+{ "Info" "ICCLK_CLOCKS_TOP_AUTO" "1 (1 global) " "Automatically promoted 1 clock (1 global)" { { "Info" "ICCLK_PROMOTE_ASSIGNMENT" "clk~inputCLKENA0 4208 global CLKCTRL_G10 " "clk~inputCLKENA0 with 4208 fanout uses global clock CLKCTRL_G10" { } { } 0 11162 "%1!s! with %2!d! fanout uses %3!s! clock %4!s!" 0 0 "Design Software" 0 -1 1648709241372 ""} } { } 0 11191 "Automatically promoted %1!d! clock%2!s! %3!s!" 0 0 "Fitter" 0 -1 1648709241372 ""}
+{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_END_INFO" "00:00:00 " "Fitter periphery placement operations ending: elapsed time is 00:00:00" { } { } 0 184021 "Fitter periphery placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648709241372 ""}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1648709241406 ""}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1648709241423 ""}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1648709241454 ""}
+{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1648709241486 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1648709241486 ""}
+{ "Extra Info" "IFSAC_FSAC_START_IO_MAC_RAM_PACKING" "" "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" { } { } 1 176246 "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1648709241502 ""}
+{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "mips.sdc " "Synopsys Design Constraints File file not found: 'mips.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1648709242584 ""}
+{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1648709242584 ""}
+{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1648709242733 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1648709242733 ""}
+{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1648709242735 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MAC_RAM_PACKING" "" "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" { } { } 1 176247 "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1648709242890 ""}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1648709242907 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1648709242907 ""}
+{ "Info" "IFSV_FITTER_PREPARATION_END" "00:00:14 " "Fitter preparation operations ending: elapsed time is 00:00:14" { } { } 0 11798 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648709243076 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1648709253280 ""}
+{ "Info" "IVPR20K_VPR_APL_ENABLED" "" "The Fitter is using Advanced Physical Optimization." { } { } 0 14951 "The Fitter is using Advanced Physical Optimization." 0 0 "Fitter" 0 -1 1648709254337 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:35 " "Fitter placement preparation operations ending: elapsed time is 00:00:35" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648709288796 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1648709311864 ""}
+{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1648709327656 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:16 " "Fitter placement operations ending: elapsed time is 00:00:16" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648709327657 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1648709330344 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "2 " "Router estimated average interconnect usage is 2% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "47 X56_Y11 X66_Y22 " "Router estimated peak interconnect usage is 47% of the available device resources in the region that extends from location X56_Y11 to location X66_Y22" { } { { "loc" "" { Generic "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/" { { 1 { 0 "Router estimated peak interconnect usage is 47% of the available device resources in the region that extends from location X56_Y11 to location X66_Y22"} { { 12 { 0 ""} 56 11 11 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1648709355075 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1648709355075 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1648709450666 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1648709450666 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:01:50 " "Fitter routing operations ending: elapsed time is 00:01:50" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648709450671 ""}
+{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 16.92 " "Total time spent on timing analysis during the Fitter is 16.92 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1648709461736 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1648709461883 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1648709468771 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1648709468778 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1648709477364 ""}
+{ "Info" "IFSV_FITTER_POST_OPERATION_END" "00:00:32 " "Fitter post-fit operations ending: elapsed time is 00:00:32" { } { } 0 11801 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648709493714 ""}
+{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/output_files/mips.fit.smsg " "Generated suppressed messages file D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/output_files/mips.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1648709495315 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "6749 " "Peak virtual memory: 6749 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648709498800 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:21:38 2022 " "Processing ended: Thu Mar 31 12:21:38 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648709498800 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:04:31 " "Elapsed time: 00:04:31" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648709498800 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:09:25 " "Total CPU time (on all processors): 00:09:25" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648709498800 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1648709498800 ""}
diff --git a/db/mips.hier_info b/db/mips.hier_info
new file mode 100644
index 0000000..6172c79
--- /dev/null
+++ b/db/mips.hier_info
@@ -0,0 +1,1290 @@
+|mips_16
+clk => clk.IN2
+reset => reset.IN2
+pc_out[0] <= pc_out[0].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[1] <= pc_current[1].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[2] <= pc_current[2].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[3] <= pc_current[3].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[4] <= pc_current[4].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[5] <= pc_current[5].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[6] <= pc_current[6].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[7] <= pc_current[7].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[8] <= pc_current[8].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[9] <= pc_current[9].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[10] <= pc_current[10].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[11] <= pc_current[11].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[12] <= pc_current[12].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[13] <= pc_current[13].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[14] <= pc_current[14].DB_MAX_OUTPUT_PORT_TYPE
+pc_out[15] <= pc_current[15].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[0] <= ALU_out[0].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[1] <= ALU_out[1].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[2] <= ALU_out[2].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[3] <= ALU_out[3].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[4] <= ALU_out[4].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[5] <= ALU_out[5].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[6] <= ALU_out[6].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[7] <= ALU_out[7].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[8] <= ALU_out[8].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[9] <= ALU_out[9].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[10] <= ALU_out[10].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[11] <= ALU_out[11].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[12] <= ALU_out[12].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[13] <= ALU_out[13].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[14] <= ALU_out[14].DB_MAX_OUTPUT_PORT_TYPE
+alu_result[15] <= ALU_out[15].DB_MAX_OUTPUT_PORT_TYPE
+
+
+|mips_16|instr_mem:instrucion_memory
+pc[0] => LessThan0.IN28
+pc[1] => LessThan0.IN32
+pc[1] => rom.RADDR
+pc[2] => LessThan0.IN31
+pc[2] => rom.RADDR1
+pc[3] => LessThan0.IN30
+pc[3] => rom.RADDR2
+pc[4] => LessThan0.IN29
+pc[4] => rom.RADDR3
+pc[5] => LessThan0.IN27
+pc[6] => LessThan0.IN26
+pc[7] => LessThan0.IN25
+pc[8] => LessThan0.IN24
+pc[9] => LessThan0.IN23
+pc[10] => LessThan0.IN22
+pc[11] => LessThan0.IN21
+pc[12] => LessThan0.IN20
+pc[13] => LessThan0.IN19
+pc[14] => LessThan0.IN18
+pc[15] => LessThan0.IN17
+instruction[0] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[1] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[2] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[3] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[4] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[5] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[6] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[7] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[8] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[9] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[10] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[11] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[12] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[13] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[14] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+instruction[15] <= instruction.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|mips_16|control:control_unit
+opcode[0] => Decoder0.IN2
+opcode[1] => Decoder0.IN1
+opcode[2] => Decoder0.IN0
+opcode[2] => alu_op.DATAA
+reset => reg_dst.OUTPUTSELECT
+reset => reg_dst.OUTPUTSELECT
+reset => mem_to_reg.OUTPUTSELECT
+reset => mem_to_reg.OUTPUTSELECT
+reset => alu_op.OUTPUTSELECT
+reset => alu_op.OUTPUTSELECT
+reset => jump.OUTPUTSELECT
+reset => branch.OUTPUTSELECT
+reset => mem_read.OUTPUTSELECT
+reset => mem_write.OUTPUTSELECT
+reset => alu_src.OUTPUTSELECT
+reset => reg_write.OUTPUTSELECT
+reset => sign_or_zero.OUTPUTSELECT
+reg_dst[0] <= reg_dst.DB_MAX_OUTPUT_PORT_TYPE
+reg_dst[1] <= reg_dst.DB_MAX_OUTPUT_PORT_TYPE
+mem_to_reg[0] <= mem_to_reg.DB_MAX_OUTPUT_PORT_TYPE
+mem_to_reg[1] <= mem_to_reg.DB_MAX_OUTPUT_PORT_TYPE
+alu_op[0] <= alu_op.DB_MAX_OUTPUT_PORT_TYPE
+alu_op[1] <= alu_op.DB_MAX_OUTPUT_PORT_TYPE
+jump <= jump.DB_MAX_OUTPUT_PORT_TYPE
+branch <= branch.DB_MAX_OUTPUT_PORT_TYPE
+mem_read <= mem_read.DB_MAX_OUTPUT_PORT_TYPE
+mem_write <= mem_write.DB_MAX_OUTPUT_PORT_TYPE
+alu_src <= alu_src.DB_MAX_OUTPUT_PORT_TYPE
+reg_write <= reg_write.DB_MAX_OUTPUT_PORT_TYPE
+sign_or_zero <= sign_or_zero.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|mips_16|register_file:reg_file
+clk => reg_array[0][0].CLK
+clk => reg_array[0][1].CLK
+clk => reg_array[0][2].CLK
+clk => reg_array[0][3].CLK
+clk => reg_array[0][4].CLK
+clk => reg_array[0][5].CLK
+clk => reg_array[0][6].CLK
+clk => reg_array[0][7].CLK
+clk => reg_array[0][8].CLK
+clk => reg_array[0][9].CLK
+clk => reg_array[0][10].CLK
+clk => reg_array[0][11].CLK
+clk => reg_array[0][12].CLK
+clk => reg_array[0][13].CLK
+clk => reg_array[0][14].CLK
+clk => reg_array[0][15].CLK
+clk => reg_array[1][0].CLK
+clk => reg_array[1][1].CLK
+clk => reg_array[1][2].CLK
+clk => reg_array[1][3].CLK
+clk => reg_array[1][4].CLK
+clk => reg_array[1][5].CLK
+clk => reg_array[1][6].CLK
+clk => reg_array[1][7].CLK
+clk => reg_array[1][8].CLK
+clk => reg_array[1][9].CLK
+clk => reg_array[1][10].CLK
+clk => reg_array[1][11].CLK
+clk => reg_array[1][12].CLK
+clk => reg_array[1][13].CLK
+clk => reg_array[1][14].CLK
+clk => reg_array[1][15].CLK
+clk => reg_array[2][0].CLK
+clk => reg_array[2][1].CLK
+clk => reg_array[2][2].CLK
+clk => reg_array[2][3].CLK
+clk => reg_array[2][4].CLK
+clk => reg_array[2][5].CLK
+clk => reg_array[2][6].CLK
+clk => reg_array[2][7].CLK
+clk => reg_array[2][8].CLK
+clk => reg_array[2][9].CLK
+clk => reg_array[2][10].CLK
+clk => reg_array[2][11].CLK
+clk => reg_array[2][12].CLK
+clk => reg_array[2][13].CLK
+clk => reg_array[2][14].CLK
+clk => reg_array[2][15].CLK
+clk => reg_array[3][0].CLK
+clk => reg_array[3][1].CLK
+clk => reg_array[3][2].CLK
+clk => reg_array[3][3].CLK
+clk => reg_array[3][4].CLK
+clk => reg_array[3][5].CLK
+clk => reg_array[3][6].CLK
+clk => reg_array[3][7].CLK
+clk => reg_array[3][8].CLK
+clk => reg_array[3][9].CLK
+clk => reg_array[3][10].CLK
+clk => reg_array[3][11].CLK
+clk => reg_array[3][12].CLK
+clk => reg_array[3][13].CLK
+clk => reg_array[3][14].CLK
+clk => reg_array[3][15].CLK
+clk => reg_array[4][0].CLK
+clk => reg_array[4][1].CLK
+clk => reg_array[4][2].CLK
+clk => reg_array[4][3].CLK
+clk => reg_array[4][4].CLK
+clk => reg_array[4][5].CLK
+clk => reg_array[4][6].CLK
+clk => reg_array[4][7].CLK
+clk => reg_array[4][8].CLK
+clk => reg_array[4][9].CLK
+clk => reg_array[4][10].CLK
+clk => reg_array[4][11].CLK
+clk => reg_array[4][12].CLK
+clk => reg_array[4][13].CLK
+clk => reg_array[4][14].CLK
+clk => reg_array[4][15].CLK
+clk => reg_array[5][0].CLK
+clk => reg_array[5][1].CLK
+clk => reg_array[5][2].CLK
+clk => reg_array[5][3].CLK
+clk => reg_array[5][4].CLK
+clk => reg_array[5][5].CLK
+clk => reg_array[5][6].CLK
+clk => reg_array[5][7].CLK
+clk => reg_array[5][8].CLK
+clk => reg_array[5][9].CLK
+clk => reg_array[5][10].CLK
+clk => reg_array[5][11].CLK
+clk => reg_array[5][12].CLK
+clk => reg_array[5][13].CLK
+clk => reg_array[5][14].CLK
+clk => reg_array[5][15].CLK
+clk => reg_array[6][0].CLK
+clk => reg_array[6][1].CLK
+clk => reg_array[6][2].CLK
+clk => reg_array[6][3].CLK
+clk => reg_array[6][4].CLK
+clk => reg_array[6][5].CLK
+clk => reg_array[6][6].CLK
+clk => reg_array[6][7].CLK
+clk => reg_array[6][8].CLK
+clk => reg_array[6][9].CLK
+clk => reg_array[6][10].CLK
+clk => reg_array[6][11].CLK
+clk => reg_array[6][12].CLK
+clk => reg_array[6][13].CLK
+clk => reg_array[6][14].CLK
+clk => reg_array[6][15].CLK
+clk => reg_array[7][0].CLK
+clk => reg_array[7][1].CLK
+clk => reg_array[7][2].CLK
+clk => reg_array[7][3].CLK
+clk => reg_array[7][4].CLK
+clk => reg_array[7][5].CLK
+clk => reg_array[7][6].CLK
+clk => reg_array[7][7].CLK
+clk => reg_array[7][8].CLK
+clk => reg_array[7][9].CLK
+clk => reg_array[7][10].CLK
+clk => reg_array[7][11].CLK
+clk => reg_array[7][12].CLK
+clk => reg_array[7][13].CLK
+clk => reg_array[7][14].CLK
+clk => reg_array[7][15].CLK
+rst => reg_array[0][0].ACLR
+rst => reg_array[0][1].ACLR
+rst => reg_array[0][2].ACLR
+rst => reg_array[0][3].ACLR
+rst => reg_array[0][4].ACLR
+rst => reg_array[0][5].ACLR
+rst => reg_array[0][6].ACLR
+rst => reg_array[0][7].ACLR
+rst => reg_array[0][8].ACLR
+rst => reg_array[0][9].ACLR
+rst => reg_array[0][10].ACLR
+rst => reg_array[0][11].ACLR
+rst => reg_array[0][12].ACLR
+rst => reg_array[0][13].ACLR
+rst => reg_array[0][14].ACLR
+rst => reg_array[0][15].ACLR
+rst => reg_array[1][0].ACLR
+rst => reg_array[1][1].ACLR
+rst => reg_array[1][2].ACLR
+rst => reg_array[1][3].ACLR
+rst => reg_array[1][4].ACLR
+rst => reg_array[1][5].ACLR
+rst => reg_array[1][6].ACLR
+rst => reg_array[1][7].ACLR
+rst => reg_array[1][8].ACLR
+rst => reg_array[1][9].ACLR
+rst => reg_array[1][10].ACLR
+rst => reg_array[1][11].ACLR
+rst => reg_array[1][12].ACLR
+rst => reg_array[1][13].ACLR
+rst => reg_array[1][14].ACLR
+rst => reg_array[1][15].ACLR
+rst => reg_array[2][0].ACLR
+rst => reg_array[2][1].ACLR
+rst => reg_array[2][2].ACLR
+rst => reg_array[2][3].ACLR
+rst => reg_array[2][4].ACLR
+rst => reg_array[2][5].ACLR
+rst => reg_array[2][6].ACLR
+rst => reg_array[2][7].ACLR
+rst => reg_array[2][8].ACLR
+rst => reg_array[2][9].ACLR
+rst => reg_array[2][10].ACLR
+rst => reg_array[2][11].ACLR
+rst => reg_array[2][12].ACLR
+rst => reg_array[2][13].ACLR
+rst => reg_array[2][14].ACLR
+rst => reg_array[2][15].ACLR
+rst => reg_array[3][0].ACLR
+rst => reg_array[3][1].ACLR
+rst => reg_array[3][2].ACLR
+rst => reg_array[3][3].ACLR
+rst => reg_array[3][4].ACLR
+rst => reg_array[3][5].ACLR
+rst => reg_array[3][6].ACLR
+rst => reg_array[3][7].ACLR
+rst => reg_array[3][8].ACLR
+rst => reg_array[3][9].ACLR
+rst => reg_array[3][10].ACLR
+rst => reg_array[3][11].ACLR
+rst => reg_array[3][12].ACLR
+rst => reg_array[3][13].ACLR
+rst => reg_array[3][14].ACLR
+rst => reg_array[3][15].ACLR
+rst => reg_array[4][0].ACLR
+rst => reg_array[4][1].ACLR
+rst => reg_array[4][2].ACLR
+rst => reg_array[4][3].ACLR
+rst => reg_array[4][4].ACLR
+rst => reg_array[4][5].ACLR
+rst => reg_array[4][6].ACLR
+rst => reg_array[4][7].ACLR
+rst => reg_array[4][8].ACLR
+rst => reg_array[4][9].ACLR
+rst => reg_array[4][10].ACLR
+rst => reg_array[4][11].ACLR
+rst => reg_array[4][12].ACLR
+rst => reg_array[4][13].ACLR
+rst => reg_array[4][14].ACLR
+rst => reg_array[4][15].ACLR
+rst => reg_array[5][0].ACLR
+rst => reg_array[5][1].ACLR
+rst => reg_array[5][2].ACLR
+rst => reg_array[5][3].ACLR
+rst => reg_array[5][4].ACLR
+rst => reg_array[5][5].ACLR
+rst => reg_array[5][6].ACLR
+rst => reg_array[5][7].ACLR
+rst => reg_array[5][8].ACLR
+rst => reg_array[5][9].ACLR
+rst => reg_array[5][10].ACLR
+rst => reg_array[5][11].ACLR
+rst => reg_array[5][12].ACLR
+rst => reg_array[5][13].ACLR
+rst => reg_array[5][14].ACLR
+rst => reg_array[5][15].ACLR
+rst => reg_array[6][0].ACLR
+rst => reg_array[6][1].ACLR
+rst => reg_array[6][2].ACLR
+rst => reg_array[6][3].ACLR
+rst => reg_array[6][4].ACLR
+rst => reg_array[6][5].ACLR
+rst => reg_array[6][6].ACLR
+rst => reg_array[6][7].ACLR
+rst => reg_array[6][8].ACLR
+rst => reg_array[6][9].ACLR
+rst => reg_array[6][10].ACLR
+rst => reg_array[6][11].ACLR
+rst => reg_array[6][12].ACLR
+rst => reg_array[6][13].ACLR
+rst => reg_array[6][14].ACLR
+rst => reg_array[6][15].ACLR
+rst => reg_array[7][0].ACLR
+rst => reg_array[7][1].ACLR
+rst => reg_array[7][2].ACLR
+rst => reg_array[7][3].ACLR
+rst => reg_array[7][4].ACLR
+rst => reg_array[7][5].ACLR
+rst => reg_array[7][6].ACLR
+rst => reg_array[7][7].ACLR
+rst => reg_array[7][8].ACLR
+rst => reg_array[7][9].ACLR
+rst => reg_array[7][10].ACLR
+rst => reg_array[7][11].ACLR
+rst => reg_array[7][12].ACLR
+rst => reg_array[7][13].ACLR
+rst => reg_array[7][14].ACLR
+rst => reg_array[7][15].ACLR
+reg_write_en => reg_array[7][15].ENA
+reg_write_en => reg_array[7][14].ENA
+reg_write_en => reg_array[7][13].ENA
+reg_write_en => reg_array[7][12].ENA
+reg_write_en => reg_array[7][11].ENA
+reg_write_en => reg_array[7][10].ENA
+reg_write_en => reg_array[7][9].ENA
+reg_write_en => reg_array[7][8].ENA
+reg_write_en => reg_array[7][7].ENA
+reg_write_en => reg_array[7][6].ENA
+reg_write_en => reg_array[7][5].ENA
+reg_write_en => reg_array[7][4].ENA
+reg_write_en => reg_array[7][3].ENA
+reg_write_en => reg_array[7][2].ENA
+reg_write_en => reg_array[7][1].ENA
+reg_write_en => reg_array[7][0].ENA
+reg_write_en => reg_array[6][15].ENA
+reg_write_en => reg_array[6][14].ENA
+reg_write_en => reg_array[6][13].ENA
+reg_write_en => reg_array[6][12].ENA
+reg_write_en => reg_array[6][11].ENA
+reg_write_en => reg_array[6][10].ENA
+reg_write_en => reg_array[6][9].ENA
+reg_write_en => reg_array[6][8].ENA
+reg_write_en => reg_array[6][7].ENA
+reg_write_en => reg_array[6][6].ENA
+reg_write_en => reg_array[6][5].ENA
+reg_write_en => reg_array[6][4].ENA
+reg_write_en => reg_array[6][3].ENA
+reg_write_en => reg_array[6][2].ENA
+reg_write_en => reg_array[6][1].ENA
+reg_write_en => reg_array[6][0].ENA
+reg_write_en => reg_array[5][15].ENA
+reg_write_en => reg_array[5][14].ENA
+reg_write_en => reg_array[5][13].ENA
+reg_write_en => reg_array[5][12].ENA
+reg_write_en => reg_array[5][11].ENA
+reg_write_en => reg_array[5][10].ENA
+reg_write_en => reg_array[5][9].ENA
+reg_write_en => reg_array[5][8].ENA
+reg_write_en => reg_array[5][7].ENA
+reg_write_en => reg_array[5][6].ENA
+reg_write_en => reg_array[5][5].ENA
+reg_write_en => reg_array[5][4].ENA
+reg_write_en => reg_array[5][3].ENA
+reg_write_en => reg_array[5][2].ENA
+reg_write_en => reg_array[5][1].ENA
+reg_write_en => reg_array[5][0].ENA
+reg_write_en => reg_array[4][15].ENA
+reg_write_en => reg_array[4][14].ENA
+reg_write_en => reg_array[4][13].ENA
+reg_write_en => reg_array[4][12].ENA
+reg_write_en => reg_array[4][11].ENA
+reg_write_en => reg_array[4][10].ENA
+reg_write_en => reg_array[4][9].ENA
+reg_write_en => reg_array[4][8].ENA
+reg_write_en => reg_array[4][7].ENA
+reg_write_en => reg_array[4][6].ENA
+reg_write_en => reg_array[4][5].ENA
+reg_write_en => reg_array[4][4].ENA
+reg_write_en => reg_array[4][3].ENA
+reg_write_en => reg_array[4][2].ENA
+reg_write_en => reg_array[4][1].ENA
+reg_write_en => reg_array[4][0].ENA
+reg_write_en => reg_array[3][15].ENA
+reg_write_en => reg_array[3][14].ENA
+reg_write_en => reg_array[3][13].ENA
+reg_write_en => reg_array[3][12].ENA
+reg_write_en => reg_array[3][11].ENA
+reg_write_en => reg_array[3][10].ENA
+reg_write_en => reg_array[3][9].ENA
+reg_write_en => reg_array[3][8].ENA
+reg_write_en => reg_array[3][7].ENA
+reg_write_en => reg_array[3][6].ENA
+reg_write_en => reg_array[3][5].ENA
+reg_write_en => reg_array[3][4].ENA
+reg_write_en => reg_array[3][3].ENA
+reg_write_en => reg_array[3][2].ENA
+reg_write_en => reg_array[3][1].ENA
+reg_write_en => reg_array[3][0].ENA
+reg_write_en => reg_array[2][15].ENA
+reg_write_en => reg_array[2][14].ENA
+reg_write_en => reg_array[2][13].ENA
+reg_write_en => reg_array[2][12].ENA
+reg_write_en => reg_array[2][11].ENA
+reg_write_en => reg_array[2][10].ENA
+reg_write_en => reg_array[2][9].ENA
+reg_write_en => reg_array[2][8].ENA
+reg_write_en => reg_array[2][7].ENA
+reg_write_en => reg_array[2][6].ENA
+reg_write_en => reg_array[2][5].ENA
+reg_write_en => reg_array[2][4].ENA
+reg_write_en => reg_array[2][3].ENA
+reg_write_en => reg_array[2][2].ENA
+reg_write_en => reg_array[2][1].ENA
+reg_write_en => reg_array[2][0].ENA
+reg_write_en => reg_array[1][15].ENA
+reg_write_en => reg_array[1][14].ENA
+reg_write_en => reg_array[1][13].ENA
+reg_write_en => reg_array[1][12].ENA
+reg_write_en => reg_array[1][11].ENA
+reg_write_en => reg_array[1][10].ENA
+reg_write_en => reg_array[1][9].ENA
+reg_write_en => reg_array[1][8].ENA
+reg_write_en => reg_array[1][7].ENA
+reg_write_en => reg_array[1][6].ENA
+reg_write_en => reg_array[1][5].ENA
+reg_write_en => reg_array[1][4].ENA
+reg_write_en => reg_array[1][3].ENA
+reg_write_en => reg_array[1][2].ENA
+reg_write_en => reg_array[1][1].ENA
+reg_write_en => reg_array[1][0].ENA
+reg_write_en => reg_array[0][15].ENA
+reg_write_en => reg_array[0][14].ENA
+reg_write_en => reg_array[0][13].ENA
+reg_write_en => reg_array[0][12].ENA
+reg_write_en => reg_array[0][11].ENA
+reg_write_en => reg_array[0][10].ENA
+reg_write_en => reg_array[0][9].ENA
+reg_write_en => reg_array[0][8].ENA
+reg_write_en => reg_array[0][7].ENA
+reg_write_en => reg_array[0][6].ENA
+reg_write_en => reg_array[0][5].ENA
+reg_write_en => reg_array[0][4].ENA
+reg_write_en => reg_array[0][3].ENA
+reg_write_en => reg_array[0][2].ENA
+reg_write_en => reg_array[0][1].ENA
+reg_write_en => reg_array[0][0].ENA
+reg_write_dest[0] => Decoder0.IN2
+reg_write_dest[1] => Decoder0.IN1
+reg_write_dest[2] => Decoder0.IN0
+reg_write_data[0] => reg_array.DATAB
+reg_write_data[0] => reg_array.DATAB
+reg_write_data[0] => reg_array.DATAB
+reg_write_data[0] => reg_array.DATAB
+reg_write_data[0] => reg_array.DATAB
+reg_write_data[0] => reg_array.DATAB
+reg_write_data[0] => reg_array.DATAB
+reg_write_data[0] => reg_array.DATAB
+reg_write_data[1] => reg_array.DATAB
+reg_write_data[1] => reg_array.DATAB
+reg_write_data[1] => reg_array.DATAB
+reg_write_data[1] => reg_array.DATAB
+reg_write_data[1] => reg_array.DATAB
+reg_write_data[1] => reg_array.DATAB
+reg_write_data[1] => reg_array.DATAB
+reg_write_data[1] => reg_array.DATAB
+reg_write_data[2] => reg_array.DATAB
+reg_write_data[2] => reg_array.DATAB
+reg_write_data[2] => reg_array.DATAB
+reg_write_data[2] => reg_array.DATAB
+reg_write_data[2] => reg_array.DATAB
+reg_write_data[2] => reg_array.DATAB
+reg_write_data[2] => reg_array.DATAB
+reg_write_data[2] => reg_array.DATAB
+reg_write_data[3] => reg_array.DATAB
+reg_write_data[3] => reg_array.DATAB
+reg_write_data[3] => reg_array.DATAB
+reg_write_data[3] => reg_array.DATAB
+reg_write_data[3] => reg_array.DATAB
+reg_write_data[3] => reg_array.DATAB
+reg_write_data[3] => reg_array.DATAB
+reg_write_data[3] => reg_array.DATAB
+reg_write_data[4] => reg_array.DATAB
+reg_write_data[4] => reg_array.DATAB
+reg_write_data[4] => reg_array.DATAB
+reg_write_data[4] => reg_array.DATAB
+reg_write_data[4] => reg_array.DATAB
+reg_write_data[4] => reg_array.DATAB
+reg_write_data[4] => reg_array.DATAB
+reg_write_data[4] => reg_array.DATAB
+reg_write_data[5] => reg_array.DATAB
+reg_write_data[5] => reg_array.DATAB
+reg_write_data[5] => reg_array.DATAB
+reg_write_data[5] => reg_array.DATAB
+reg_write_data[5] => reg_array.DATAB
+reg_write_data[5] => reg_array.DATAB
+reg_write_data[5] => reg_array.DATAB
+reg_write_data[5] => reg_array.DATAB
+reg_write_data[6] => reg_array.DATAB
+reg_write_data[6] => reg_array.DATAB
+reg_write_data[6] => reg_array.DATAB
+reg_write_data[6] => reg_array.DATAB
+reg_write_data[6] => reg_array.DATAB
+reg_write_data[6] => reg_array.DATAB
+reg_write_data[6] => reg_array.DATAB
+reg_write_data[6] => reg_array.DATAB
+reg_write_data[7] => reg_array.DATAB
+reg_write_data[7] => reg_array.DATAB
+reg_write_data[7] => reg_array.DATAB
+reg_write_data[7] => reg_array.DATAB
+reg_write_data[7] => reg_array.DATAB
+reg_write_data[7] => reg_array.DATAB
+reg_write_data[7] => reg_array.DATAB
+reg_write_data[7] => reg_array.DATAB
+reg_write_data[8] => reg_array.DATAB
+reg_write_data[8] => reg_array.DATAB
+reg_write_data[8] => reg_array.DATAB
+reg_write_data[8] => reg_array.DATAB
+reg_write_data[8] => reg_array.DATAB
+reg_write_data[8] => reg_array.DATAB
+reg_write_data[8] => reg_array.DATAB
+reg_write_data[8] => reg_array.DATAB
+reg_write_data[9] => reg_array.DATAB
+reg_write_data[9] => reg_array.DATAB
+reg_write_data[9] => reg_array.DATAB
+reg_write_data[9] => reg_array.DATAB
+reg_write_data[9] => reg_array.DATAB
+reg_write_data[9] => reg_array.DATAB
+reg_write_data[9] => reg_array.DATAB
+reg_write_data[9] => reg_array.DATAB
+reg_write_data[10] => reg_array.DATAB
+reg_write_data[10] => reg_array.DATAB
+reg_write_data[10] => reg_array.DATAB
+reg_write_data[10] => reg_array.DATAB
+reg_write_data[10] => reg_array.DATAB
+reg_write_data[10] => reg_array.DATAB
+reg_write_data[10] => reg_array.DATAB
+reg_write_data[10] => reg_array.DATAB
+reg_write_data[11] => reg_array.DATAB
+reg_write_data[11] => reg_array.DATAB
+reg_write_data[11] => reg_array.DATAB
+reg_write_data[11] => reg_array.DATAB
+reg_write_data[11] => reg_array.DATAB
+reg_write_data[11] => reg_array.DATAB
+reg_write_data[11] => reg_array.DATAB
+reg_write_data[11] => reg_array.DATAB
+reg_write_data[12] => reg_array.DATAB
+reg_write_data[12] => reg_array.DATAB
+reg_write_data[12] => reg_array.DATAB
+reg_write_data[12] => reg_array.DATAB
+reg_write_data[12] => reg_array.DATAB
+reg_write_data[12] => reg_array.DATAB
+reg_write_data[12] => reg_array.DATAB
+reg_write_data[12] => reg_array.DATAB
+reg_write_data[13] => reg_array.DATAB
+reg_write_data[13] => reg_array.DATAB
+reg_write_data[13] => reg_array.DATAB
+reg_write_data[13] => reg_array.DATAB
+reg_write_data[13] => reg_array.DATAB
+reg_write_data[13] => reg_array.DATAB
+reg_write_data[13] => reg_array.DATAB
+reg_write_data[13] => reg_array.DATAB
+reg_write_data[14] => reg_array.DATAB
+reg_write_data[14] => reg_array.DATAB
+reg_write_data[14] => reg_array.DATAB
+reg_write_data[14] => reg_array.DATAB
+reg_write_data[14] => reg_array.DATAB
+reg_write_data[14] => reg_array.DATAB
+reg_write_data[14] => reg_array.DATAB
+reg_write_data[14] => reg_array.DATAB
+reg_write_data[15] => reg_array.DATAB
+reg_write_data[15] => reg_array.DATAB
+reg_write_data[15] => reg_array.DATAB
+reg_write_data[15] => reg_array.DATAB
+reg_write_data[15] => reg_array.DATAB
+reg_write_data[15] => reg_array.DATAB
+reg_write_data[15] => reg_array.DATAB
+reg_write_data[15] => reg_array.DATAB
+reg_read_addr_1[0] => Mux0.IN2
+reg_read_addr_1[0] => Mux1.IN2
+reg_read_addr_1[0] => Mux2.IN2
+reg_read_addr_1[0] => Mux3.IN2
+reg_read_addr_1[0] => Mux4.IN2
+reg_read_addr_1[0] => Mux5.IN2
+reg_read_addr_1[0] => Mux6.IN2
+reg_read_addr_1[0] => Mux7.IN2
+reg_read_addr_1[0] => Mux8.IN2
+reg_read_addr_1[0] => Mux9.IN2
+reg_read_addr_1[0] => Mux10.IN2
+reg_read_addr_1[0] => Mux11.IN2
+reg_read_addr_1[0] => Mux12.IN2
+reg_read_addr_1[0] => Mux13.IN2
+reg_read_addr_1[0] => Mux14.IN2
+reg_read_addr_1[0] => Mux15.IN2
+reg_read_addr_1[0] => Equal0.IN31
+reg_read_addr_1[1] => Mux0.IN1
+reg_read_addr_1[1] => Mux1.IN1
+reg_read_addr_1[1] => Mux2.IN1
+reg_read_addr_1[1] => Mux3.IN1
+reg_read_addr_1[1] => Mux4.IN1
+reg_read_addr_1[1] => Mux5.IN1
+reg_read_addr_1[1] => Mux6.IN1
+reg_read_addr_1[1] => Mux7.IN1
+reg_read_addr_1[1] => Mux8.IN1
+reg_read_addr_1[1] => Mux9.IN1
+reg_read_addr_1[1] => Mux10.IN1
+reg_read_addr_1[1] => Mux11.IN1
+reg_read_addr_1[1] => Mux12.IN1
+reg_read_addr_1[1] => Mux13.IN1
+reg_read_addr_1[1] => Mux14.IN1
+reg_read_addr_1[1] => Mux15.IN1
+reg_read_addr_1[1] => Equal0.IN30
+reg_read_addr_1[2] => Mux0.IN0
+reg_read_addr_1[2] => Mux1.IN0
+reg_read_addr_1[2] => Mux2.IN0
+reg_read_addr_1[2] => Mux3.IN0
+reg_read_addr_1[2] => Mux4.IN0
+reg_read_addr_1[2] => Mux5.IN0
+reg_read_addr_1[2] => Mux6.IN0
+reg_read_addr_1[2] => Mux7.IN0
+reg_read_addr_1[2] => Mux8.IN0
+reg_read_addr_1[2] => Mux9.IN0
+reg_read_addr_1[2] => Mux10.IN0
+reg_read_addr_1[2] => Mux11.IN0
+reg_read_addr_1[2] => Mux12.IN0
+reg_read_addr_1[2] => Mux13.IN0
+reg_read_addr_1[2] => Mux14.IN0
+reg_read_addr_1[2] => Mux15.IN0
+reg_read_addr_1[2] => Equal0.IN29
+reg_read_data_1[0] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[1] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[2] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[3] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[4] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[5] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[6] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[7] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[8] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[9] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[10] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[11] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[12] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[13] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[14] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_1[15] <= reg_read_data_1.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_addr_2[0] => Mux16.IN2
+reg_read_addr_2[0] => Mux17.IN2
+reg_read_addr_2[0] => Mux18.IN2
+reg_read_addr_2[0] => Mux19.IN2
+reg_read_addr_2[0] => Mux20.IN2
+reg_read_addr_2[0] => Mux21.IN2
+reg_read_addr_2[0] => Mux22.IN2
+reg_read_addr_2[0] => Mux23.IN2
+reg_read_addr_2[0] => Mux24.IN2
+reg_read_addr_2[0] => Mux25.IN2
+reg_read_addr_2[0] => Mux26.IN2
+reg_read_addr_2[0] => Mux27.IN2
+reg_read_addr_2[0] => Mux28.IN2
+reg_read_addr_2[0] => Mux29.IN2
+reg_read_addr_2[0] => Mux30.IN2
+reg_read_addr_2[0] => Mux31.IN2
+reg_read_addr_2[0] => Equal1.IN31
+reg_read_addr_2[1] => Mux16.IN1
+reg_read_addr_2[1] => Mux17.IN1
+reg_read_addr_2[1] => Mux18.IN1
+reg_read_addr_2[1] => Mux19.IN1
+reg_read_addr_2[1] => Mux20.IN1
+reg_read_addr_2[1] => Mux21.IN1
+reg_read_addr_2[1] => Mux22.IN1
+reg_read_addr_2[1] => Mux23.IN1
+reg_read_addr_2[1] => Mux24.IN1
+reg_read_addr_2[1] => Mux25.IN1
+reg_read_addr_2[1] => Mux26.IN1
+reg_read_addr_2[1] => Mux27.IN1
+reg_read_addr_2[1] => Mux28.IN1
+reg_read_addr_2[1] => Mux29.IN1
+reg_read_addr_2[1] => Mux30.IN1
+reg_read_addr_2[1] => Mux31.IN1
+reg_read_addr_2[1] => Equal1.IN30
+reg_read_addr_2[2] => Mux16.IN0
+reg_read_addr_2[2] => Mux17.IN0
+reg_read_addr_2[2] => Mux18.IN0
+reg_read_addr_2[2] => Mux19.IN0
+reg_read_addr_2[2] => Mux20.IN0
+reg_read_addr_2[2] => Mux21.IN0
+reg_read_addr_2[2] => Mux22.IN0
+reg_read_addr_2[2] => Mux23.IN0
+reg_read_addr_2[2] => Mux24.IN0
+reg_read_addr_2[2] => Mux25.IN0
+reg_read_addr_2[2] => Mux26.IN0
+reg_read_addr_2[2] => Mux27.IN0
+reg_read_addr_2[2] => Mux28.IN0
+reg_read_addr_2[2] => Mux29.IN0
+reg_read_addr_2[2] => Mux30.IN0
+reg_read_addr_2[2] => Mux31.IN0
+reg_read_addr_2[2] => Equal1.IN29
+reg_read_data_2[0] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[1] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[2] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[3] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[4] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[5] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[6] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[7] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[8] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[9] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[10] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[11] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[12] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[13] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[14] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+reg_read_data_2[15] <= reg_read_data_2.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|mips_16|JR_Control:JRControl_unit
+alu_op[0] => Equal0.IN2
+alu_op[1] => Equal0.IN1
+funct[0] => Equal0.IN5
+funct[1] => Equal0.IN4
+funct[2] => Equal0.IN3
+funct[3] => Equal0.IN0
+JRControl <= Equal0.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|mips_16|ALUControl:ALU_Control_unit
+alu_op[0] => Decoder0.IN1
+alu_op[1] => Decoder0.IN0
+funct[0] => Decoder0.IN5
+funct[1] => Decoder0.IN4
+funct[2] => Decoder0.IN3
+funct[3] => Decoder0.IN2
+ALU_Control[0] <= WideOr2.DB_MAX_OUTPUT_PORT_TYPE
+ALU_Control[1] <= WideOr1.DB_MAX_OUTPUT_PORT_TYPE
+ALU_Control[2] <= WideOr0.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|mips_16|alu:alu_unit
+a[0] => a[0].IN2
+a[1] => a[1].IN2
+a[2] => a[2].IN2
+a[3] => a[3].IN2
+a[4] => a[4].IN2
+a[5] => a[5].IN2
+a[6] => a[6].IN2
+a[7] => a[7].IN2
+a[8] => a[8].IN2
+a[9] => a[9].IN2
+a[10] => a[10].IN2
+a[11] => a[11].IN2
+a[12] => a[12].IN2
+a[13] => a[13].IN2
+a[14] => a[14].IN2
+a[15] => a[15].IN2
+b[0] => b[0].IN2
+b[1] => b[1].IN2
+b[2] => b[2].IN2
+b[3] => b[3].IN2
+b[4] => Add0.IN28
+b[4] => result.IN0
+b[4] => result.IN0
+b[4] => LessThan0.IN28
+b[4] => Mult0.IN11
+b[4] => Add1.IN12
+b[5] => Add0.IN27
+b[5] => result.IN0
+b[5] => result.IN0
+b[5] => LessThan0.IN27
+b[5] => Mult0.IN10
+b[5] => Add1.IN11
+b[6] => Add0.IN26
+b[6] => result.IN0
+b[6] => result.IN0
+b[6] => LessThan0.IN26
+b[6] => Mult0.IN9
+b[6] => Add1.IN10
+b[7] => Add0.IN25
+b[7] => result.IN0
+b[7] => result.IN0
+b[7] => LessThan0.IN25
+b[7] => Mult0.IN8
+b[7] => Add1.IN9
+b[8] => Add0.IN24
+b[8] => result.IN0
+b[8] => result.IN0
+b[8] => LessThan0.IN24
+b[8] => Add1.IN8
+b[9] => Add0.IN23
+b[9] => result.IN0
+b[9] => result.IN0
+b[9] => LessThan0.IN23
+b[9] => Add1.IN7
+b[10] => Add0.IN22
+b[10] => result.IN0
+b[10] => result.IN0
+b[10] => LessThan0.IN22
+b[10] => Add1.IN6
+b[11] => Add0.IN21
+b[11] => result.IN0
+b[11] => result.IN0
+b[11] => LessThan0.IN21
+b[11] => Add1.IN5
+b[12] => Add0.IN20
+b[12] => result.IN0
+b[12] => result.IN0
+b[12] => LessThan0.IN20
+b[12] => Add1.IN4
+b[13] => Add0.IN19
+b[13] => result.IN0
+b[13] => result.IN0
+b[13] => LessThan0.IN19
+b[13] => Add1.IN3
+b[14] => Add0.IN18
+b[14] => result.IN0
+b[14] => result.IN0
+b[14] => LessThan0.IN18
+b[14] => Add1.IN2
+b[15] => Add0.IN17
+b[15] => result.IN0
+b[15] => result.IN0
+b[15] => LessThan0.IN17
+b[15] => Add1.IN1
+alu_control[0] => Mux0.IN8
+alu_control[0] => Mux1.IN8
+alu_control[0] => Mux2.IN8
+alu_control[0] => Mux3.IN8
+alu_control[0] => Mux4.IN8
+alu_control[0] => Mux5.IN8
+alu_control[0] => Mux6.IN8
+alu_control[0] => Mux7.IN8
+alu_control[0] => Mux8.IN8
+alu_control[0] => Mux9.IN8
+alu_control[0] => Mux10.IN8
+alu_control[0] => Mux11.IN8
+alu_control[0] => Mux12.IN8
+alu_control[0] => Mux13.IN8
+alu_control[0] => Mux14.IN8
+alu_control[0] => Mux15.IN8
+alu_control[1] => Mux0.IN7
+alu_control[1] => Mux1.IN7
+alu_control[1] => Mux2.IN7
+alu_control[1] => Mux3.IN7
+alu_control[1] => Mux4.IN7
+alu_control[1] => Mux5.IN7
+alu_control[1] => Mux6.IN7
+alu_control[1] => Mux7.IN7
+alu_control[1] => Mux8.IN7
+alu_control[1] => Mux9.IN7
+alu_control[1] => Mux10.IN7
+alu_control[1] => Mux11.IN7
+alu_control[1] => Mux12.IN7
+alu_control[1] => Mux13.IN7
+alu_control[1] => Mux14.IN7
+alu_control[1] => Mux15.IN7
+alu_control[2] => Mux0.IN6
+alu_control[2] => Mux1.IN6
+alu_control[2] => Mux2.IN6
+alu_control[2] => Mux3.IN6
+alu_control[2] => Mux4.IN6
+alu_control[2] => Mux5.IN6
+alu_control[2] => Mux6.IN6
+alu_control[2] => Mux7.IN6
+alu_control[2] => Mux8.IN6
+alu_control[2] => Mux9.IN6
+alu_control[2] => Mux10.IN6
+alu_control[2] => Mux11.IN6
+alu_control[2] => Mux12.IN6
+alu_control[2] => Mux13.IN6
+alu_control[2] => Mux14.IN6
+alu_control[2] => Mux15.IN6
+result[0] <= Mux15.DB_MAX_OUTPUT_PORT_TYPE
+result[1] <= Mux14.DB_MAX_OUTPUT_PORT_TYPE
+result[2] <= Mux13.DB_MAX_OUTPUT_PORT_TYPE
+result[3] <= Mux12.DB_MAX_OUTPUT_PORT_TYPE
+result[4] <= Mux11.DB_MAX_OUTPUT_PORT_TYPE
+result[5] <= Mux10.DB_MAX_OUTPUT_PORT_TYPE
+result[6] <= Mux9.DB_MAX_OUTPUT_PORT_TYPE
+result[7] <= Mux8.DB_MAX_OUTPUT_PORT_TYPE
+result[8] <= Mux7.DB_MAX_OUTPUT_PORT_TYPE
+result[9] <= Mux6.DB_MAX_OUTPUT_PORT_TYPE
+result[10] <= Mux5.DB_MAX_OUTPUT_PORT_TYPE
+result[11] <= Mux4.DB_MAX_OUTPUT_PORT_TYPE
+result[12] <= Mux3.DB_MAX_OUTPUT_PORT_TYPE
+result[13] <= Mux2.DB_MAX_OUTPUT_PORT_TYPE
+result[14] <= Mux1.DB_MAX_OUTPUT_PORT_TYPE
+result[15] <= Mux0.DB_MAX_OUTPUT_PORT_TYPE
+zero <= Equal0.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|mips_16|alu:alu_unit|log_barrel_shifter_left:shifter_left
+ip[0] => st1[0].DATAA
+ip[0] => st1[1].DATAB
+ip[1] => st1[1].DATAA
+ip[1] => st1[2].DATAB
+ip[2] => st1[2].DATAA
+ip[2] => st1[3].DATAB
+ip[3] => st1[3].DATAA
+ip[3] => st1[4].DATAB
+ip[4] => st1[4].DATAA
+ip[4] => st1[5].DATAB
+ip[5] => st1[5].DATAA
+ip[5] => st1[6].DATAB
+ip[6] => st1[6].DATAA
+ip[6] => st1[7].DATAB
+ip[7] => st1[7].DATAA
+ip[7] => st1[8].DATAB
+ip[8] => st1[8].DATAA
+ip[8] => st1[9].DATAB
+ip[9] => st1[9].DATAA
+ip[9] => st1[10].DATAB
+ip[10] => st1[10].DATAA
+ip[10] => st1[11].DATAB
+ip[11] => st1[11].DATAA
+ip[11] => st1[12].DATAB
+ip[12] => st1[12].DATAA
+ip[12] => st1[13].DATAB
+ip[13] => st1[13].DATAA
+ip[13] => st1[14].DATAB
+ip[14] => st1[14].DATAA
+ip[14] => st1[15].DATAB
+ip[15] => st1[15].DATAA
+shift[0] => st1[0].OUTPUTSELECT
+shift[0] => st1[1].OUTPUTSELECT
+shift[0] => st1[2].OUTPUTSELECT
+shift[0] => st1[3].OUTPUTSELECT
+shift[0] => st1[4].OUTPUTSELECT
+shift[0] => st1[5].OUTPUTSELECT
+shift[0] => st1[6].OUTPUTSELECT
+shift[0] => st1[7].OUTPUTSELECT
+shift[0] => st1[8].OUTPUTSELECT
+shift[0] => st1[9].OUTPUTSELECT
+shift[0] => st1[10].OUTPUTSELECT
+shift[0] => st1[11].OUTPUTSELECT
+shift[0] => st1[12].OUTPUTSELECT
+shift[0] => st1[13].OUTPUTSELECT
+shift[0] => st1[14].OUTPUTSELECT
+shift[0] => st1[15].OUTPUTSELECT
+shift[1] => st2[0].OUTPUTSELECT
+shift[1] => st2[1].OUTPUTSELECT
+shift[1] => st2[2].OUTPUTSELECT
+shift[1] => st2[3].OUTPUTSELECT
+shift[1] => st2[4].OUTPUTSELECT
+shift[1] => st2[5].OUTPUTSELECT
+shift[1] => st2[6].OUTPUTSELECT
+shift[1] => st2[7].OUTPUTSELECT
+shift[1] => st2[8].OUTPUTSELECT
+shift[1] => st2[9].OUTPUTSELECT
+shift[1] => st2[10].OUTPUTSELECT
+shift[1] => st2[11].OUTPUTSELECT
+shift[1] => st2[12].OUTPUTSELECT
+shift[1] => st2[13].OUTPUTSELECT
+shift[1] => st2[14].OUTPUTSELECT
+shift[1] => st2[15].OUTPUTSELECT
+shift[2] => st3[0].OUTPUTSELECT
+shift[2] => st3[1].OUTPUTSELECT
+shift[2] => st3[2].OUTPUTSELECT
+shift[2] => st3[3].OUTPUTSELECT
+shift[2] => st3[4].OUTPUTSELECT
+shift[2] => st3[5].OUTPUTSELECT
+shift[2] => st3[6].OUTPUTSELECT
+shift[2] => st3[7].OUTPUTSELECT
+shift[2] => st3[8].OUTPUTSELECT
+shift[2] => st3[9].OUTPUTSELECT
+shift[2] => st3[10].OUTPUTSELECT
+shift[2] => st3[11].OUTPUTSELECT
+shift[2] => st3[12].OUTPUTSELECT
+shift[2] => st3[13].OUTPUTSELECT
+shift[2] => st3[14].OUTPUTSELECT
+shift[2] => st3[15].OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+op[0] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[1] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[2] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[3] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[4] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[5] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[6] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[7] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[8] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[9] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[10] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[11] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[12] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[13] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[14] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[15] <= op.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|mips_16|alu:alu_unit|log_barrel_shifter_left:shifter_right
+ip[0] => st1[0].DATAA
+ip[0] => st1[1].DATAB
+ip[1] => st1[1].DATAA
+ip[1] => st1[2].DATAB
+ip[2] => st1[2].DATAA
+ip[2] => st1[3].DATAB
+ip[3] => st1[3].DATAA
+ip[3] => st1[4].DATAB
+ip[4] => st1[4].DATAA
+ip[4] => st1[5].DATAB
+ip[5] => st1[5].DATAA
+ip[5] => st1[6].DATAB
+ip[6] => st1[6].DATAA
+ip[6] => st1[7].DATAB
+ip[7] => st1[7].DATAA
+ip[7] => st1[8].DATAB
+ip[8] => st1[8].DATAA
+ip[8] => st1[9].DATAB
+ip[9] => st1[9].DATAA
+ip[9] => st1[10].DATAB
+ip[10] => st1[10].DATAA
+ip[10] => st1[11].DATAB
+ip[11] => st1[11].DATAA
+ip[11] => st1[12].DATAB
+ip[12] => st1[12].DATAA
+ip[12] => st1[13].DATAB
+ip[13] => st1[13].DATAA
+ip[13] => st1[14].DATAB
+ip[14] => st1[14].DATAA
+ip[14] => st1[15].DATAB
+ip[15] => st1[15].DATAA
+shift[0] => st1[0].OUTPUTSELECT
+shift[0] => st1[1].OUTPUTSELECT
+shift[0] => st1[2].OUTPUTSELECT
+shift[0] => st1[3].OUTPUTSELECT
+shift[0] => st1[4].OUTPUTSELECT
+shift[0] => st1[5].OUTPUTSELECT
+shift[0] => st1[6].OUTPUTSELECT
+shift[0] => st1[7].OUTPUTSELECT
+shift[0] => st1[8].OUTPUTSELECT
+shift[0] => st1[9].OUTPUTSELECT
+shift[0] => st1[10].OUTPUTSELECT
+shift[0] => st1[11].OUTPUTSELECT
+shift[0] => st1[12].OUTPUTSELECT
+shift[0] => st1[13].OUTPUTSELECT
+shift[0] => st1[14].OUTPUTSELECT
+shift[0] => st1[15].OUTPUTSELECT
+shift[1] => st2[0].OUTPUTSELECT
+shift[1] => st2[1].OUTPUTSELECT
+shift[1] => st2[2].OUTPUTSELECT
+shift[1] => st2[3].OUTPUTSELECT
+shift[1] => st2[4].OUTPUTSELECT
+shift[1] => st2[5].OUTPUTSELECT
+shift[1] => st2[6].OUTPUTSELECT
+shift[1] => st2[7].OUTPUTSELECT
+shift[1] => st2[8].OUTPUTSELECT
+shift[1] => st2[9].OUTPUTSELECT
+shift[1] => st2[10].OUTPUTSELECT
+shift[1] => st2[11].OUTPUTSELECT
+shift[1] => st2[12].OUTPUTSELECT
+shift[1] => st2[13].OUTPUTSELECT
+shift[1] => st2[14].OUTPUTSELECT
+shift[1] => st2[15].OUTPUTSELECT
+shift[2] => st3[0].OUTPUTSELECT
+shift[2] => st3[1].OUTPUTSELECT
+shift[2] => st3[2].OUTPUTSELECT
+shift[2] => st3[3].OUTPUTSELECT
+shift[2] => st3[4].OUTPUTSELECT
+shift[2] => st3[5].OUTPUTSELECT
+shift[2] => st3[6].OUTPUTSELECT
+shift[2] => st3[7].OUTPUTSELECT
+shift[2] => st3[8].OUTPUTSELECT
+shift[2] => st3[9].OUTPUTSELECT
+shift[2] => st3[10].OUTPUTSELECT
+shift[2] => st3[11].OUTPUTSELECT
+shift[2] => st3[12].OUTPUTSELECT
+shift[2] => st3[13].OUTPUTSELECT
+shift[2] => st3[14].OUTPUTSELECT
+shift[2] => st3[15].OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+shift[3] => op.OUTPUTSELECT
+op[0] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[1] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[2] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[3] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[4] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[5] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[6] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[7] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[8] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[9] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[10] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[11] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[12] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[13] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[14] <= op.DB_MAX_OUTPUT_PORT_TYPE
+op[15] <= op.DB_MAX_OUTPUT_PORT_TYPE
+
+
+|mips_16|data_memory:datamem
+clk => ram.we_a.CLK
+clk => ram.waddr_a[7].CLK
+clk => ram.waddr_a[6].CLK
+clk => ram.waddr_a[5].CLK
+clk => ram.waddr_a[4].CLK
+clk => ram.waddr_a[3].CLK
+clk => ram.waddr_a[2].CLK
+clk => ram.waddr_a[1].CLK
+clk => ram.waddr_a[0].CLK
+clk => ram.data_a[15].CLK
+clk => ram.data_a[14].CLK
+clk => ram.data_a[13].CLK
+clk => ram.data_a[12].CLK
+clk => ram.data_a[11].CLK
+clk => ram.data_a[10].CLK
+clk => ram.data_a[9].CLK
+clk => ram.data_a[8].CLK
+clk => ram.data_a[7].CLK
+clk => ram.data_a[6].CLK
+clk => ram.data_a[5].CLK
+clk => ram.data_a[4].CLK
+clk => ram.data_a[3].CLK
+clk => ram.data_a[2].CLK
+clk => ram.data_a[1].CLK
+clk => ram.data_a[0].CLK
+clk => ram.CLK0
+mem_access_addr[0] => ~NO_FANOUT~
+mem_access_addr[1] => ram.waddr_a[0].DATAIN
+mem_access_addr[1] => ram.WADDR
+mem_access_addr[1] => ram.RADDR
+mem_access_addr[2] => ram.waddr_a[1].DATAIN
+mem_access_addr[2] => ram.WADDR1
+mem_access_addr[2] => ram.RADDR1
+mem_access_addr[3] => ram.waddr_a[2].DATAIN
+mem_access_addr[3] => ram.WADDR2
+mem_access_addr[3] => ram.RADDR2
+mem_access_addr[4] => ram.waddr_a[3].DATAIN
+mem_access_addr[4] => ram.WADDR3
+mem_access_addr[4] => ram.RADDR3
+mem_access_addr[5] => ram.waddr_a[4].DATAIN
+mem_access_addr[5] => ram.WADDR4
+mem_access_addr[5] => ram.RADDR4
+mem_access_addr[6] => ram.waddr_a[5].DATAIN
+mem_access_addr[6] => ram.WADDR5
+mem_access_addr[6] => ram.RADDR5
+mem_access_addr[7] => ram.waddr_a[6].DATAIN
+mem_access_addr[7] => ram.WADDR6
+mem_access_addr[7] => ram.RADDR6
+mem_access_addr[8] => ram.waddr_a[7].DATAIN
+mem_access_addr[8] => ram.WADDR7
+mem_access_addr[8] => ram.RADDR7
+mem_access_addr[9] => ~NO_FANOUT~
+mem_access_addr[10] => ~NO_FANOUT~
+mem_access_addr[11] => ~NO_FANOUT~
+mem_access_addr[12] => ~NO_FANOUT~
+mem_access_addr[13] => ~NO_FANOUT~
+mem_access_addr[14] => ~NO_FANOUT~
+mem_access_addr[15] => ~NO_FANOUT~
+mem_write_data[0] => ram.data_a[0].DATAIN
+mem_write_data[0] => ram.DATAIN
+mem_write_data[1] => ram.data_a[1].DATAIN
+mem_write_data[1] => ram.DATAIN1
+mem_write_data[2] => ram.data_a[2].DATAIN
+mem_write_data[2] => ram.DATAIN2
+mem_write_data[3] => ram.data_a[3].DATAIN
+mem_write_data[3] => ram.DATAIN3
+mem_write_data[4] => ram.data_a[4].DATAIN
+mem_write_data[4] => ram.DATAIN4
+mem_write_data[5] => ram.data_a[5].DATAIN
+mem_write_data[5] => ram.DATAIN5
+mem_write_data[6] => ram.data_a[6].DATAIN
+mem_write_data[6] => ram.DATAIN6
+mem_write_data[7] => ram.data_a[7].DATAIN
+mem_write_data[7] => ram.DATAIN7
+mem_write_data[8] => ram.data_a[8].DATAIN
+mem_write_data[8] => ram.DATAIN8
+mem_write_data[9] => ram.data_a[9].DATAIN
+mem_write_data[9] => ram.DATAIN9
+mem_write_data[10] => ram.data_a[10].DATAIN
+mem_write_data[10] => ram.DATAIN10
+mem_write_data[11] => ram.data_a[11].DATAIN
+mem_write_data[11] => ram.DATAIN11
+mem_write_data[12] => ram.data_a[12].DATAIN
+mem_write_data[12] => ram.DATAIN12
+mem_write_data[13] => ram.data_a[13].DATAIN
+mem_write_data[13] => ram.DATAIN13
+mem_write_data[14] => ram.data_a[14].DATAIN
+mem_write_data[14] => ram.DATAIN14
+mem_write_data[15] => ram.data_a[15].DATAIN
+mem_write_data[15] => ram.DATAIN15
+mem_write_en => ram.we_a.DATAIN
+mem_write_en => ram.WE
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read => mem_read_data.OUTPUTSELECT
+mem_read_data[0] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[1] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[2] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[3] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[4] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[5] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[6] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[7] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[8] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[9] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[10] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[11] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[12] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[13] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[14] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+mem_read_data[15] <= mem_read_data.DB_MAX_OUTPUT_PORT_TYPE
+
+
diff --git a/db/mips.hif b/db/mips.hif
new file mode 100644
index 0000000..088b7a7
Binary files /dev/null and b/db/mips.hif differ
diff --git a/db/mips.lpc.html b/db/mips.lpc.html
new file mode 100644
index 0000000..a6b26c9
--- /dev/null
+++ b/db/mips.lpc.html
@@ -0,0 +1,162 @@
+
+
+Hierarchy |
+Input |
+Constant Input |
+Unused Input |
+Floating Input |
+Output |
+Constant Output |
+Unused Output |
+Floating Output |
+Bidir |
+Constant Bidir |
+Unused Bidir |
+Input only Bidir |
+Output only Bidir |
+
+
+datamem |
+35 |
+0 |
+8 |
+0 |
+16 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+
+
+alu_unit|shifter_right |
+20 |
+0 |
+0 |
+0 |
+16 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+
+
+alu_unit|shifter_left |
+20 |
+0 |
+0 |
+0 |
+16 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+
+
+alu_unit |
+35 |
+0 |
+0 |
+0 |
+17 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+
+
+ALU_Control_unit |
+6 |
+0 |
+0 |
+0 |
+3 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+
+
+JRControl_unit |
+6 |
+0 |
+0 |
+0 |
+1 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+
+
+reg_file |
+28 |
+0 |
+0 |
+0 |
+32 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+
+
+control_unit |
+4 |
+0 |
+0 |
+0 |
+13 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+
+
+instrucion_memory |
+16 |
+0 |
+0 |
+0 |
+16 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+0 |
+
+
diff --git a/db/mips.lpc.rdb b/db/mips.lpc.rdb
new file mode 100644
index 0000000..e509ec5
Binary files /dev/null and b/db/mips.lpc.rdb differ
diff --git a/db/mips.lpc.txt b/db/mips.lpc.txt
new file mode 100644
index 0000000..5de9652
--- /dev/null
+++ b/db/mips.lpc.txt
@@ -0,0 +1,15 @@
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Legal Partition Candidates ;
++------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ;
++------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
+; datamem ; 35 ; 0 ; 8 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; alu_unit|shifter_right ; 20 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; alu_unit|shifter_left ; 20 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; alu_unit ; 35 ; 0 ; 0 ; 0 ; 17 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; ALU_Control_unit ; 6 ; 0 ; 0 ; 0 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; JRControl_unit ; 6 ; 0 ; 0 ; 0 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; reg_file ; 28 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; control_unit ; 4 ; 0 ; 0 ; 0 ; 13 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
+; instrucion_memory ; 16 ; 0 ; 0 ; 0 ; 16 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ;
++------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+
diff --git a/db/mips.map.ammdb b/db/mips.map.ammdb
new file mode 100644
index 0000000..956c8f5
Binary files /dev/null and b/db/mips.map.ammdb differ
diff --git a/db/mips.map.bpm b/db/mips.map.bpm
new file mode 100644
index 0000000..bea875a
Binary files /dev/null and b/db/mips.map.bpm differ
diff --git a/db/mips.map.cdb b/db/mips.map.cdb
new file mode 100644
index 0000000..a20bf21
Binary files /dev/null and b/db/mips.map.cdb differ
diff --git a/db/mips.map.hdb b/db/mips.map.hdb
new file mode 100644
index 0000000..74e5350
Binary files /dev/null and b/db/mips.map.hdb differ
diff --git a/db/mips.map.kpt b/db/mips.map.kpt
new file mode 100644
index 0000000..37ed838
Binary files /dev/null and b/db/mips.map.kpt differ
diff --git a/db/mips.map.logdb b/db/mips.map.logdb
new file mode 100644
index 0000000..d45424f
--- /dev/null
+++ b/db/mips.map.logdb
@@ -0,0 +1 @@
+v1
diff --git a/db/mips.map.qmsg b/db/mips.map.qmsg
new file mode 100644
index 0000000..b64ba4a
--- /dev/null
+++ b/db/mips.map.qmsg
@@ -0,0 +1,24 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1648709192447 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition " "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1648709192454 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 31 12:16:32 2022 " "Processing started: Thu Mar 31 12:16:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1648709192454 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1648709192454 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off mips -c mips " "Command: quartus_map --read_settings_files=on --write_settings_files=off mips -c mips" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1648709192454 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1648709193007 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1648709193007 ""}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "modified_mips.v 10 10 " "Found 10 design units, including 10 entities, in source file modified_mips.v" { { "Info" "ISGN_ENTITY_NAME" "1 log_barrel_shifter_left " "Found entity 1: log_barrel_shifter_left" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} { "Info" "ISGN_ENTITY_NAME" "2 data_memory " "Found entity 2: data_memory" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 75 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} { "Info" "ISGN_ENTITY_NAME" "3 ALUControl " "Found entity 3: ALUControl" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 93 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} { "Info" "ISGN_ENTITY_NAME" "4 JR_Control " "Found entity 4: JR_Control" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 113 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} { "Info" "ISGN_ENTITY_NAME" "5 control " "Found entity 5: control" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 119 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} { "Info" "ISGN_ENTITY_NAME" "6 alu " "Found entity 6: alu" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 252 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} { "Info" "ISGN_ENTITY_NAME" "7 register_file " "Found entity 7: register_file" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 289 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} { "Info" "ISGN_ENTITY_NAME" "8 instr_mem " "Found entity 8: instr_mem" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 330 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} { "Info" "ISGN_ENTITY_NAME" "9 mips_16 " "Found entity 9: mips_16" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 374 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} { "Info" "ISGN_ENTITY_NAME" "10 tb_mips16 " "Found entity 10: tb_mips16" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 480 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648709208843 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1648709208843 ""}
+{ "Info" "ISGN_START_ELABORATION_TOP" "mips_16 " "Elaborating entity \"mips_16\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1648709208879 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "instr_mem instr_mem:instrucion_memory " "Elaborating entity \"instr_mem\" for hierarchy \"instr_mem:instrucion_memory\"" { } { { "modified_mips.v" "instrucion_memory" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 411 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648709208895 ""}
+{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "rom.data_a 0 modified_mips.v(344) " "Net \"rom.data_a\" at modified_mips.v(344) has no driver or initial value, using a default initial value '0'" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 344 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Analysis & Synthesis" 0 -1 1648709208897 "|mips_16|instr_mem:instrucion_memory"}
+{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "rom.waddr_a 0 modified_mips.v(344) " "Net \"rom.waddr_a\" at modified_mips.v(344) has no driver or initial value, using a default initial value '0'" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 344 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Analysis & Synthesis" 0 -1 1648709208897 "|mips_16|instr_mem:instrucion_memory"}
+{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "rom.we_a 0 modified_mips.v(344) " "Net \"rom.we_a\" at modified_mips.v(344) has no driver or initial value, using a default initial value '0'" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 344 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Analysis & Synthesis" 0 -1 1648709208897 "|mips_16|instr_mem:instrucion_memory"}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "control control:control_unit " "Elaborating entity \"control\" for hierarchy \"control:control_unit\"" { } { { "modified_mips.v" "control_unit" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 417 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648709208904 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "register_file register_file:reg_file " "Elaborating entity \"register_file\" for hierarchy \"register_file:reg_file\"" { } { { "modified_mips.v" "reg_file" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 429 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648709208912 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "JR_Control JR_Control:JRControl_unit " "Elaborating entity \"JR_Control\" for hierarchy \"JR_Control:JRControl_unit\"" { } { { "modified_mips.v" "JRControl_unit" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 437 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648709208935 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "ALUControl ALUControl:ALU_Control_unit " "Elaborating entity \"ALUControl\" for hierarchy \"ALUControl:ALU_Control_unit\"" { } { { "modified_mips.v" "ALU_Control_unit" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 439 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648709208940 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alu alu:alu_unit " "Elaborating entity \"alu\" for hierarchy \"alu:alu_unit\"" { } { { "modified_mips.v" "alu_unit" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 443 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648709208947 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "log_barrel_shifter_left alu:alu_unit\|log_barrel_shifter_left:shifter_left " "Elaborating entity \"log_barrel_shifter_left\" for hierarchy \"alu:alu_unit\|log_barrel_shifter_left:shifter_left\"" { } { { "modified_mips.v" "shifter_left" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 280 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648709208964 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "data_memory data_memory:datamem " "Elaborating entity \"data_memory\" for hierarchy \"data_memory:datamem\"" { } { { "modified_mips.v" "datamem" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 465 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648709208977 ""}
+{ "Info" "IINFER_UNINFERRED_RAM_SUMMARY" "2 " "Found 2 instances of uninferred RAM logic" { { "Info" "IINFER_READ_LOGIC_IS_ASYNCHRONOUS" "data_memory:datamem\|ram " "RAM logic \"data_memory:datamem\|ram\" is uninferred due to asynchronous read logic" { } { { "modified_mips.v" "ram" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 78 -1 0 } } } 0 276007 "RAM logic \"%1!s!\" is uninferred due to asynchronous read logic" 0 0 "Design Software" 0 -1 1648709209525 ""} { "Info" "IINFER_RAM_UNINFERRED_DUE_TO_SIZE" "instr_mem:instrucion_memory\|rom " "RAM logic \"instr_mem:instrucion_memory\|rom\" is uninferred due to inappropriate RAM size" { } { { "modified_mips.v" "rom" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 344 -1 0 } } } 0 276004 "RAM logic \"%1!s!\" is uninferred due to inappropriate RAM size" 0 0 "Design Software" 0 -1 1648709209525 ""} } { } 0 276014 "Found %1!d! instances of uninferred RAM logic" 0 0 "Analysis & Synthesis" 0 -1 1648709209525 ""}
+{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1648709220602 ""}
+{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "32 " "32 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1648709225218 ""}
+{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1648709225794 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648709225794 ""}
+{ "Info" "ICUT_CUT_TM_SUMMARY" "6311 " "Implemented 6311 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1648709226314 ""} { "Info" "ICUT_CUT_TM_OPINS" "32 " "Implemented 32 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1648709226314 ""} { "Info" "ICUT_CUT_TM_LCELLS" "6276 " "Implemented 6276 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1648709226314 ""} { "Info" "ICUT_CUT_TM_DSP_ELEM" "1 " "Implemented 1 DSP elements" { } { } 0 21062 "Implemented %1!d! DSP elements" 0 0 "Design Software" 0 -1 1648709226314 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1648709226314 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 4 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4860 " "Peak virtual memory: 4860 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648709226350 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:17:06 2022 " "Processing ended: Thu Mar 31 12:17:06 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648709226350 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:34 " "Elapsed time: 00:00:34" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648709226350 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:59 " "Total CPU time (on all processors): 00:00:59" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648709226350 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1648709226350 ""}
diff --git a/db/mips.map.rdb b/db/mips.map.rdb
new file mode 100644
index 0000000..4634ef0
Binary files /dev/null and b/db/mips.map.rdb differ
diff --git a/db/mips.map_bb.cdb b/db/mips.map_bb.cdb
new file mode 100644
index 0000000..366ea70
Binary files /dev/null and b/db/mips.map_bb.cdb differ
diff --git a/db/mips.map_bb.hdb b/db/mips.map_bb.hdb
new file mode 100644
index 0000000..dd93fc1
Binary files /dev/null and b/db/mips.map_bb.hdb differ
diff --git a/db/mips.map_bb.logdb b/db/mips.map_bb.logdb
new file mode 100644
index 0000000..d45424f
--- /dev/null
+++ b/db/mips.map_bb.logdb
@@ -0,0 +1 @@
+v1
diff --git a/db/mips.pre_map.hdb b/db/mips.pre_map.hdb
new file mode 100644
index 0000000..d069537
Binary files /dev/null and b/db/mips.pre_map.hdb differ
diff --git a/db/mips.ram0_data_memory_8ae84398.hdl.mif b/db/mips.ram0_data_memory_8ae84398.hdl.mif
new file mode 100644
index 0000000..6347f5d
--- /dev/null
+++ b/db/mips.ram0_data_memory_8ae84398.hdl.mif
@@ -0,0 +1,267 @@
+-- begin_signature
+-- data_memory
+-- end_signature
+WIDTH=16;
+DEPTH=256;
+
+ADDRESS_RADIX=UNS;
+DATA_RADIX=BIN;
+
+CONTENT BEGIN
+ 255 : 0000000000000000;
+ 254 : 0000000000000000;
+ 253 : 0000000000000000;
+ 252 : 0000000000000000;
+ 251 : 0000000000000000;
+ 250 : 0000000000000000;
+ 249 : 0000000000000000;
+ 248 : 0000000000000000;
+ 247 : 0000000000000000;
+ 246 : 0000000000000000;
+ 245 : 0000000000000000;
+ 244 : 0000000000000000;
+ 243 : 0000000000000000;
+ 242 : 0000000000000000;
+ 241 : 0000000000000000;
+ 240 : 0000000000000000;
+ 239 : 0000000000000000;
+ 238 : 0000000000000000;
+ 237 : 0000000000000000;
+ 236 : 0000000000000000;
+ 235 : 0000000000000000;
+ 234 : 0000000000000000;
+ 233 : 0000000000000000;
+ 232 : 0000000000000000;
+ 231 : 0000000000000000;
+ 230 : 0000000000000000;
+ 229 : 0000000000000000;
+ 228 : 0000000000000000;
+ 227 : 0000000000000000;
+ 226 : 0000000000000000;
+ 225 : 0000000000000000;
+ 224 : 0000000000000000;
+ 223 : 0000000000000000;
+ 222 : 0000000000000000;
+ 221 : 0000000000000000;
+ 220 : 0000000000000000;
+ 219 : 0000000000000000;
+ 218 : 0000000000000000;
+ 217 : 0000000000000000;
+ 216 : 0000000000000000;
+ 215 : 0000000000000000;
+ 214 : 0000000000000000;
+ 213 : 0000000000000000;
+ 212 : 0000000000000000;
+ 211 : 0000000000000000;
+ 210 : 0000000000000000;
+ 209 : 0000000000000000;
+ 208 : 0000000000000000;
+ 207 : 0000000000000000;
+ 206 : 0000000000000000;
+ 205 : 0000000000000000;
+ 204 : 0000000000000000;
+ 203 : 0000000000000000;
+ 202 : 0000000000000000;
+ 201 : 0000000000000000;
+ 200 : 0000000000000000;
+ 199 : 0000000000000000;
+ 198 : 0000000000000000;
+ 197 : 0000000000000000;
+ 196 : 0000000000000000;
+ 195 : 0000000000000000;
+ 194 : 0000000000000000;
+ 193 : 0000000000000000;
+ 192 : 0000000000000000;
+ 191 : 0000000000000000;
+ 190 : 0000000000000000;
+ 189 : 0000000000000000;
+ 188 : 0000000000000000;
+ 187 : 0000000000000000;
+ 186 : 0000000000000000;
+ 185 : 0000000000000000;
+ 184 : 0000000000000000;
+ 183 : 0000000000000000;
+ 182 : 0000000000000000;
+ 181 : 0000000000000000;
+ 180 : 0000000000000000;
+ 179 : 0000000000000000;
+ 178 : 0000000000000000;
+ 177 : 0000000000000000;
+ 176 : 0000000000000000;
+ 175 : 0000000000000000;
+ 174 : 0000000000000000;
+ 173 : 0000000000000000;
+ 172 : 0000000000000000;
+ 171 : 0000000000000000;
+ 170 : 0000000000000000;
+ 169 : 0000000000000000;
+ 168 : 0000000000000000;
+ 167 : 0000000000000000;
+ 166 : 0000000000000000;
+ 165 : 0000000000000000;
+ 164 : 0000000000000000;
+ 163 : 0000000000000000;
+ 162 : 0000000000000000;
+ 161 : 0000000000000000;
+ 160 : 0000000000000000;
+ 159 : 0000000000000000;
+ 158 : 0000000000000000;
+ 157 : 0000000000000000;
+ 156 : 0000000000000000;
+ 155 : 0000000000000000;
+ 154 : 0000000000000000;
+ 153 : 0000000000000000;
+ 152 : 0000000000000000;
+ 151 : 0000000000000000;
+ 150 : 0000000000000000;
+ 149 : 0000000000000000;
+ 148 : 0000000000000000;
+ 147 : 0000000000000000;
+ 146 : 0000000000000000;
+ 145 : 0000000000000000;
+ 144 : 0000000000000000;
+ 143 : 0000000000000000;
+ 142 : 0000000000000000;
+ 141 : 0000000000000000;
+ 140 : 0000000000000000;
+ 139 : 0000000000000000;
+ 138 : 0000000000000000;
+ 137 : 0000000000000000;
+ 136 : 0000000000000000;
+ 135 : 0000000000000000;
+ 134 : 0000000000000000;
+ 133 : 0000000000000000;
+ 132 : 0000000000000000;
+ 131 : 0000000000000000;
+ 130 : 0000000000000000;
+ 129 : 0000000000000000;
+ 128 : 0000000000000000;
+ 127 : 0000000000000000;
+ 126 : 0000000000000000;
+ 125 : 0000000000000000;
+ 124 : 0000000000000000;
+ 123 : 0000000000000000;
+ 122 : 0000000000000000;
+ 121 : 0000000000000000;
+ 120 : 0000000000000000;
+ 119 : 0000000000000000;
+ 118 : 0000000000000000;
+ 117 : 0000000000000000;
+ 116 : 0000000000000000;
+ 115 : 0000000000000000;
+ 114 : 0000000000000000;
+ 113 : 0000000000000000;
+ 112 : 0000000000000000;
+ 111 : 0000000000000000;
+ 110 : 0000000000000000;
+ 109 : 0000000000000000;
+ 108 : 0000000000000000;
+ 107 : 0000000000000000;
+ 106 : 0000000000000000;
+ 105 : 0000000000000000;
+ 104 : 0000000000000000;
+ 103 : 0000000000000000;
+ 102 : 0000000000000000;
+ 101 : 0000000000000000;
+ 100 : 0000000000000000;
+ 99 : 0000000000000000;
+ 98 : 0000000000000000;
+ 97 : 0000000000000000;
+ 96 : 0000000000000000;
+ 95 : 0000000000000000;
+ 94 : 0000000000000000;
+ 93 : 0000000000000000;
+ 92 : 0000000000000000;
+ 91 : 0000000000000000;
+ 90 : 0000000000000000;
+ 89 : 0000000000000000;
+ 88 : 0000000000000000;
+ 87 : 0000000000000000;
+ 86 : 0000000000000000;
+ 85 : 0000000000000000;
+ 84 : 0000000000000000;
+ 83 : 0000000000000000;
+ 82 : 0000000000000000;
+ 81 : 0000000000000000;
+ 80 : 0000000000000000;
+ 79 : 0000000000000000;
+ 78 : 0000000000000000;
+ 77 : 0000000000000000;
+ 76 : 0000000000000000;
+ 75 : 0000000000000000;
+ 74 : 0000000000000000;
+ 73 : 0000000000000000;
+ 72 : 0000000000000000;
+ 71 : 0000000000000000;
+ 70 : 0000000000000000;
+ 69 : 0000000000000000;
+ 68 : 0000000000000000;
+ 67 : 0000000000000000;
+ 66 : 0000000000000000;
+ 65 : 0000000000000000;
+ 64 : 0000000000000000;
+ 63 : 0000000000000000;
+ 62 : 0000000000000000;
+ 61 : 0000000000000000;
+ 60 : 0000000000000000;
+ 59 : 0000000000000000;
+ 58 : 0000000000000000;
+ 57 : 0000000000000000;
+ 56 : 0000000000000000;
+ 55 : 0000000000000000;
+ 54 : 0000000000000000;
+ 53 : 0000000000000000;
+ 52 : 0000000000000000;
+ 51 : 0000000000000000;
+ 50 : 0000000000000000;
+ 49 : 0000000000000000;
+ 48 : 0000000000000000;
+ 47 : 0000000000000000;
+ 46 : 0000000000000000;
+ 45 : 0000000000000000;
+ 44 : 0000000000000000;
+ 43 : 0000000000000000;
+ 42 : 0000000000000000;
+ 41 : 0000000000000000;
+ 40 : 0000000000000000;
+ 39 : 0000000000000000;
+ 38 : 0000000000000000;
+ 37 : 0000000000000000;
+ 36 : 0000000000000000;
+ 35 : 0000000000000000;
+ 34 : 0000000000000000;
+ 33 : 0000000000000000;
+ 32 : 0000000000000000;
+ 31 : 0000000000000000;
+ 30 : 0000000000000000;
+ 29 : 0000000000000000;
+ 28 : 0000000000000000;
+ 27 : 0000000000000000;
+ 26 : 0000000000000000;
+ 25 : 0000000000000000;
+ 24 : 0000000000000000;
+ 23 : 0000000000000000;
+ 22 : 0000000000000000;
+ 21 : 0000000000000000;
+ 20 : 0000000000000000;
+ 19 : 0000000000000000;
+ 18 : 0000000000000000;
+ 17 : 0000000000000000;
+ 16 : 0000000000000000;
+ 15 : 0000000000000000;
+ 14 : 0000000000000000;
+ 13 : 0000000000000000;
+ 12 : 0000000000000000;
+ 11 : 0000000000000000;
+ 10 : 0000000000000000;
+ 9 : 0000000000000000;
+ 8 : 0000000000000000;
+ 7 : 0000000000000000;
+ 6 : 0000000000000000;
+ 5 : 0000000000000000;
+ 4 : 0000000000000000;
+ 3 : 0000000000000000;
+ 2 : 0000000000000000;
+ 1 : 0000000000000000;
+ 0 : 0000000000000000;
+END;
diff --git a/db/mips.ram0_instr_mem_8ff0214b.hdl.mif b/db/mips.ram0_instr_mem_8ff0214b.hdl.mif
new file mode 100644
index 0000000..e272f95
--- /dev/null
+++ b/db/mips.ram0_instr_mem_8ff0214b.hdl.mif
@@ -0,0 +1,27 @@
+-- begin_signature
+-- instr_mem
+-- end_signature
+WIDTH=16;
+DEPTH=16;
+
+ADDRESS_RADIX=UNS;
+DATA_RADIX=BIN;
+
+CONTENT BEGIN
+ 15 : 0000000000000111;
+ 14 : 0000000000000110;
+ 13 : 0000000000000101;
+ 12 : 0000000000000000;
+ 11 : 0000000000000000;
+ 10 : 0000000000000000;
+ 9 : 0000000000000000;
+ 8 : 0000000000000000;
+ 7 : 0000000000000000;
+ 6 : 0000000000000000;
+ 5 : 1100000001111011;
+ 4 : 1111110110110001;
+ 3 : 1101110111011001;
+ 2 : 1101110001100111;
+ 1 : 0010110010110010;
+ 0 : 1000000110000000;
+END;
diff --git a/db/mips.root_partition.map.reg_db.cdb b/db/mips.root_partition.map.reg_db.cdb
new file mode 100644
index 0000000..c429935
Binary files /dev/null and b/db/mips.root_partition.map.reg_db.cdb differ
diff --git a/db/mips.routing.rdb b/db/mips.routing.rdb
new file mode 100644
index 0000000..3d7e973
Binary files /dev/null and b/db/mips.routing.rdb differ
diff --git a/db/mips.rtlv.hdb b/db/mips.rtlv.hdb
new file mode 100644
index 0000000..9e10a4a
Binary files /dev/null and b/db/mips.rtlv.hdb differ
diff --git a/db/mips.rtlv_sg.cdb b/db/mips.rtlv_sg.cdb
new file mode 100644
index 0000000..1b63420
Binary files /dev/null and b/db/mips.rtlv_sg.cdb differ
diff --git a/db/mips.rtlv_sg_swap.cdb b/db/mips.rtlv_sg_swap.cdb
new file mode 100644
index 0000000..860c183
Binary files /dev/null and b/db/mips.rtlv_sg_swap.cdb differ
diff --git a/db/mips.sld_design_entry.sci b/db/mips.sld_design_entry.sci
new file mode 100644
index 0000000..6445f0e
Binary files /dev/null and b/db/mips.sld_design_entry.sci differ
diff --git a/db/mips.sld_design_entry_dsc.sci b/db/mips.sld_design_entry_dsc.sci
new file mode 100644
index 0000000..6445f0e
Binary files /dev/null and b/db/mips.sld_design_entry_dsc.sci differ
diff --git a/db/mips.smart_action.txt b/db/mips.smart_action.txt
new file mode 100644
index 0000000..437a63e
--- /dev/null
+++ b/db/mips.smart_action.txt
@@ -0,0 +1 @@
+DONE
diff --git a/db/mips.sta.qmsg b/db/mips.sta.qmsg
new file mode 100644
index 0000000..6ab899d
--- /dev/null
+++ b/db/mips.sta.qmsg
@@ -0,0 +1,52 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1648709519545 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition " "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1648709519556 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 31 12:21:59 2022 " "Processing started: Thu Mar 31 12:21:59 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1648709519556 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1648709519556 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta mips -c mips " "Command: quartus_sta mips -c mips" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1648709519556 ""}
+{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1648709519754 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1648709521451 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1648709521451 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709521509 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709521509 ""}
+{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "mips.sdc " "Synopsys Design Constraints File file not found: 'mips.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1648709523254 ""}
+{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709523255 ""}
+{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1648709523283 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709523283 ""}
+{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1648709523390 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709523390 ""}
+{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1648709523397 ""}
+{ "Info" "0" "" "Analyzing Slow 1100mV 85C Model" { } { } 0 0 "Analyzing Slow 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1648709523413 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1648709526816 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1648709526816 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -19.673 " "Worst-case setup slack is -19.673" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709526819 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709526819 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -19.673 -67813.052 clk " " -19.673 -67813.052 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709526819 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709526819 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.903 " "Worst-case hold slack is 0.903" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709526963 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709526963 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.903 0.000 clk " " 0.903 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709526963 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709526963 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709526970 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709526979 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.724 " "Worst-case minimum pulse width slack is -0.724" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709526989 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709526989 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.724 -4689.288 clk " " -0.724 -4689.288 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709526989 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709526989 ""}
+{ "Info" "0" "" "Analyzing Slow 1100mV 0C Model" { } { } 0 0 "Analyzing Slow 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1648709527276 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1648709527361 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1648709536469 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709537066 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1648709537310 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1648709537310 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -19.623 " "Worst-case setup slack is -19.623" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709537312 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709537312 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -19.623 -66599.186 clk " " -19.623 -66599.186 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709537312 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709537312 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.939 " "Worst-case hold slack is 0.939" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709537403 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709537403 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.939 0.000 clk " " 0.939 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709537403 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709537403 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709537413 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709537416 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.724 " "Worst-case minimum pulse width slack is -0.724" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709537423 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709537423 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.724 -4682.170 clk " " -0.724 -4682.170 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709537423 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709537423 ""}
+{ "Info" "0" "" "Analyzing Fast 1100mV 85C Model" { } { } 0 0 "Analyzing Fast 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1648709537619 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1648709538056 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1648709545136 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709545762 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1648709545897 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1648709545897 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -8.446 " "Worst-case setup slack is -8.446" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709545900 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709545900 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.446 -27967.744 clk " " -8.446 -27967.744 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709545900 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709545900 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.352 " "Worst-case hold slack is 0.352" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709546037 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709546037 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.352 0.000 clk " " 0.352 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709546037 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709546037 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709546047 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709546055 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.092 " "Worst-case minimum pulse width slack is -0.092" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709546065 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709546065 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.092 -357.672 clk " " -0.092 -357.672 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709546065 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709546065 ""}
+{ "Info" "0" "" "Analyzing Fast 1100mV 0C Model" { } { } 0 0 "Analyzing Fast 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1648709546364 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709546962 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1648709547095 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1648709547095 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -7.538 " "Worst-case setup slack is -7.538" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709547097 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709547097 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -7.538 -24954.092 clk " " -7.538 -24954.092 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709547097 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709547097 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.331 " "Worst-case hold slack is 0.331" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709547242 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709547242 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.331 0.000 clk " " 0.331 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709547242 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709547242 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709547250 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709547259 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.092 " "Worst-case minimum pulse width slack is -0.092" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709547266 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709547266 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.092 -360.619 clk " " -0.092 -360.619 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709547266 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709547266 ""}
+{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1648709550774 ""}
+{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1648709551045 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 6 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5308 " "Peak virtual memory: 5308 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648709551300 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:22:31 2022 " "Processing ended: Thu Mar 31 12:22:31 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648709551300 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:32 " "Elapsed time: 00:00:32" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648709551300 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:01:09 " "Total CPU time (on all processors): 00:01:09" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648709551300 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1648709551300 ""}
diff --git a/db/mips.sta.rdb b/db/mips.sta.rdb
new file mode 100644
index 0000000..b5e5f1e
Binary files /dev/null and b/db/mips.sta.rdb differ
diff --git a/db/mips.sta_cmp.8_H7_slow_1100mv_85c.tdb b/db/mips.sta_cmp.8_H7_slow_1100mv_85c.tdb
new file mode 100644
index 0000000..a276c11
Binary files /dev/null and b/db/mips.sta_cmp.8_H7_slow_1100mv_85c.tdb differ
diff --git a/db/mips.tis_db_list.ddb b/db/mips.tis_db_list.ddb
new file mode 100644
index 0000000..032f394
Binary files /dev/null and b/db/mips.tis_db_list.ddb differ
diff --git a/db/mips.tiscmp.fast_1100mv_0c.ddb b/db/mips.tiscmp.fast_1100mv_0c.ddb
new file mode 100644
index 0000000..5511ee6
Binary files /dev/null and b/db/mips.tiscmp.fast_1100mv_0c.ddb differ
diff --git a/db/mips.tiscmp.fast_1100mv_85c.ddb b/db/mips.tiscmp.fast_1100mv_85c.ddb
new file mode 100644
index 0000000..a320f74
Binary files /dev/null and b/db/mips.tiscmp.fast_1100mv_85c.ddb differ
diff --git a/db/mips.tiscmp.fastest_slow_1100mv_0c.ddb b/db/mips.tiscmp.fastest_slow_1100mv_0c.ddb
new file mode 100644
index 0000000..5ee871e
Binary files /dev/null and b/db/mips.tiscmp.fastest_slow_1100mv_0c.ddb differ
diff --git a/db/mips.tiscmp.fastest_slow_1100mv_85c.ddb b/db/mips.tiscmp.fastest_slow_1100mv_85c.ddb
new file mode 100644
index 0000000..37779bc
Binary files /dev/null and b/db/mips.tiscmp.fastest_slow_1100mv_85c.ddb differ
diff --git a/db/mips.tiscmp.slow_1100mv_0c.ddb b/db/mips.tiscmp.slow_1100mv_0c.ddb
new file mode 100644
index 0000000..33356cc
Binary files /dev/null and b/db/mips.tiscmp.slow_1100mv_0c.ddb differ
diff --git a/db/mips.tiscmp.slow_1100mv_85c.ddb b/db/mips.tiscmp.slow_1100mv_85c.ddb
new file mode 100644
index 0000000..7bdbd39
Binary files /dev/null and b/db/mips.tiscmp.slow_1100mv_85c.ddb differ
diff --git a/db/mips.tmw_info b/db/mips.tmw_info
new file mode 100644
index 0000000..5f90ea7
--- /dev/null
+++ b/db/mips.tmw_info
@@ -0,0 +1,7 @@
+start_full_compilation:s:00:06:07
+start_analysis_synthesis:s:00:00:35-start_full_compilation
+start_analysis_elaboration:s-start_full_compilation
+start_fitter:s:00:04:33-start_full_compilation
+start_assembler:s:00:00:19-start_full_compilation
+start_timing_analyzer:s:00:00:34-start_full_compilation
+start_eda_netlist_writer:s:00:00:06-start_full_compilation
diff --git a/db/mips.vpr.ammdb b/db/mips.vpr.ammdb
new file mode 100644
index 0000000..7b652f0
Binary files /dev/null and b/db/mips.vpr.ammdb differ
diff --git a/db/mips_partition_pins.json b/db/mips_partition_pins.json
new file mode 100644
index 0000000..0805b18
--- /dev/null
+++ b/db/mips_partition_pins.json
@@ -0,0 +1,145 @@
+{
+ "partitions" : [
+ {
+ "name" : "Top",
+ "pins" : [
+ {
+ "name" : "pc_out[0]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[1]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[2]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[3]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[4]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[5]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[6]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[7]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[8]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[9]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[10]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[11]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[12]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[13]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[14]",
+ "strict" : false
+ },
+ {
+ "name" : "pc_out[15]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[0]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[1]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[2]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[3]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[4]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[5]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[6]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[7]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[8]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[9]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[10]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[11]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[12]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[13]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[14]",
+ "strict" : false
+ },
+ {
+ "name" : "alu_result[15]",
+ "strict" : false
+ },
+ {
+ "name" : "reset",
+ "strict" : false
+ },
+ {
+ "name" : "clk",
+ "strict" : false
+ }
+ ]
+ }
+ ]
+}
\ No newline at end of file
diff --git a/db/prev_cmp_mips.qmsg b/db/prev_cmp_mips.qmsg
new file mode 100644
index 0000000..907d121
--- /dev/null
+++ b/db/prev_cmp_mips.qmsg
@@ -0,0 +1,140 @@
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1648708870189 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition " "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1648708870195 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 31 12:11:10 2022 " "Processing started: Thu Mar 31 12:11:10 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1648708870195 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1648708870195 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off mips -c mips " "Command: quartus_map --read_settings_files=on --write_settings_files=off mips -c mips" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1648708870195 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1648708870657 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1648708870657 ""}
+{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "modified_mips.v 10 10 " "Found 10 design units, including 10 entities, in source file modified_mips.v" { { "Info" "ISGN_ENTITY_NAME" "1 log_barrel_shifter_left " "Found entity 1: log_barrel_shifter_left" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} { "Info" "ISGN_ENTITY_NAME" "2 data_memory " "Found entity 2: data_memory" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 75 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} { "Info" "ISGN_ENTITY_NAME" "3 ALUControl " "Found entity 3: ALUControl" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 93 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} { "Info" "ISGN_ENTITY_NAME" "4 JR_Control " "Found entity 4: JR_Control" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 113 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} { "Info" "ISGN_ENTITY_NAME" "5 control " "Found entity 5: control" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 119 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} { "Info" "ISGN_ENTITY_NAME" "6 alu " "Found entity 6: alu" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 252 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} { "Info" "ISGN_ENTITY_NAME" "7 register_file " "Found entity 7: register_file" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 289 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} { "Info" "ISGN_ENTITY_NAME" "8 instr_mem " "Found entity 8: instr_mem" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 330 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} { "Info" "ISGN_ENTITY_NAME" "9 mips_16 " "Found entity 9: mips_16" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 374 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} { "Info" "ISGN_ENTITY_NAME" "10 tb_mips16 " "Found entity 10: tb_mips16" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 480 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1648708882957 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1648708882957 ""}
+{ "Info" "ISGN_START_ELABORATION_TOP" "mips_16 " "Elaborating entity \"mips_16\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1648708882991 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "instr_mem instr_mem:instrucion_memory " "Elaborating entity \"instr_mem\" for hierarchy \"instr_mem:instrucion_memory\"" { } { { "modified_mips.v" "instrucion_memory" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 411 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648708882996 ""}
+{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "rom.data_a 0 modified_mips.v(344) " "Net \"rom.data_a\" at modified_mips.v(344) has no driver or initial value, using a default initial value '0'" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 344 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Analysis & Synthesis" 0 -1 1648708882997 "|mips_16|instr_mem:instrucion_memory"}
+{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "rom.waddr_a 0 modified_mips.v(344) " "Net \"rom.waddr_a\" at modified_mips.v(344) has no driver or initial value, using a default initial value '0'" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 344 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Analysis & Synthesis" 0 -1 1648708882997 "|mips_16|instr_mem:instrucion_memory"}
+{ "Warning" "WVRFX_VDB_DRIVERLESS_NET" "rom.we_a 0 modified_mips.v(344) " "Net \"rom.we_a\" at modified_mips.v(344) has no driver or initial value, using a default initial value '0'" { } { { "modified_mips.v" "" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 344 0 0 } } } 0 10030 "Net \"%1!s!\" at %3!s! has no driver or initial value, using a default initial value '%2!c!'" 0 0 "Analysis & Synthesis" 0 -1 1648708882997 "|mips_16|instr_mem:instrucion_memory"}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "control control:control_unit " "Elaborating entity \"control\" for hierarchy \"control:control_unit\"" { } { { "modified_mips.v" "control_unit" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 417 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648708882997 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "register_file register_file:reg_file " "Elaborating entity \"register_file\" for hierarchy \"register_file:reg_file\"" { } { { "modified_mips.v" "reg_file" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 429 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648708882998 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "JR_Control JR_Control:JRControl_unit " "Elaborating entity \"JR_Control\" for hierarchy \"JR_Control:JRControl_unit\"" { } { { "modified_mips.v" "JRControl_unit" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 437 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648708883001 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "ALUControl ALUControl:ALU_Control_unit " "Elaborating entity \"ALUControl\" for hierarchy \"ALUControl:ALU_Control_unit\"" { } { { "modified_mips.v" "ALU_Control_unit" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 439 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648708883001 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "alu alu:alu_unit " "Elaborating entity \"alu\" for hierarchy \"alu:alu_unit\"" { } { { "modified_mips.v" "alu_unit" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 443 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648708883002 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "log_barrel_shifter_left alu:alu_unit\|log_barrel_shifter_left:shifter_left " "Elaborating entity \"log_barrel_shifter_left\" for hierarchy \"alu:alu_unit\|log_barrel_shifter_left:shifter_left\"" { } { { "modified_mips.v" "shifter_left" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 280 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648708883003 ""}
+{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "data_memory data_memory:datamem " "Elaborating entity \"data_memory\" for hierarchy \"data_memory:datamem\"" { } { { "modified_mips.v" "datamem" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 465 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648708883004 ""}
+{ "Info" "IINFER_UNINFERRED_RAM_SUMMARY" "2 " "Found 2 instances of uninferred RAM logic" { { "Info" "IINFER_READ_LOGIC_IS_ASYNCHRONOUS" "data_memory:datamem\|ram " "RAM logic \"data_memory:datamem\|ram\" is uninferred due to asynchronous read logic" { } { { "modified_mips.v" "ram" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 78 -1 0 } } } 0 276007 "RAM logic \"%1!s!\" is uninferred due to asynchronous read logic" 0 0 "Design Software" 0 -1 1648708883460 ""} { "Info" "IINFER_RAM_UNINFERRED_DUE_TO_SIZE" "instr_mem:instrucion_memory\|rom " "RAM logic \"instr_mem:instrucion_memory\|rom\" is uninferred due to inappropriate RAM size" { } { { "modified_mips.v" "rom" { Text "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v" 344 -1 0 } } } 0 276004 "RAM logic \"%1!s!\" is uninferred due to inappropriate RAM size" 0 0 "Design Software" 0 -1 1648708883460 ""} } { } 0 276014 "Found %1!d! instances of uninferred RAM logic" 0 0 "Analysis & Synthesis" 0 -1 1648708883460 ""}
+{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1648708894633 ""}
+{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "32 " "32 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1648708899501 ""}
+{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1648708900024 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1648708900024 ""}
+{ "Info" "ICUT_CUT_TM_SUMMARY" "6292 " "Implemented 6292 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "2 " "Implemented 2 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1648708900553 ""} { "Info" "ICUT_CUT_TM_OPINS" "32 " "Implemented 32 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1648708900553 ""} { "Info" "ICUT_CUT_TM_LCELLS" "6257 " "Implemented 6257 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1648708900553 ""} { "Info" "ICUT_CUT_TM_DSP_ELEM" "1 " "Implemented 1 DSP elements" { } { } 0 21062 "Implemented %1!d! DSP elements" 0 0 "Design Software" 0 -1 1648708900553 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1648708900553 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 4 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4856 " "Peak virtual memory: 4856 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648708900590 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:11:40 2022 " "Processing ended: Thu Mar 31 12:11:40 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648708900590 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:30 " "Elapsed time: 00:00:30" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648708900590 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:49 " "Total CPU time (on all processors): 00:00:49" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648708900590 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1648708900590 ""}
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1648708902603 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition " "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1648708902612 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 31 12:11:41 2022 " "Processing started: Thu Mar 31 12:11:41 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1648708902612 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1648708902612 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off mips -c mips " "Command: quartus_fit --read_settings_files=off --write_settings_files=off mips -c mips" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1648708902612 ""}
+{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1648708903977 ""}
+{ "Info" "0" "" "Project = mips" { } { } 0 0 "Project = mips" 0 0 "Fitter" 0 0 1648708903978 ""}
+{ "Info" "0" "" "Revision = mips" { } { } 0 0 "Revision = mips" 0 0 "Fitter" 0 0 1648708903979 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1648708904218 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1648708904218 ""}
+{ "Info" "IMPP_MPP_USER_DEVICE" "mips 5CGXFC7C7F23C8 " "Selected device 5CGXFC7C7F23C8 for design \"mips\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1648708904281 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1648708904361 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1648708904361 ""}
+{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1648708905123 ""}
+{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1648708905208 ""}
+{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1648708905708 ""}
+{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "34 34 " "No exact pin location assignment(s) for 34 pins of 34 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1648708906161 ""}
+{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_START_INFO" "" "Starting Fitter periphery placement operations" { } { } 0 184020 "Starting Fitter periphery placement operations" 0 0 "Fitter" 0 -1 1648708916995 ""}
+{ "Info" "ICCLK_CLOCKS_TOP_AUTO" "1 (1 global) " "Automatically promoted 1 clock (1 global)" { { "Info" "ICCLK_PROMOTE_ASSIGNMENT" "clk~inputCLKENA0 4176 global CLKCTRL_G10 " "clk~inputCLKENA0 with 4176 fanout uses global clock CLKCTRL_G10" { } { } 0 11162 "%1!s! with %2!d! fanout uses %3!s! clock %4!s!" 0 0 "Design Software" 0 -1 1648708917422 ""} } { } 0 11191 "Automatically promoted %1!d! clock%2!s! %3!s!" 0 0 "Fitter" 0 -1 1648708917422 ""}
+{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_END_INFO" "00:00:00 " "Fitter periphery placement operations ending: elapsed time is 00:00:00" { } { } 0 184021 "Fitter periphery placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648708917423 ""}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1648708917488 ""}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1648708917503 ""}
+{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1648708917532 ""}
+{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1648708917563 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1648708917564 ""}
+{ "Extra Info" "IFSAC_FSAC_START_IO_MAC_RAM_PACKING" "" "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" { } { } 1 176246 "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1648708917580 ""}
+{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "mips.sdc " "Synopsys Design Constraints File file not found: 'mips.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1648708918893 ""}
+{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1648708918895 ""}
+{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1648708919027 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1648708919027 ""}
+{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1648708919031 ""}
+{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MAC_RAM_PACKING" "" "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" { } { } 1 176247 "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1648708919158 ""}
+{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1648708919172 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1648708919172 ""}
+{ "Info" "IFSV_FITTER_PREPARATION_END" "00:00:14 " "Fitter preparation operations ending: elapsed time is 00:00:14" { } { } 0 11798 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648708919447 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1648708929117 ""}
+{ "Info" "IVPR20K_VPR_APL_ENABLED" "" "The Fitter is using Advanced Physical Optimization." { } { } 0 14951 "The Fitter is using Advanced Physical Optimization." 0 0 "Fitter" 0 -1 1648708930150 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:28 " "Fitter placement preparation operations ending: elapsed time is 00:00:28" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648708957349 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1648708977265 ""}
+{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1648708993635 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:17 " "Fitter placement operations ending: elapsed time is 00:00:17" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648708993635 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1648708996287 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "2 " "Router estimated average interconnect usage is 2% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "44 X11_Y0 X21_Y10 " "Router estimated peak interconnect usage is 44% of the available device resources in the region that extends from location X11_Y0 to location X21_Y10" { } { { "loc" "" { Generic "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/" { { 1 { 0 "Router estimated peak interconnect usage is 44% of the available device resources in the region that extends from location X11_Y0 to location X21_Y10"} { { 12 { 0 ""} 11 0 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1648709013416 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1648709013416 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1648709099220 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1648709099220 ""}
+{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:01:35 " "Fitter routing operations ending: elapsed time is 00:01:35" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648709099230 ""}
+{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 14.16 " "Total time spent on timing analysis during the Fitter is 14.16 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1648709110913 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1648709111003 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1648709115931 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1648709115936 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1648709121635 ""}
+{ "Info" "IFSV_FITTER_POST_OPERATION_END" "00:00:22 " "Fitter post-fit operations ending: elapsed time is 00:00:22" { } { } 0 11801 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1648709132596 ""}
+{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/output_files/mips.fit.smsg " "Generated suppressed messages file D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/output_files/mips.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1648709133632 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "6741 " "Peak virtual memory: 6741 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648709135959 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:15:35 2022 " "Processing ended: Thu Mar 31 12:15:35 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648709135959 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:03:54 " "Elapsed time: 00:03:54" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648709135959 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:08:03 " "Total CPU time (on all processors): 00:08:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648709135959 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1648709135959 ""}
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1648709137689 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition " "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1648709137698 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 31 12:15:37 2022 " "Processing started: Thu Mar 31 12:15:37 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1648709137698 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1648709137698 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off mips -c mips " "Command: quartus_asm --read_settings_files=off --write_settings_files=off mips -c mips" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1648709137698 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1648709139548 ""}
+{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1648709153711 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4862 " "Peak virtual memory: 4862 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648709154338 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:15:54 2022 " "Processing ended: Thu Mar 31 12:15:54 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648709154338 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:17 " "Elapsed time: 00:00:17" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648709154338 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:14 " "Total CPU time (on all processors): 00:00:14" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648709154338 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1648709154338 ""}
+{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1648709155172 ""}
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1648709156200 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition " "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1648709156210 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 31 12:15:55 2022 " "Processing started: Thu Mar 31 12:15:55 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1648709156210 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1648709156210 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta mips -c mips " "Command: quartus_sta mips -c mips" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1648709156210 ""}
+{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1648709156446 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1648709158076 ""}
+{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1648709158076 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709158143 ""}
+{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709158143 ""}
+{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "mips.sdc " "Synopsys Design Constraints File file not found: 'mips.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1648709159353 ""}
+{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709159353 ""}
+{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1648709159376 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709159376 ""}
+{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1648709159468 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709159468 ""}
+{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1648709159473 ""}
+{ "Info" "0" "" "Analyzing Slow 1100mV 85C Model" { } { } 0 0 "Analyzing Slow 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1648709159491 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1648709161071 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1648709161071 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -18.701 " "Worst-case setup slack is -18.701" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709161074 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709161074 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -18.701 -63804.509 clk " " -18.701 -63804.509 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709161074 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709161074 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.562 " "Worst-case hold slack is 0.562" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709161224 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709161224 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.562 0.000 clk " " 0.562 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709161224 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709161224 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709161232 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709161242 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.724 " "Worst-case minimum pulse width slack is -0.724" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709161248 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709161248 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.724 -4657.927 clk " " -0.724 -4657.927 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709161248 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709161248 ""}
+{ "Info" "0" "" "Analyzing Slow 1100mV 0C Model" { } { } 0 0 "Analyzing Slow 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1648709161512 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1648709161588 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1648709168460 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709169009 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1648709169394 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1648709169394 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -18.784 " "Worst-case setup slack is -18.784" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709169396 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709169396 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -18.784 -62778.480 clk " " -18.784 -62778.480 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709169396 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709169396 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.568 " "Worst-case hold slack is 0.568" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709169545 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709169545 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.568 0.000 clk " " 0.568 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709169545 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709169545 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709169552 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709169557 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.724 " "Worst-case minimum pulse width slack is -0.724" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709169566 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709169566 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.724 -4671.124 clk " " -0.724 -4671.124 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709169566 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709169566 ""}
+{ "Info" "0" "" "Analyzing Fast 1100mV 85C Model" { } { } 0 0 "Analyzing Fast 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1648709169816 ""}
+{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1648709170231 ""}
+{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1648709175881 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709176332 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1648709176457 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1648709176457 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -8.076 " "Worst-case setup slack is -8.076" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709176459 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709176459 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.076 -26022.685 clk " " -8.076 -26022.685 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709176459 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709176459 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.222 " "Worst-case hold slack is 0.222" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709176595 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709176595 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.222 0.000 clk " " 0.222 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709176595 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709176595 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709176603 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709176607 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.091 " "Worst-case minimum pulse width slack is -0.091" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709176616 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709176616 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.091 -346.003 clk " " -0.091 -346.003 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709176616 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709176616 ""}
+{ "Info" "0" "" "Analyzing Fast 1100mV 0C Model" { } { } 0 0 "Analyzing Fast 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1648709176854 ""}
+{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1648709177300 ""}
+{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1648709177442 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1648709177442 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "setup -7.207 " "Worst-case setup slack is -7.207" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709177444 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709177444 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -7.207 -23135.513 clk " " -7.207 -23135.513 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709177444 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709177444 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.204 " "Worst-case hold slack is 0.204" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709177587 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709177587 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.204 0.000 clk " " 0.204 0.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709177587 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709177587 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709177595 ""}
+{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1648709177599 ""}
+{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -0.091 " "Worst-case minimum pulse width slack is -0.091" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709177605 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709177605 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.091 -348.163 clk " " -0.091 -348.163 clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1648709177605 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1648709177605 ""}
+{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1648709180116 ""}
+{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1648709180307 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 6 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 6 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5291 " "Peak virtual memory: 5291 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648709180467 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:16:20 2022 " "Processing ended: Thu Mar 31 12:16:20 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648709180467 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:25 " "Elapsed time: 00:00:25" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648709180467 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:56 " "Total CPU time (on all processors): 00:00:56" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648709180467 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1648709180467 ""}
+{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Timing Analyzer" 0 -1 1648709181969 ""}
+{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition " "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1648709181979 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 31 12:16:21 2022 " "Processing started: Thu Mar 31 12:16:21 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1648709181979 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1648709181979 ""}
+{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off mips -c mips " "Command: quartus_eda --read_settings_files=off --write_settings_files=off mips -c mips" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1648709181979 ""}
+{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1648709184039 ""}
+{ "Info" "IWSC_DONE_HDL_GENERATION" "mips.vo D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/simulation/modelsim/ simulation " "Generated file mips.vo in folder \"D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/simulation/modelsim/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1648709185151 ""}
+{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4757 " "Peak virtual memory: 4757 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1648709185291 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 31 12:16:25 2022 " "Processing ended: Thu Mar 31 12:16:25 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1648709185291 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1648709185291 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1648709185291 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1648709185291 ""}
+{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 17 s " "Quartus Prime Full Compilation was successful. 0 errors, 17 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1648709185991 ""}
diff --git a/incremental_db/README b/incremental_db/README
new file mode 100644
index 0000000..6191fbe
--- /dev/null
+++ b/incremental_db/README
@@ -0,0 +1,11 @@
+This folder contains data for incremental compilation.
+
+The compiled_partitions sub-folder contains previous compilation results for each partition.
+As long as this folder is preserved, incremental compilation results from earlier compiles
+can be re-used. To perform a clean compilation from source files for all partitions, both
+the db and incremental_db folder should be removed.
+
+The imported_partitions sub-folder contains the last imported QXP for each imported partition.
+As long as this folder is preserved, imported partitions will be automatically re-imported
+when the db or incremental_db/compiled_partitions folders are removed.
+
diff --git a/incremental_db/compiled_partitions/mips.db_info b/incremental_db/compiled_partitions/mips.db_info
new file mode 100644
index 0000000..897f3ad
--- /dev/null
+++ b/incremental_db/compiled_partitions/mips.db_info
@@ -0,0 +1,3 @@
+Quartus_Version = Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+Version_Index = 486704640
+Creation_Time = Sat Mar 26 19:47:37 2022
diff --git a/incremental_db/compiled_partitions/mips.root_partition.cmp.ammdb b/incremental_db/compiled_partitions/mips.root_partition.cmp.ammdb
new file mode 100644
index 0000000..11311af
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.cmp.ammdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.cmp.cdb b/incremental_db/compiled_partitions/mips.root_partition.cmp.cdb
new file mode 100644
index 0000000..88da7b4
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.cmp.cdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.cmp.dfp b/incremental_db/compiled_partitions/mips.root_partition.cmp.dfp
new file mode 100644
index 0000000..b1c67d6
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.cmp.dfp differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.cmp.hbdb.cdb b/incremental_db/compiled_partitions/mips.root_partition.cmp.hbdb.cdb
new file mode 100644
index 0000000..8cce3d1
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.cmp.hbdb.cdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.cmp.hbdb.hdb b/incremental_db/compiled_partitions/mips.root_partition.cmp.hbdb.hdb
new file mode 100644
index 0000000..e8e776c
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.cmp.hbdb.hdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.cmp.hbdb.sig b/incremental_db/compiled_partitions/mips.root_partition.cmp.hbdb.sig
new file mode 100644
index 0000000..af9b8e9
--- /dev/null
+++ b/incremental_db/compiled_partitions/mips.root_partition.cmp.hbdb.sig
@@ -0,0 +1 @@
+7aee213afbf8301ed5eefc8c827f49a3
\ No newline at end of file
diff --git a/incremental_db/compiled_partitions/mips.root_partition.cmp.hdb b/incremental_db/compiled_partitions/mips.root_partition.cmp.hdb
new file mode 100644
index 0000000..b5e33d3
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.cmp.hdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.cmp.logdb b/incremental_db/compiled_partitions/mips.root_partition.cmp.logdb
new file mode 100644
index 0000000..d45424f
--- /dev/null
+++ b/incremental_db/compiled_partitions/mips.root_partition.cmp.logdb
@@ -0,0 +1 @@
+v1
diff --git a/incremental_db/compiled_partitions/mips.root_partition.cmp.rcfdb b/incremental_db/compiled_partitions/mips.root_partition.cmp.rcfdb
new file mode 100644
index 0000000..b921578
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.cmp.rcfdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.map.cdb b/incremental_db/compiled_partitions/mips.root_partition.map.cdb
new file mode 100644
index 0000000..ec2a441
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.map.cdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.map.dpi b/incremental_db/compiled_partitions/mips.root_partition.map.dpi
new file mode 100644
index 0000000..6b134da
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.map.dpi differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.cdb b/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.cdb
new file mode 100644
index 0000000..43d9cc6
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.cdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.hb_info b/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.hb_info
new file mode 100644
index 0000000..8210c55
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.hb_info differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.hdb b/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.hdb
new file mode 100644
index 0000000..1a7d0e9
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.hdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.sig b/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.sig
new file mode 100644
index 0000000..af9b8e9
--- /dev/null
+++ b/incremental_db/compiled_partitions/mips.root_partition.map.hbdb.sig
@@ -0,0 +1 @@
+7aee213afbf8301ed5eefc8c827f49a3
\ No newline at end of file
diff --git a/incremental_db/compiled_partitions/mips.root_partition.map.hdb b/incremental_db/compiled_partitions/mips.root_partition.map.hdb
new file mode 100644
index 0000000..f19bd3c
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.map.hdb differ
diff --git a/incremental_db/compiled_partitions/mips.root_partition.map.kpt b/incremental_db/compiled_partitions/mips.root_partition.map.kpt
new file mode 100644
index 0000000..153d4fa
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.root_partition.map.kpt differ
diff --git a/incremental_db/compiled_partitions/mips.rrp.hdb b/incremental_db/compiled_partitions/mips.rrp.hdb
new file mode 100644
index 0000000..5233bed
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.rrp.hdb differ
diff --git a/incremental_db/compiled_partitions/mips.rrs.cdb b/incremental_db/compiled_partitions/mips.rrs.cdb
new file mode 100644
index 0000000..448b337
Binary files /dev/null and b/incremental_db/compiled_partitions/mips.rrs.cdb differ
diff --git a/mips.qpf b/mips.qpf
new file mode 100644
index 0000000..f189e19
--- /dev/null
+++ b/mips.qpf
@@ -0,0 +1,31 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 2019 Intel Corporation. All rights reserved.
+# Your use of Intel Corporation's design tools, logic functions
+# and other software and tools, and any partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Intel Program License
+# Subscription Agreement, the Intel Quartus Prime License Agreement,
+# the Intel FPGA IP License Agreement, or other applicable license
+# agreement, including, without limitation, that your use is for
+# the sole purpose of programming logic devices manufactured by
+# Intel and sold by Intel or its authorized distributors. Please
+# refer to the applicable agreement for further details, at
+# https://fpgasoftware.intel.com/eula.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus Prime
+# Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+# Date created = 19:40:14 March 26, 2022
+#
+# -------------------------------------------------------------------------- #
+
+QUARTUS_VERSION = "18.1"
+DATE = "19:40:14 March 26, 2022"
+
+# Revisions
+
+PROJECT_REVISION = "mips"
diff --git a/mips.qsf b/mips.qsf
new file mode 100644
index 0000000..a3a88ec
--- /dev/null
+++ b/mips.qsf
@@ -0,0 +1,61 @@
+# -------------------------------------------------------------------------- #
+#
+# Copyright (C) 2019 Intel Corporation. All rights reserved.
+# Your use of Intel Corporation's design tools, logic functions
+# and other software and tools, and any partner logic
+# functions, and any output files from any of the foregoing
+# (including device programming or simulation files), and any
+# associated documentation or information are expressly subject
+# to the terms and conditions of the Intel Program License
+# Subscription Agreement, the Intel Quartus Prime License Agreement,
+# the Intel FPGA IP License Agreement, or other applicable license
+# agreement, including, without limitation, that your use is for
+# the sole purpose of programming logic devices manufactured by
+# Intel and sold by Intel or its authorized distributors. Please
+# refer to the applicable agreement for further details, at
+# https://fpgasoftware.intel.com/eula.
+#
+# -------------------------------------------------------------------------- #
+#
+# Quartus Prime
+# Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+# Date created = 19:40:14 March 26, 2022
+#
+# -------------------------------------------------------------------------- #
+#
+# Notes:
+#
+# 1) The default values for assignments are stored in the file:
+# mips_assignment_defaults.qdf
+# If this file doesn't exist, see file:
+# assignment_defaults.qdf
+#
+# 2) Altera recommends that you do not modify this file. This
+# file is updated automatically by the Quartus Prime software
+# and any changes you make may be lost or overwritten.
+#
+# -------------------------------------------------------------------------- #
+
+
+set_global_assignment -name FAMILY "Cyclone V"
+set_global_assignment -name DEVICE 5CGXFC7C7F23C8
+set_global_assignment -name TOP_LEVEL_ENTITY mips_16
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 18.1.1
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "19:40:14 MARCH 26, 2022"
+set_global_assignment -name LAST_QUARTUS_VERSION "18.1.1 Lite Edition"
+set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
+set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
+set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
+set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
+set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
+set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
+set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
+set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
+set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id eda_simulation
+set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH barrelShifter_tb -section_id eda_simulation
+set_global_assignment -name VERILOG_FILE modified_mips.v
+set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
diff --git a/mips.v b/mips.v
new file mode 100644
index 0000000..acbff8b
--- /dev/null
+++ b/mips.v
@@ -0,0 +1,420 @@
+module data_memory (input clk, input [15:0]mem_access_addr, input [15:0]mem_write_data,
+input mem_write_en, input mem_read, output [15:0]mem_read_data);
+ integer i;
+ reg [15:0] ram [255:0];
+ wire [7 : 0] ram_addr = mem_access_addr[8 : 1];
+ initial begin
+ for(i=0;i<256;i=i+1)
+ ram[i] <= 16'd0;
+ end
+ always @(posedge clk) begin
+ if (mem_write_en)
+ ram[ram_addr] <= mem_write_data;
+ end
+ assign mem_read_data = (mem_read==1'b1) ? ram[ram_addr]: 16'd0;
+endmodule
+
+
+
+module ALUControl(input [1:0]alu_op, input [3:0]Function, output reg[2:0]ALU_Control);
+ wire [5:0] ALUControlIn;
+ assign ALUControlIn = {alu_op,Function};
+ always @(ALUControlIn)
+ casex (ALUControlIn)
+ 6'b11xxxx: ALU_Control=3'b000;
+ 6'b10xxxx: ALU_Control=3'b100;
+ 6'b01xxxx: ALU_Control=3'b001;
+ 6'b000000: ALU_Control=3'b000;
+ 6'b000001: ALU_Control=3'b001;
+ 6'b000010: ALU_Control=3'b010;
+ 6'b000011: ALU_Control=3'b011;
+ 6'b000100: ALU_Control=3'b100;
+ default: ALU_Control=3'b000;
+ endcase
+endmodule
+// Verilog code for JR control unit
+module JR_Control(input[1:0]alu_op, input [3:0]funct, output JRControl);
+ assign JRControl = ({alu_op,funct}==6'b001000) ? 1'b1 : 1'b0;
+endmodule
+
+
+
+module control(input[2:0] opcode, input reset, output reg[1:0] reg_dst, mem_to_reg, alu_op,
+output reg jump, branch, mem_read, mem_write, alu_src, reg_write, sign_or_zero);
+
+ always @(*)
+ begin
+ if(reset == 1'b1) begin
+ reg_dst = 2'b00;
+ mem_to_reg = 2'b00;
+ alu_op = 2'b00;
+ jump = 1'b0;
+ branch = 1'b0;
+ mem_read = 1'b0;
+ mem_write = 1'b0;
+ alu_src = 1'b0;
+ reg_write = 1'b0;
+ sign_or_zero = 1'b1;
+ end
+ else begin
+ case(opcode)
+ 3'b000: begin // add
+ reg_dst = 2'b01;
+ mem_to_reg = 2'b00;
+ alu_op = 2'b00;
+ jump = 1'b0;
+ branch = 1'b0;
+ mem_read = 1'b0;
+ mem_write = 1'b0;
+ alu_src = 1'b0;
+ reg_write = 1'b1;
+ sign_or_zero = 1'b1;
+ end
+ 3'b001: begin // sli
+ reg_dst = 2'b00;
+ mem_to_reg = 2'b00;
+ alu_op = 2'b10;
+ jump = 1'b0;
+ branch = 1'b0;
+ mem_read = 1'b0;
+ mem_write = 1'b0;
+ alu_src = 1'b1;
+ reg_write = 1'b1;
+ sign_or_zero = 1'b0;
+ end
+ 3'b010: begin // j
+ reg_dst = 2'b00;
+ mem_to_reg = 2'b00;
+ alu_op = 2'b00;
+ jump = 1'b1;
+ branch = 1'b0;
+ mem_read = 1'b0;
+ mem_write = 1'b0;
+ alu_src = 1'b0;
+ reg_write = 1'b0;
+ sign_or_zero = 1'b1;
+ end
+ 3'b011: begin // jal
+ reg_dst = 2'b10;
+ mem_to_reg = 2'b10;
+ alu_op = 2'b00;
+ jump = 1'b1;
+ branch = 1'b0;
+ mem_read = 1'b0;
+ mem_write = 1'b0;
+ alu_src = 1'b0;
+ reg_write = 1'b1;
+ sign_or_zero = 1'b1;
+ end
+ 3'b100: begin // lw
+ reg_dst = 2'b00;
+ mem_to_reg = 2'b01;
+ alu_op = 2'b11;
+ jump = 1'b0;
+ branch = 1'b0;
+ mem_read = 1'b1;
+ mem_write = 1'b0;
+ alu_src = 1'b1;
+ reg_write = 1'b1;
+ sign_or_zero = 1'b1;
+ end
+ 3'b101: begin // sw
+ reg_dst = 2'b00;
+ mem_to_reg = 2'b00;
+ alu_op = 2'b11;
+ jump = 1'b0;
+ branch = 1'b0;
+ mem_read = 1'b0;
+ mem_write = 1'b1;
+ alu_src = 1'b1;
+ reg_write = 1'b0;
+ sign_or_zero = 1'b1;
+ end
+ 3'b110: begin // beq
+ reg_dst = 2'b00;
+ mem_to_reg = 2'b00;
+ alu_op = 2'b01;
+ jump = 1'b0;
+ branch = 1'b1;
+ mem_read = 1'b0;
+ mem_write = 1'b0;
+ alu_src = 1'b0;
+ reg_write = 1'b0;
+ sign_or_zero = 1'b1;
+ end
+ 3'b111: begin // addi
+ reg_dst = 2'b00;
+ mem_to_reg = 2'b00;
+ alu_op = 2'b11;
+ jump = 1'b0;
+ branch = 1'b0;
+ mem_read = 1'b0;
+ mem_write = 1'b0;
+ alu_src = 1'b1;
+ reg_write = 1'b1;
+ sign_or_zero = 1'b1;
+ end
+ default: begin
+ reg_dst = 2'b01;
+ mem_to_reg = 2'b00;
+ alu_op = 2'b00;
+ jump = 1'b0;
+ branch = 1'b0;
+ mem_read = 1'b0;
+ mem_write = 1'b0;
+ alu_src = 1'b0;
+ reg_write = 1'b1;
+ sign_or_zero = 1'b1;
+ end
+ endcase
+ end
+ end
+ endmodule
+
+
+module alu(
+ input [15:0] a, //src1
+ input [15:0] b, //src2
+ input [2:0] alu_control, //function sel
+ output reg [15:0] result, //result
+ output zero
+ );
+ always @(*) //fpga4student.com: FPga projects, Verilog projects, VHDL projects
+ begin
+ case(alu_control)
+ 3'b000: result = a + b; // add
+ 3'b001: result = a - b; // sub
+ 3'b010: result = a & b; // and
+ 3'b011: result = a | b; // or
+ 3'b100: begin if (a ; -- ; -- ;
+; EDA_TEST_BENCH_ENABLE_STATUS ; NOT_USED ; -- ; -- ; eda_simulation ;
+; EDA_TIME_SCALE ; 1 ps ; -- ; -- ; eda_simulation ;
+; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ;
+; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ;
+; PARTITION_COLOR ; -- (Not supported for targeted family) ; -- ; mips_16 ; Top ;
+; PARTITION_FITTER_PRESERVATION_LEVEL ; -- (Not supported for targeted family) ; -- ; mips_16 ; Top ;
+; PARTITION_NETLIST_TYPE ; -- (Not supported for targeted family) ; -- ; mips_16 ; Top ;
+; POWER_BOARD_THERMAL_MODEL ; None (CONSERVATIVE) ; -- ; -- ; -- ;
+; POWER_PRESET_COOLING_SOLUTION ; 23 MM HEAT SINK WITH 200 LFPM AIRFLOW ; -- ; -- ; -- ;
+; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ;
+; TOP_LEVEL_ENTITY ; mips_16 ; mips ; -- ; -- ;
++--------------------------------------+----------------------------------------+---------------+-------------+----------------+
+
+
++--------------------------------------------------------------------------------------------------------------------------+
+; Flow Elapsed Time ;
++----------------------+--------------+-------------------------+---------------------+------------------------------------+
+; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ;
++----------------------+--------------+-------------------------+---------------------+------------------------------------+
+; Analysis & Synthesis ; 00:00:33 ; 1.0 ; 4860 MB ; 00:00:59 ;
+; Fitter ; 00:04:28 ; 1.2 ; 6749 MB ; 00:09:22 ;
+; Assembler ; 00:00:17 ; 1.0 ; 4862 MB ; 00:00:17 ;
+; Timing Analyzer ; 00:00:32 ; 2.5 ; 5308 MB ; 00:01:09 ;
+; EDA Netlist Writer ; 00:00:05 ; 1.0 ; 4754 MB ; 00:00:05 ;
+; Total ; 00:05:55 ; -- ; -- ; 00:11:52 ;
++----------------------+--------------+-------------------------+---------------------+------------------------------------+
+
+
++------------------------------------------------------------------------------------+
+; Flow OS Summary ;
++----------------------+------------------+------------+------------+----------------+
+; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ;
++----------------------+------------------+------------+------------+----------------+
+; Analysis & Synthesis ; DESKTOP-LJFRL5V ; Windows 10 ; 10.0 ; x86_64 ;
+; Fitter ; DESKTOP-LJFRL5V ; Windows 10 ; 10.0 ; x86_64 ;
+; Assembler ; DESKTOP-LJFRL5V ; Windows 10 ; 10.0 ; x86_64 ;
+; Timing Analyzer ; DESKTOP-LJFRL5V ; Windows 10 ; 10.0 ; x86_64 ;
+; EDA Netlist Writer ; DESKTOP-LJFRL5V ; Windows 10 ; 10.0 ; x86_64 ;
++----------------------+------------------+------------+------------+----------------+
+
+
+------------
+; Flow Log ;
+------------
+quartus_map --read_settings_files=on --write_settings_files=off mips -c mips
+quartus_fit --read_settings_files=off --write_settings_files=off mips -c mips
+quartus_asm --read_settings_files=off --write_settings_files=off mips -c mips
+quartus_sta mips -c mips
+quartus_eda --read_settings_files=off --write_settings_files=off mips -c mips
+
+
+
diff --git a/output_files/mips.jdi b/output_files/mips.jdi
new file mode 100644
index 0000000..0a60928
--- /dev/null
+++ b/output_files/mips.jdi
@@ -0,0 +1,8 @@
+
+
+
+
+
+
+
+
diff --git a/output_files/mips.map.rpt b/output_files/mips.map.rpt
new file mode 100644
index 0000000..bd36c2b
--- /dev/null
+++ b/output_files/mips.map.rpt
@@ -0,0 +1,414 @@
+Analysis & Synthesis report for mips
+Thu Mar 31 12:17:06 2022
+Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Analysis & Synthesis Summary
+ 3. Analysis & Synthesis Settings
+ 4. Parallel Compilation
+ 5. Analysis & Synthesis Source Files Read
+ 6. Analysis & Synthesis Resource Usage Summary
+ 7. Analysis & Synthesis Resource Utilization by Entity
+ 8. Analysis & Synthesis DSP Block Usage Summary
+ 9. Registers Removed During Synthesis
+ 10. General Register Statistics
+ 11. Multiplexer Restructuring Statistics (Restructuring Performed)
+ 12. Post-Synthesis Netlist Statistics for Top Partition
+ 13. Elapsed Time Per Partition
+ 14. Analysis & Synthesis Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 2019 Intel Corporation. All rights reserved.
+Your use of Intel Corporation's design tools, logic functions
+and other software and tools, and any partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Intel Program License
+Subscription Agreement, the Intel Quartus Prime License Agreement,
+the Intel FPGA IP License Agreement, or other applicable license
+agreement, including, without limitation, that your use is for
+the sole purpose of programming logic devices manufactured by
+Intel and sold by Intel or its authorized distributors. Please
+refer to the applicable agreement for further details, at
+https://fpgasoftware.intel.com/eula.
+
+
+
++-------------------------------------------------------------------------------+
+; Analysis & Synthesis Summary ;
++---------------------------------+---------------------------------------------+
+; Analysis & Synthesis Status ; Successful - Thu Mar 31 12:17:06 2022 ;
+; Quartus Prime Version ; 18.1.1 Build 646 04/11/2019 SJ Lite Edition ;
+; Revision Name ; mips ;
+; Top-level Entity Name ; mips_16 ;
+; Family ; Cyclone V ;
+; Logic utilization (in ALMs) ; N/A ;
+; Total registers ; 4208 ;
+; Total pins ; 34 ;
+; Total virtual pins ; 0 ;
+; Total block memory bits ; 0 ;
+; Total DSP Blocks ; 1 ;
+; Total HSSI RX PCSs ; 0 ;
+; Total HSSI PMA RX Deserializers ; 0 ;
+; Total HSSI TX PCSs ; 0 ;
+; Total HSSI PMA TX Serializers ; 0 ;
+; Total PLLs ; 0 ;
+; Total DLLs ; 0 ;
++---------------------------------+---------------------------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Settings ;
++---------------------------------------------------------------------------------+--------------------+--------------------+
+; Option ; Setting ; Default Value ;
++---------------------------------------------------------------------------------+--------------------+--------------------+
+; Device ; 5CGXFC7C7F23C8 ; ;
+; Top-level entity name ; mips_16 ; mips ;
+; Family name ; Cyclone V ; Cyclone V ;
+; Use smart compilation ; Off ; Off ;
+; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ;
+; Enable compact report table ; Off ; Off ;
+; Restructure Multiplexers ; Auto ; Auto ;
+; MLAB Add Timing Constraints For Mixed-Port Feed-Through Mode Setting Don't Care ; Off ; Off ;
+; Create Debugging Nodes for IP Cores ; Off ; Off ;
+; Preserve fewer node names ; On ; On ;
+; Intel FPGA IP Evaluation Mode ; Enable ; Enable ;
+; Verilog Version ; Verilog_2001 ; Verilog_2001 ;
+; VHDL Version ; VHDL_1993 ; VHDL_1993 ;
+; State Machine Processing ; Auto ; Auto ;
+; Safe State Machine ; Off ; Off ;
+; Extract Verilog State Machines ; On ; On ;
+; Extract VHDL State Machines ; On ; On ;
+; Ignore Verilog initial constructs ; Off ; Off ;
+; Iteration limit for constant Verilog loops ; 5000 ; 5000 ;
+; Iteration limit for non-constant Verilog loops ; 250 ; 250 ;
+; Add Pass-Through Logic to Inferred RAMs ; On ; On ;
+; Infer RAMs from Raw Logic ; On ; On ;
+; Parallel Synthesis ; On ; On ;
+; DSP Block Balancing ; Auto ; Auto ;
+; NOT Gate Push-Back ; On ; On ;
+; Power-Up Don't Care ; On ; On ;
+; Remove Redundant Logic Cells ; Off ; Off ;
+; Remove Duplicate Registers ; On ; On ;
+; Ignore CARRY Buffers ; Off ; Off ;
+; Ignore CASCADE Buffers ; Off ; Off ;
+; Ignore GLOBAL Buffers ; Off ; Off ;
+; Ignore ROW GLOBAL Buffers ; Off ; Off ;
+; Ignore LCELL Buffers ; Off ; Off ;
+; Ignore SOFT Buffers ; On ; On ;
+; Limit AHDL Integers to 32 Bits ; Off ; Off ;
+; Optimization Technique ; Balanced ; Balanced ;
+; Carry Chain Length ; 70 ; 70 ;
+; Auto Carry Chains ; On ; On ;
+; Auto Open-Drain Pins ; On ; On ;
+; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ;
+; Auto ROM Replacement ; On ; On ;
+; Auto RAM Replacement ; On ; On ;
+; Auto DSP Block Replacement ; On ; On ;
+; Auto Shift Register Replacement ; Auto ; Auto ;
+; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ;
+; Auto Clock Enable Replacement ; On ; On ;
+; Strict RAM Replacement ; Off ; Off ;
+; Allow Synchronous Control Signals ; On ; On ;
+; Force Use of Synchronous Clear Signals ; Off ; Off ;
+; Auto Resource Sharing ; Off ; Off ;
+; Allow Any RAM Size For Recognition ; Off ; Off ;
+; Allow Any ROM Size For Recognition ; Off ; Off ;
+; Allow Any Shift Register Size For Recognition ; Off ; Off ;
+; Use LogicLock Constraints during Resource Balancing ; On ; On ;
+; Ignore translate_off and synthesis_off directives ; Off ; Off ;
+; Timing-Driven Synthesis ; On ; On ;
+; Report Parameter Settings ; On ; On ;
+; Report Source Assignments ; On ; On ;
+; Report Connectivity Checks ; On ; On ;
+; Ignore Maximum Fan-Out Assignments ; Off ; Off ;
+; Synchronization Register Chain Length ; 3 ; 3 ;
+; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ;
+; HDL message level ; Level2 ; Level2 ;
+; Suppress Register Optimization Related Messages ; Off ; Off ;
+; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ;
+; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ;
+; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ;
+; Clock MUX Protection ; On ; On ;
+; Auto Gated Clock Conversion ; Off ; Off ;
+; Block Design Naming ; Auto ; Auto ;
+; SDC constraint protection ; Off ; Off ;
+; Synthesis Effort ; Auto ; Auto ;
+; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ;
+; Pre-Mapping Resynthesis Optimization ; Off ; Off ;
+; Analysis & Synthesis Message Level ; Medium ; Medium ;
+; Disable Register Merging Across Hierarchies ; Auto ; Auto ;
+; Resource Aware Inference For Block RAM ; On ; On ;
+; Automatic Parallel Synthesis ; On ; On ;
+; Partial Reconfiguration Bitstream ID ; Off ; Off ;
++---------------------------------------------------------------------------------+--------------------+--------------------+
+
+
++------------------------------------------+
+; Parallel Compilation ;
++----------------------------+-------------+
+; Processors ; Number ;
++----------------------------+-------------+
+; Number detected on machine ; 8 ;
+; Maximum allowed ; 4 ;
+; ; ;
+; Average used ; 1.00 ;
+; Maximum used ; 4 ;
+; ; ;
+; Usage by Processor ; % Time Used ;
+; Processor 1 ; 100.0% ;
+; Processor 2 ; 0.1% ;
+; Processor 3 ; 0.1% ;
+; Processor 4 ; 0.0% ;
++----------------------------+-------------+
+
+
++-----------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Source Files Read ;
++----------------------------------+-----------------+------------------------+-------------------------------------------------------------------------+---------+
+; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ;
++----------------------------------+-----------------+------------------------+-------------------------------------------------------------------------+---------+
+; modified_mips.v ; yes ; User Verilog HDL File ; D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v ; ;
++----------------------------------+-----------------+------------------------+-------------------------------------------------------------------------+---------+
+
+
++---------------------------------------------------------+
+; Analysis & Synthesis Resource Usage Summary ;
++---------------------------------------------+-----------+
+; Resource ; Usage ;
++---------------------------------------------+-----------+
+; Estimate of Logic utilization (ALMs needed) ; 2997 ;
+; ; ;
+; Combinational ALUT usage for logic ; 2084 ;
+; -- 7 input functions ; 8 ;
+; -- 6 input functions ; 1575 ;
+; -- 5 input functions ; 114 ;
+; -- 4 input functions ; 66 ;
+; -- <=3 input functions ; 321 ;
+; ; ;
+; Dedicated logic registers ; 4208 ;
+; ; ;
+; I/O pins ; 34 ;
+; ; ;
+; Total DSP Blocks ; 1 ;
+; ; ;
+; Maximum fan-out node ; clk~input ;
+; Maximum fan-out ; 4208 ;
+; Total fan-out ; 27982 ;
+; Average fan-out ; 4.40 ;
++---------------------------------------------+-----------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Analysis & Synthesis Resource Utilization by Entity ;
++-----------------------------------------------+---------------------+---------------------------+-------------------+------------+------+--------------+-------------------------------------------------------------+-------------------------+--------------+
+; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Block Memory Bits ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ;
++-----------------------------------------------+---------------------+---------------------------+-------------------+------------+------+--------------+-------------------------------------------------------------+-------------------------+--------------+
+; |mips_16 ; 2084 (116) ; 4208 (16) ; 0 ; 1 ; 34 ; 0 ; |mips_16 ; mips_16 ; work ;
+; |ALUControl:ALU_Control_unit| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |mips_16|ALUControl:ALU_Control_unit ; ALUControl ; work ;
+; |JR_Control:JRControl_unit| ; 1 (1) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |mips_16|JR_Control:JRControl_unit ; JR_Control ; work ;
+; |alu:alu_unit| ; 181 (151) ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; |mips_16|alu:alu_unit ; alu ; work ;
+; |log_barrel_shifter_left:shifter_left| ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |mips_16|alu:alu_unit|log_barrel_shifter_left:shifter_left ; log_barrel_shifter_left ; work ;
+; |log_barrel_shifter_left:shifter_right| ; 16 (16) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |mips_16|alu:alu_unit|log_barrel_shifter_left:shifter_right ; log_barrel_shifter_left ; work ;
+; |control:control_unit| ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |mips_16|control:control_unit ; control ; work ;
+; |data_memory:datamem| ; 1684 (1684) ; 4096 (4096) ; 0 ; 0 ; 0 ; 0 ; |mips_16|data_memory:datamem ; data_memory ; work ;
+; |instr_mem:instrucion_memory| ; 33 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |mips_16|instr_mem:instrucion_memory ; instr_mem ; work ;
+; |register_file:reg_file| ; 59 (59) ; 96 (96) ; 0 ; 0 ; 0 ; 0 ; |mips_16|register_file:reg_file ; register_file ; work ;
++-----------------------------------------------+---------------------+---------------------------+-------------------+------------+------+--------------+-------------------------------------------------------------+-------------------------+--------------+
+Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy.
+
+
++-----------------------------------------------+
+; Analysis & Synthesis DSP Block Usage Summary ;
++---------------------------------+-------------+
+; Statistic ; Number Used ;
++---------------------------------+-------------+
+; Independent 9x9 ; 1 ;
+; Total number of DSP blocks ; 1 ;
+; ; ;
+; Fixed Point Unsigned Multiplier ; 1 ;
++---------------------------------+-------------+
+
+
++--------------------------------------------------------------+
+; Registers Removed During Synthesis ;
++-----------------------------------------+--------------------+
+; Register name ; Reason for Removal ;
++-----------------------------------------+--------------------+
+; register_file:reg_file|reg_array[6][15] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][15] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][14] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][14] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][13] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][13] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][12] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][12] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][11] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][11] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][10] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][10] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][9] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][9] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][8] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][8] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][7] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][7] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][6] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][6] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][5] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][5] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][4] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][4] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][3] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][3] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][2] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][2] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][1] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][1] ; Lost fanout ;
+; register_file:reg_file|reg_array[6][0] ; Lost fanout ;
+; register_file:reg_file|reg_array[5][0] ; Lost fanout ;
+; Total Number of Removed Registers = 32 ; ;
++-----------------------------------------+--------------------+
+
+
++------------------------------------------------------+
+; General Register Statistics ;
++----------------------------------------------+-------+
+; Statistic ; Value ;
++----------------------------------------------+-------+
+; Total registers ; 4208 ;
+; Number of registers using Synchronous Clear ; 4096 ;
+; Number of registers using Synchronous Load ; 13 ;
+; Number of registers using Asynchronous Clear ; 112 ;
+; Number of registers using Asynchronous Load ; 0 ;
+; Number of registers using Clock Enable ; 4192 ;
+; Number of registers using Preset ; 0 ;
++----------------------------------------------+-------+
+
+
++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Multiplexer Restructuring Statistics (Restructuring Performed) ;
++--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------+
+; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ;
++--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------+
+; 5:1 ; 13 bits ; 39 LEs ; 26 LEs ; 13 LEs ; Yes ; |mips_16|pc_current[5] ;
+; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |mips_16|alu:alu_unit|log_barrel_shifter_left:shifter_right|st2[0] ;
+; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |mips_16|alu:alu_unit|log_barrel_shifter_left:shifter_left|st2[1] ;
+; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |mips_16|alu:alu_unit|log_barrel_shifter_left:shifter_left|st2[2] ;
+; 4:1 ; 18 bits ; 36 LEs ; 36 LEs ; 0 LEs ; No ; |mips_16|alu:alu_unit|log_barrel_shifter_left:shifter_left|st2[6] ;
+; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |mips_16|reg_write_dest[0] ;
+; 5:1 ; 16 bits ; 48 LEs ; 48 LEs ; 0 LEs ; No ; |mips_16|register_file:reg_file|reg_read_data_1[8] ;
+; 5:1 ; 16 bits ; 48 LEs ; 48 LEs ; 0 LEs ; No ; |mips_16|register_file:reg_file|reg_read_data_2[9] ;
+; 4:1 ; 16 bits ; 32 LEs ; 32 LEs ; 0 LEs ; No ; |mips_16|reg_write_data[1] ;
+; 13:1 ; 4 bits ; 32 LEs ; 32 LEs ; 0 LEs ; No ; |mips_16|alu:alu_unit|Mux10 ;
+; 13:1 ; 4 bits ; 32 LEs ; 32 LEs ; 0 LEs ; No ; |mips_16|alu:alu_unit|Mux6 ;
+; 16:1 ; 3 bits ; 30 LEs ; 30 LEs ; 0 LEs ; No ; |mips_16|alu:alu_unit|Mux13 ;
+; 16:1 ; 4 bits ; 40 LEs ; 40 LEs ; 0 LEs ; No ; |mips_16|alu:alu_unit|Mux0 ;
++--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------+
+
+
++-----------------------------------------------------+
+; Post-Synthesis Netlist Statistics for Top Partition ;
++-----------------------+-----------------------------+
+; Type ; Count ;
++-----------------------+-----------------------------+
+; arriav_ff ; 4208 ;
+; CLR ; 3 ;
+; CLR SLD ; 13 ;
+; ENA CLR ; 96 ;
+; ENA SCLR ; 4096 ;
+; arriav_lcell_comb ; 2084 ;
+; arith ; 64 ;
+; 0 data inputs ; 2 ;
+; 1 data inputs ; 15 ;
+; 5 data inputs ; 47 ;
+; extend ; 8 ;
+; 7 data inputs ; 8 ;
+; normal ; 1996 ;
+; 2 data inputs ; 279 ;
+; 3 data inputs ; 21 ;
+; 4 data inputs ; 54 ;
+; 5 data inputs ; 67 ;
+; 6 data inputs ; 1575 ;
+; shared ; 16 ;
+; 1 data inputs ; 1 ;
+; 2 data inputs ; 3 ;
+; 4 data inputs ; 12 ;
+; arriav_mac ; 1 ;
+; boundary_port ; 34 ;
+; ; ;
+; Max LUT depth ; 13.40 ;
+; Average LUT depth ; 7.51 ;
++-----------------------+-----------------------------+
+
+
++-------------------------------+
+; Elapsed Time Per Partition ;
++----------------+--------------+
+; Partition Name ; Elapsed Time ;
++----------------+--------------+
+; Top ; 00:00:16 ;
++----------------+--------------+
+
+
++-------------------------------+
+; Analysis & Synthesis Messages ;
++-------------------------------+
+Info: *******************************************************************
+Info: Running Quartus Prime Analysis & Synthesis
+ Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+ Info: Processing started: Thu Mar 31 12:16:32 2022
+Info: Command: quartus_map --read_settings_files=on --write_settings_files=off mips -c mips
+Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
+Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
+Info (12021): Found 10 design units, including 10 entities, in source file modified_mips.v
+ Info (12023): Found entity 1: log_barrel_shifter_left File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 1
+ Info (12023): Found entity 2: data_memory File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 75
+ Info (12023): Found entity 3: ALUControl File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 93
+ Info (12023): Found entity 4: JR_Control File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 113
+ Info (12023): Found entity 5: control File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 119
+ Info (12023): Found entity 6: alu File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 252
+ Info (12023): Found entity 7: register_file File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 289
+ Info (12023): Found entity 8: instr_mem File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 330
+ Info (12023): Found entity 9: mips_16 File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 374
+ Info (12023): Found entity 10: tb_mips16 File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 480
+Info (12127): Elaborating entity "mips_16" for the top level hierarchy
+Info (12128): Elaborating entity "instr_mem" for hierarchy "instr_mem:instrucion_memory" File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 411
+Warning (10030): Net "rom.data_a" at modified_mips.v(344) has no driver or initial value, using a default initial value '0' File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 344
+Warning (10030): Net "rom.waddr_a" at modified_mips.v(344) has no driver or initial value, using a default initial value '0' File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 344
+Warning (10030): Net "rom.we_a" at modified_mips.v(344) has no driver or initial value, using a default initial value '0' File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 344
+Info (12128): Elaborating entity "control" for hierarchy "control:control_unit" File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 417
+Info (12128): Elaborating entity "register_file" for hierarchy "register_file:reg_file" File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 429
+Info (12128): Elaborating entity "JR_Control" for hierarchy "JR_Control:JRControl_unit" File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 437
+Info (12128): Elaborating entity "ALUControl" for hierarchy "ALUControl:ALU_Control_unit" File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 439
+Info (12128): Elaborating entity "alu" for hierarchy "alu:alu_unit" File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 443
+Info (12128): Elaborating entity "log_barrel_shifter_left" for hierarchy "alu:alu_unit|log_barrel_shifter_left:shifter_left" File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 280
+Info (12128): Elaborating entity "data_memory" for hierarchy "data_memory:datamem" File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 465
+Info (276014): Found 2 instances of uninferred RAM logic
+ Info (276007): RAM logic "data_memory:datamem|ram" is uninferred due to asynchronous read logic File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 78
+ Info (276004): RAM logic "instr_mem:instrucion_memory|rom" is uninferred due to inappropriate RAM size File: D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v Line: 344
+Info (286030): Timing-Driven Synthesis is running
+Info (17049): 32 registers lost all their fanouts during netlist optimizations.
+Info (16010): Generating hard_block partition "hard_block:auto_generated_inst"
+ Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL
+Info (21057): Implemented 6311 device resources after synthesis - the final resource count might be different
+ Info (21058): Implemented 2 input pins
+ Info (21059): Implemented 32 output pins
+ Info (21061): Implemented 6276 logic cells
+ Info (21062): Implemented 1 DSP elements
+Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 4 warnings
+ Info: Peak virtual memory: 4860 megabytes
+ Info: Processing ended: Thu Mar 31 12:17:06 2022
+ Info: Elapsed time: 00:00:34
+ Info: Total CPU time (on all processors): 00:00:59
+
+
diff --git a/output_files/mips.map.summary b/output_files/mips.map.summary
new file mode 100644
index 0000000..4d0bc0e
--- /dev/null
+++ b/output_files/mips.map.summary
@@ -0,0 +1,17 @@
+Analysis & Synthesis Status : Successful - Thu Mar 31 12:17:06 2022
+Quartus Prime Version : 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+Revision Name : mips
+Top-level Entity Name : mips_16
+Family : Cyclone V
+Logic utilization (in ALMs) : N/A
+Total registers : 4208
+Total pins : 34
+Total virtual pins : 0
+Total block memory bits : 0
+Total DSP Blocks : 1
+Total HSSI RX PCSs : 0
+Total HSSI PMA RX Deserializers : 0
+Total HSSI TX PCSs : 0
+Total HSSI PMA TX Serializers : 0
+Total PLLs : 0
+Total DLLs : 0
diff --git a/output_files/mips.pin b/output_files/mips.pin
new file mode 100644
index 0000000..4e60650
--- /dev/null
+++ b/output_files/mips.pin
@@ -0,0 +1,561 @@
+ -- Copyright (C) 2019 Intel Corporation. All rights reserved.
+ -- Your use of Intel Corporation's design tools, logic functions
+ -- and other software and tools, and any partner logic
+ -- functions, and any output files from any of the foregoing
+ -- (including device programming or simulation files), and any
+ -- associated documentation or information are expressly subject
+ -- to the terms and conditions of the Intel Program License
+ -- Subscription Agreement, the Intel Quartus Prime License Agreement,
+ -- the Intel FPGA IP License Agreement, or other applicable license
+ -- agreement, including, without limitation, that your use is for
+ -- the sole purpose of programming logic devices manufactured by
+ -- Intel and sold by Intel or its authorized distributors. Please
+ -- refer to the applicable agreement for further details, at
+ -- https://fpgasoftware.intel.com/eula.
+ --
+ -- This is a Quartus Prime output file. It is for reporting purposes only, and is
+ -- not intended for use as a Quartus Prime input file. This file cannot be used
+ -- to make Quartus Prime pin assignments - for instructions on how to make pin
+ -- assignments, please see Quartus Prime help.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- NC : No Connect. This pin has no internal connection to the device.
+ -- DNU : Do Not Use. This pin MUST NOT be connected.
+ -- VCCPGM : Dedicated power pin for configuration, which MUST be connected to 1.8V, 2.5V, 3.0V or 3.3V depending on the requirements of the configuration device.
+ -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.1V).
+ -- VCCIO : Dedicated power pin, which MUST be connected to VCC
+ -- of its bank.
+ -- Bank 3A: 2.5V
+ -- Bank 3B: 2.5V
+ -- Bank 4A: 2.5V
+ -- Bank 5A: 2.5V
+ -- Bank 5B: 2.5V
+ -- Bank 7A: 2.5V
+ -- Bank 8A: 2.5V
+ -- Bank 9A: Dedicated configuration pins only, no VCCIO required.
+ -- RREF : External reference resistor for the quad, MUST be connected to
+ -- GND via a 2k Ohm resistor.
+ -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
+ -- It can also be used to report unused dedicated pins. The connection
+ -- on the board for unused dedicated pins depends on whether this will
+ -- be used in a future design. One example is device migration. When
+ -- using device migration, refer to the device pin-tables. If it is a
+ -- GND pin in the pin table or if it will not be used in a future design
+ -- for another purpose the it MUST be connected to GND. If it is an unused
+ -- dedicated pin, then it can be connected to a valid signal on the board
+ -- (low, high, or toggling) if that signal is required for a different
+ -- revision of the design.
+ -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins.
+ -- This pin should be connected to GND. It may also be connected to a
+ -- valid signal on the board (low, high, or toggling) if that signal
+ -- is required for a different revision of the design.
+ -- GND* : Unused I/O pin. For transceiver I/O banks, connect each pin marked GND*
+ -- either individually through a 10k Ohm resistor to GND or tie all pins
+ -- together and connect through a single 10k Ohm resistor to GND.
+ -- RESERVED : Unused I/O pin, which MUST be left unconnected.
+ -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board.
+ -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor.
+ -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry.
+ -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high.
+ -- GXB_NC : Unused GXB Transmitter or dedicated clock output pin. This pin
+ -- must not be connected.
+ ---------------------------------------------------------------------------------
+
+
+
+ ---------------------------------------------------------------------------------
+ -- Pin directions (input, output or bidir) are based on device operating in user mode.
+ ---------------------------------------------------------------------------------
+
+Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+CHIP "mips" ASSIGNED TO AN: 5CGXFC7C7F23C8
+
+Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment
+-------------------------------------------------------------------------------------------------------------
+RREF : A1 : : : : :
+MSEL2 : A2 : : : : 9A :
+VCCBAT : A3 : power : : 1.2V : :
+nCONFIG : A4 : : : : 9A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A5 : : : : 8A :
+VCCIO8A : A6 : power : : 2.5V : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A7 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A8 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A9 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A10 : : : : 8A :
+GND : A11 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A12 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A13 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A14 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A15 : : : : 7A :
+VCCIO7A : A16 : power : : 2.5V : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A17 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A18 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A19 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A20 : : : : 7A :
+GND : A21 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : A22 : : : : 7A :
+GND : AA1 : : : : B0L :
+GND : AA2 : : : : B0L :
+GND : AA3 : gnd : : : :
+GND : AA4 : gnd : : : :
+AS_DATA2, DATA2 : AA5 : : : : 3A :
+GND : AA6 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA7 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA8 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA9 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA10 : : : : 3B :
+GND : AA11 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA12 : : : : 3B :
+pc_out[6] : AA13 : output : 2.5 V : : 4A : N
+alu_result[9] : AA14 : output : 2.5 V : : 4A : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA15 : : : : 4A :
+VCCIO4A : AA16 : power : : 2.5V : 4A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA17 : : : : 4A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA18 : : : : 4A :
+pc_out[1] : AA19 : output : 2.5 V : : 4A : N
+pc_out[12] : AA20 : output : 2.5 V : : 4A : N
+VCCIO4A : AA21 : power : : 2.5V : 4A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AA22 : : : : 4A :
+GND : AB1 : gnd : : : :
+GND : AB2 : gnd : : : :
+AS_DATA1, DATA1 : AB3 : : : : 3A :
+AS_DATA0, ASDO, DATA0 : AB4 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB5 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB6 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB7 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB8 : : : : 3B :
+GND : AB9 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB10 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB11 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB12 : : : : 4A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB13 : : : : 4A :
+GND : AB14 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB15 : : : : 4A :
+VREFB4AN0 : AB16 : power : : : 4A :
+pc_out[11] : AB17 : output : 2.5 V : : 4A : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB18 : : : : 4A :
+GND : AB19 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB20 : : : : 4A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : AB21 : : : : 4A :
+pc_out[13] : AB22 : output : 2.5 V : : 4A : N
+GND : B1 : gnd : : : :
+GND : B2 : gnd : : : :
+DNU : B3 : : : : :
+DNU : B4 : : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B5 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B7 : : : : 8A :
+VREFB8AN0 : B8 : power : : : 8A :
+GND : B9 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B10 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B11 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B12 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B13 : : : : 7A :
+GND : B14 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B15 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B16 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B17 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B18 : : : : 7A :
+VCCIO7A : B19 : power : : 2.5V : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B20 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B21 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : B22 : : : : 7A :
+GND : C1 : : : : B1L :
+GND : C2 : : : : B1L :
+GND : C3 : gnd : : : :
+GND : C4 : gnd : : : :
+GND : C5 : : : : 9A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8A :
+VCCIO8A : C7 : power : : 2.5V : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C8 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C9 : : : : 8A :
+VCCPD7A8A : C10 : power : : 2.5V : 7A, 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C11 : : : : 7A :
+VCCIO7A : C12 : power : : 2.5V : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C13 : : : : 7A :
+VREFB7AN0 : C14 : power : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C15 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C16 : : : : 7A :
+GND : C17 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C18 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C19 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C20 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : C21 : : : : 7A :
+VCCIO7A : C22 : power : : 2.5V : 7A :
+GND : D1 : gnd : : : :
+GND : D2 : gnd : : : :
+GXB_NC : D3 : : : : B1L :
+GXB_NC : D4 : : : : B1L :
+GND : D5 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D6 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D7 : : : : 8A :
+VCCPD7A8A : D8 : power : : 2.5V : 7A, 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D9 : : : : 8A :
+GND : D10 : gnd : : : :
+VCC_AUX : D11 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D12 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D13 : : : : 7A :
+VCCPD7A8A : D14 : power : : 2.5V : 7A, 8A :
+VCCIO7A : D15 : power : : 2.5V : 7A :
+VCCPD7A8A : D16 : power : : 2.5V : 7A, 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D17 : : : : 7A :
+VCC_AUX : D18 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D19 : : : : 7A :
+GND : D20 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D21 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : D22 : : : : 7A :
+GXB_NC : E1 : : : : B1L :
+GXB_NC : E2 : : : : B1L :
+GND : E3 : gnd : : : :
+GND : E4 : gnd : : : :
+MSEL3 : E5 : : : : 9A :
+VCC_AUX : E6 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E7 : : : : 8A :
+VCCIO8A : E8 : power : : 2.5V : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E9 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E10 : : : : 8A :
+VCCPD7A8A : E11 : power : : 2.5V : 7A, 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E12 : : : : 7A :
+GND : E13 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E14 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E15 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E16 : : : : 7A :
+DNU : E17 : : : : :
+VCCIO7A : E18 : power : : 2.5V : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E19 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E20 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E21 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : E22 : : : : 7A :
+GND : F1 : gnd : : : :
+GND : F2 : gnd : : : :
+MSEL4 : F3 : : : : 9A :
+VCCA_FPLL : F4 : power : : 2.5V : :
+GND : F5 : : : : B1L :
+GND : F6 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F7 : : : : 8A :
+VCCPGM : F8 : power : : 1.8V/2.5V/3.0V/3.3V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F9 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F10 : : : : 8A :
+VCCIO7A : F11 : power : : 2.5V : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F12 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F13 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F14 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F15 : : : : 7A :
+GND : F16 : gnd : : : :
+GND : F17 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F18 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F19 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F20 : : : : 7A :
+VCCIO7A : F21 : power : : 2.5V : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : F22 : : : : 7A :
+GND : G1 : : : : B1L :
+GND : G2 : : : : B1L :
+GND : G3 : gnd : : : :
+GND : G4 : : : : B1L :
+nCE : G5 : : : : 9A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G6 : : : : 8A :
+VCCIO8A : G7 : power : : 2.5V : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G8 : : : : 8A :
+GND : G9 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G10 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G11 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G12 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G13 : : : : 7A :
+VCCIO7A : G14 : power : : 2.5V : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G15 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G16 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G17 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G18 : : : : 7A :
+GND : G19 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G20 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G21 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : G22 : : : : 7A :
+GND : H1 : gnd : : : :
+GND : H2 : gnd : : : :
+GND : H3 : gnd : : : :
+GND : H4 : gnd : : : :
+nSTATUS : H5 : : : : 9A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H6 : : : : 8A :
+GND : H7 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H8 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H9 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H10 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H11 : : : : 7A :
+GND : H12 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H13 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H14 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H15 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H16 : : : : 7A :
+VCCIO7A : H17 : power : : 2.5V : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H18 : : : : 7A :
+VCCA_FPLL : H19 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H20 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : H21 : : : : 7A :
+GND : H22 : gnd : : : :
+GXB_NC : J1 : : : : B1L :
+GXB_NC : J2 : : : : B1L :
+GND : J3 : gnd : : : :
+VCCE_GXBL : J4 : power : : 1.1V : :
+GND : J5 : gnd : : : :
+MSEL1 : J6 : : : : 9A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J7 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J8 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J9 : : : : 8A :
+VCC : J10 : power : : 1.1V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J11 : : : : 7A :
+VCC : J12 : power : : 1.1V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J13 : : : : 7A :
+VCC : J14 : power : : 1.1V : :
+GND : J15 : gnd : : : :
+VCC : J16 : power : : 1.1V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J17 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J18 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J19 : : : : 7A :
+GND : J20 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J21 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : J22 : : : : 7A :
+GND : K1 : gnd : : : :
+GND : K2 : gnd : : : :
+VCCL_GXBL : K3 : power : : 1.1V : :
+GND : K4 : gnd : : : :
+VCCE_GXBL : K5 : power : : 1.1V : :
+CONF_DONE : K6 : : : : 9A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K7 : : : : 8A :
+GND : K8 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K9 : : : : 7A :
+GND : K10 : gnd : : : :
+VCC : K11 : power : : 1.1V : :
+GND : K12 : gnd : : : :
+VCC : K13 : power : : 1.1V : :
+GND : K14 : gnd : : : :
+VCC : K15 : power : : 1.1V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K16 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K17 : : : : 5B :
+VCCIO5B : K18 : power : : 2.5V : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K19 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K20 : : : : 7A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 5B :
+GND : L1 : : : : B1L :
+GND : L2 : : : : B1L :
+GND : L3 : gnd : : : :
+VCCE_GXBL : L4 : power : : 1.1V : :
+GND : L5 : gnd : : : :
+MSEL0 : L6 : : : : 9A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L7 : : : : 8A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L8 : : : : 7A :
+DNU : L9 : : : : :
+VCC : L10 : power : : 1.1V : :
+GND : L11 : gnd : : : :
+VCC : L12 : power : : 1.1V : :
+GND : L13 : gnd : : : :
+VCC : L14 : power : : 1.1V : :
+GND : L15 : gnd : : : :
+VCC : L16 : power : : 1.1V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L17 : : : : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L18 : : : : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L19 : : : : 5B :
+VREFB5BN0 : L20 : power : : : 5B :
+GND : L21 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 5B :
+GND : M1 : gnd : : : :
+GND : M2 : gnd : : : :
+VCCH_GXBL : M3 : power : : 2.5V : :
+GND : M4 : gnd : : : :
+TDO : M5 : output : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M6 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M7 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M8 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M9 : : : : 3B :
+GND : M10 : gnd : : : :
+VCC : M11 : power : : 1.1V : :
+GND : M12 : gnd : : : :
+VCC : M13 : power : : 1.1V : :
+GND : M14 : gnd : : : :
+VCC : M15 : power : : 1.1V : :
+clk : M16 : input : 2.5 V : : 5B : N
+VCCPD5B : M17 : power : : 2.5V : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M18 : : : : 5B :
+VCCIO5B : M19 : power : : 2.5V : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M20 : : : : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M21 : : : : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : M22 : : : : 5B :
+GXB_NC : N1 : : : : B0L :
+GXB_NC : N2 : : : : B0L :
+GND : N3 : gnd : : : :
+VCCE_GXBL : N4 : power : : 1.1V : :
+GND : N5 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N6 : : : : 3A :
+GND : N7 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N8 : : : : 3B :
+alu_result[7] : N9 : output : 2.5 V : : 3B : N
+VCC : N10 : power : : 1.1V : :
+GND : N11 : gnd : : : :
+VCC : N12 : power : : 1.1V : :
+GND : N13 : gnd : : : :
+VCC : N14 : power : : 1.1V : :
+GND : N15 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N16 : : : : 5B :
+GND : N17 : gnd : : : :
+VCCPD5B : N18 : power : : 2.5V : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N19 : : : : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N20 : : : : 5B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : N21 : : : : 5B :
+GND : N22 : gnd : : : :
+GND : P1 : gnd : : : :
+GND : P2 : gnd : : : :
+VCCL_GXBL : P3 : power : : 1.1V : :
+GND : P4 : gnd : : : :
+TMS : P5 : input : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P6 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P7 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P8 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P9 : : : : 3B :
+GND : P10 : gnd : : : :
+VCC : P11 : power : : 1.1V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P12 : : : : 3B :
+VCC : P13 : power : : 1.1V : :
+pc_out[2] : P14 : output : 2.5 V : : 4A : N
+VCC : P15 : power : : 1.1V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P16 : : : : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P17 : : : : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P18 : : : : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P19 : : : : 5A :
+VCCIO5A : P20 : power : : 2.5V : 5A :
+VCCPD5A : P21 : power : : 2.5V : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : P22 : : : : 5A :
+GND : R1 : : : : B0L :
+GND : R2 : : : : B0L :
+GND : R3 : gnd : : : :
+nCSO, DATA4 : R4 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R5 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R6 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R7 : : : : 3A :
+VCCIO3B : R8 : power : : 2.5V : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R9 : : : : 3B :
+alu_result[12] : R10 : output : 2.5 V : : 3B : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : R11 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R12 : : : : 3B :
+GND : R13 : gnd : : : :
+pc_out[7] : R14 : output : 2.5 V : : 4A : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : R15 : : : : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R16 : : : : 5A :
+alu_result[5] : R17 : output : 2.5 V : : 5A : N
+VCCIO5A : R18 : power : : 2.5V : 5A :
+VCCPGM : R19 : power : : 1.8V/2.5V/3.0V/3.3V : :
+VREFB5AN0 : R20 : power : : : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R21 : : : : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5A :
+GND : T1 : gnd : : : :
+GND : T2 : gnd : : : :
+VCCH_GXBL : T3 : power : : 2.5V : :
+AS_DATA3, DATA3 : T4 : : : : 3A :
+VCCA_FPLL : T5 : power : : 2.5V : :
+VCCIO3A : T6 : power : : 2.5V : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T7 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T8 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T9 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T10 : : : : 3B :
+VCCIO3B : T11 : power : : 2.5V : 3B :
+alu_result[13] : T12 : output : 2.5 V : : 4A : N
+alu_result[4] : T13 : output : 2.5 V : : 4A : N
+alu_result[10] : T14 : output : 2.5 V : : 4A : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : T15 : : : : 5A :
+GND : T16 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T17 : : : : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T18 : : : : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T19 : : : : 5A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T20 : : : : 5A :
+GND : T21 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5A :
+GXB_NC : U1 : : : : B0L :
+GXB_NC : U2 : : : : B0L :
+GND : U3 : gnd : : : :
+GND : U4 : : : : B0L :
+GND : U5 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U6 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U7 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U8 : : : : 3A :
+GND : U9 : gnd : : : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U10 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U11 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U12 : : : : 3B :
+alu_result[2] : U13 : output : 2.5 V : : 4A : N
+VCCIO4A : U14 : power : : 2.5V : 4A :
+pc_out[9] : U15 : output : 2.5 V : : 4A : N
+pc_out[14] : U16 : output : 2.5 V : : 4A : N
+alu_result[0] : U17 : output : 2.5 V : : 4A : N
+VCCA_FPLL : U18 : power : : 2.5V : :
+VCCIO4A : U19 : power : : 2.5V : 4A :
+pc_out[15] : U20 : output : 2.5 V : : 4A : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : U21 : : : : 4A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 4A :
+GND : V1 : gnd : : : :
+GND : V2 : gnd : : : :
+DCLK : V3 : : : : 3A :
+GND : V4 : : : : B0L :
+TCK : V5 : input : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V6 : : : : 3A :
+GND : V7 : gnd : : : :
+VCCPGM : V8 : power : : 1.8V/2.5V/3.0V/3.3V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V9 : : : : 3B :
+pc_out[10] : V10 : output : 2.5 V : : 3B : N
+DNU : V11 : : : : :
+GND : V12 : gnd : : : :
+alu_result[3] : V13 : output : 2.5 V : : 4A : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : V14 : : : : 4A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V15 : : : : 4A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : V16 : : : : 4A :
+GND : V17 : gnd : : : :
+alu_result[15] : V18 : output : 2.5 V : : 4A : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : V19 : : : : 4A :
+pc_out[3] : V20 : output : 2.5 V : : 4A : N
+alu_result[6] : V21 : output : 2.5 V : : 4A : N
+GND : V22 : gnd : : : :
+GND : W1 : : : : B0L :
+GND : W2 : : : : B0L :
+GND : W3 : gnd : : : :
+GND : W4 : gnd : : : :
+TDI : W5 : input : : : 3A :
+VCCPD3A : W6 : power : : 2.5V : 3A :
+VCC_AUX : W7 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W8 : : : : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W9 : : : : 3A :
+VCCIO3B : W10 : power : : 2.5V : 3B :
+VCCPD3B4A : W11 : power : : 2.5V : 3B, 4A :
+VCCPD3B4A : W12 : power : : 2.5V : 3B, 4A :
+VCC_AUX : W13 : power : : 2.5V : :
+VCCPD3B4A : W14 : power : : 2.5V : 3B, 4A :
+VCCIO4A : W15 : power : : 2.5V : 4A :
+pc_out[4] : W16 : output : 2.5 V : : 4A : N
+VCCPD3B4A : W17 : power : : 2.5V : 3B, 4A :
+VCC_AUX : W18 : power : : 2.5V : :
+RESERVED_INPUT_WITH_WEAK_PULLUP : W19 : : : : 4A :
+VCCIO4A : W20 : power : : 2.5V : 4A :
+reset : W21 : input : 2.5 V : : 4A : N
+pc_out[8] : W22 : output : 2.5 V : : 4A : N
+GND : Y1 : gnd : : : :
+GND : Y2 : gnd : : : :
+GXB_NC : Y3 : : : : B0L :
+GXB_NC : Y4 : : : : B0L :
+GND : Y5 : gnd : : : :
+DNU : Y6 : : : : :
+VREFB3AN0 : Y7 : power : : : 3A :
+VCCIO3A : Y8 : power : : 2.5V : 3A :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y9 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y10 : : : : 3B :
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y11 : : : : 3B :
+VREFB3BN0 : Y12 : power : : : 3B :
+VCCIO3B : Y13 : power : : 2.5V : 3B :
+alu_result[1] : Y14 : output : 2.5 V : : 4A : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y15 : : : : 4A :
+pc_out[5] : Y16 : output : 2.5 V : : 4A : N
+pc_out[0] : Y17 : output : 2.5 V : : 4A : N
+GND : Y18 : gnd : : : :
+alu_result[14] : Y19 : output : 2.5 V : : 4A : N
+alu_result[11] : Y20 : output : 2.5 V : : 4A : N
+RESERVED_INPUT_WITH_WEAK_PULLUP : Y21 : : : : 4A :
+alu_result[8] : Y22 : output : 2.5 V : : 4A : N
diff --git a/output_files/mips.sld b/output_files/mips.sld
new file mode 100644
index 0000000..41a6030
--- /dev/null
+++ b/output_files/mips.sld
@@ -0,0 +1 @@
+
diff --git a/output_files/mips.sof b/output_files/mips.sof
new file mode 100644
index 0000000..bace766
Binary files /dev/null and b/output_files/mips.sof differ
diff --git a/output_files/mips.sta.rpt b/output_files/mips.sta.rpt
new file mode 100644
index 0000000..3f29d9d
--- /dev/null
+++ b/output_files/mips.sta.rpt
@@ -0,0 +1,807 @@
+Timing Analyzer report for mips
+Thu Mar 31 12:22:31 2022
+Quartus Prime Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+
+
+---------------------
+; Table of Contents ;
+---------------------
+ 1. Legal Notice
+ 2. Timing Analyzer Summary
+ 3. Parallel Compilation
+ 4. Clocks
+ 5. Slow 1100mV 85C Model Fmax Summary
+ 6. Timing Closure Recommendations
+ 7. Slow 1100mV 85C Model Setup Summary
+ 8. Slow 1100mV 85C Model Hold Summary
+ 9. Slow 1100mV 85C Model Recovery Summary
+ 10. Slow 1100mV 85C Model Removal Summary
+ 11. Slow 1100mV 85C Model Minimum Pulse Width Summary
+ 12. Slow 1100mV 85C Model Metastability Summary
+ 13. Slow 1100mV 0C Model Fmax Summary
+ 14. Slow 1100mV 0C Model Setup Summary
+ 15. Slow 1100mV 0C Model Hold Summary
+ 16. Slow 1100mV 0C Model Recovery Summary
+ 17. Slow 1100mV 0C Model Removal Summary
+ 18. Slow 1100mV 0C Model Minimum Pulse Width Summary
+ 19. Slow 1100mV 0C Model Metastability Summary
+ 20. Fast 1100mV 85C Model Setup Summary
+ 21. Fast 1100mV 85C Model Hold Summary
+ 22. Fast 1100mV 85C Model Recovery Summary
+ 23. Fast 1100mV 85C Model Removal Summary
+ 24. Fast 1100mV 85C Model Minimum Pulse Width Summary
+ 25. Fast 1100mV 85C Model Metastability Summary
+ 26. Fast 1100mV 0C Model Setup Summary
+ 27. Fast 1100mV 0C Model Hold Summary
+ 28. Fast 1100mV 0C Model Recovery Summary
+ 29. Fast 1100mV 0C Model Removal Summary
+ 30. Fast 1100mV 0C Model Minimum Pulse Width Summary
+ 31. Fast 1100mV 0C Model Metastability Summary
+ 32. Multicorner Timing Analysis Summary
+ 33. Board Trace Model Assignments
+ 34. Input Transition Times
+ 35. Signal Integrity Metrics (Slow 1100mv 0c Model)
+ 36. Signal Integrity Metrics (Slow 1100mv 85c Model)
+ 37. Signal Integrity Metrics (Fast 1100mv 0c Model)
+ 38. Signal Integrity Metrics (Fast 1100mv 85c Model)
+ 39. Setup Transfers
+ 40. Hold Transfers
+ 41. Report TCCS
+ 42. Report RSKM
+ 43. Unconstrained Paths Summary
+ 44. Clock Status Summary
+ 45. Unconstrained Input Ports
+ 46. Unconstrained Output Ports
+ 47. Unconstrained Input Ports
+ 48. Unconstrained Output Ports
+ 49. Timing Analyzer Messages
+
+
+
+----------------
+; Legal Notice ;
+----------------
+Copyright (C) 2019 Intel Corporation. All rights reserved.
+Your use of Intel Corporation's design tools, logic functions
+and other software and tools, and any partner logic
+functions, and any output files from any of the foregoing
+(including device programming or simulation files), and any
+associated documentation or information are expressly subject
+to the terms and conditions of the Intel Program License
+Subscription Agreement, the Intel Quartus Prime License Agreement,
+the Intel FPGA IP License Agreement, or other applicable license
+agreement, including, without limitation, that your use is for
+the sole purpose of programming logic devices manufactured by
+Intel and sold by Intel or its authorized distributors. Please
+refer to the applicable agreement for further details, at
+https://fpgasoftware.intel.com/eula.
+
+
+
++-----------------------------------------------------------------------------+
+; Timing Analyzer Summary ;
++-----------------------+-----------------------------------------------------+
+; Quartus Prime Version ; Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition ;
+; Timing Analyzer ; Legacy Timing Analyzer ;
+; Revision Name ; mips ;
+; Device Family ; Cyclone V ;
+; Device Name ; 5CGXFC7C7F23C8 ;
+; Timing Models ; Final ;
+; Delay Model ; Combined ;
+; Rise/Fall Delays ; Enabled ;
++-----------------------+-----------------------------------------------------+
+
+
++------------------------------------------+
+; Parallel Compilation ;
++----------------------------+-------------+
+; Processors ; Number ;
++----------------------------+-------------+
+; Number detected on machine ; 8 ;
+; Maximum allowed ; 4 ;
+; ; ;
+; Average used ; 2.53 ;
+; Maximum used ; 4 ;
+; ; ;
+; Usage by Processor ; % Time Used ;
+; Processor 1 ; 100.0% ;
+; Processor 2 ; 53.4% ;
+; Processor 3 ; 51.5% ;
+; Processor 4 ; 47.8% ;
++----------------------------+-------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Clocks ;
++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
+; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ;
++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
+; clk ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { clk } ;
++------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+---------+
+
+
++-------------------------------------------------+
+; Slow 1100mV 85C Model Fmax Summary ;
++-----------+-----------------+------------+------+
+; Fmax ; Restricted Fmax ; Clock Name ; Note ;
++-----------+-----------------+------------+------+
+; 48.37 MHz ; 48.37 MHz ; clk ; ;
++-----------+-----------------+------------+------+
+This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
+
+
+----------------------------------
+; Timing Closure Recommendations ;
+----------------------------------
+HTML report is unavailable in plain text report export.
+
+
++-------------------------------------+
+; Slow 1100mV 85C Model Setup Summary ;
++-------+---------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+---------+-------------------+
+; clk ; -19.673 ; -67813.052 ;
++-------+---------+-------------------+
+
+
++------------------------------------+
+; Slow 1100mV 85C Model Hold Summary ;
++-------+-------+--------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+--------------------+
+; clk ; 0.903 ; 0.000 ;
++-------+-------+--------------------+
+
+
+------------------------------------------
+; Slow 1100mV 85C Model Recovery Summary ;
+------------------------------------------
+No paths to report.
+
+
+-----------------------------------------
+; Slow 1100mV 85C Model Removal Summary ;
+-----------------------------------------
+No paths to report.
+
+
++---------------------------------------------------+
+; Slow 1100mV 85C Model Minimum Pulse Width Summary ;
++-------+--------+----------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+----------------------------------+
+; clk ; -0.724 ; -4689.288 ;
++-------+--------+----------------------------------+
+
+
+-----------------------------------------------
+; Slow 1100mV 85C Model Metastability Summary ;
+-----------------------------------------------
+No synchronizer chains to report.
+
+
++-------------------------------------------------+
+; Slow 1100mV 0C Model Fmax Summary ;
++-----------+-----------------+------------+------+
+; Fmax ; Restricted Fmax ; Clock Name ; Note ;
++-----------+-----------------+------------+------+
+; 48.49 MHz ; 48.49 MHz ; clk ; ;
++-----------+-----------------+------------+------+
+This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis.
+
+
++------------------------------------+
+; Slow 1100mV 0C Model Setup Summary ;
++-------+---------+------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+---------+------------------+
+; clk ; -19.623 ; -66599.186 ;
++-------+---------+------------------+
+
+
++-----------------------------------+
+; Slow 1100mV 0C Model Hold Summary ;
++-------+-------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+-------------------+
+; clk ; 0.939 ; 0.000 ;
++-------+-------+-------------------+
+
+
+-----------------------------------------
+; Slow 1100mV 0C Model Recovery Summary ;
+-----------------------------------------
+No paths to report.
+
+
+----------------------------------------
+; Slow 1100mV 0C Model Removal Summary ;
+----------------------------------------
+No paths to report.
+
+
++--------------------------------------------------+
+; Slow 1100mV 0C Model Minimum Pulse Width Summary ;
++-------+--------+---------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+---------------------------------+
+; clk ; -0.724 ; -4682.170 ;
++-------+--------+---------------------------------+
+
+
+----------------------------------------------
+; Slow 1100mV 0C Model Metastability Summary ;
+----------------------------------------------
+No synchronizer chains to report.
+
+
++-------------------------------------+
+; Fast 1100mV 85C Model Setup Summary ;
++-------+--------+--------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+--------------------+
+; clk ; -8.446 ; -27967.744 ;
++-------+--------+--------------------+
+
+
++------------------------------------+
+; Fast 1100mV 85C Model Hold Summary ;
++-------+-------+--------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+--------------------+
+; clk ; 0.352 ; 0.000 ;
++-------+-------+--------------------+
+
+
+------------------------------------------
+; Fast 1100mV 85C Model Recovery Summary ;
+------------------------------------------
+No paths to report.
+
+
+-----------------------------------------
+; Fast 1100mV 85C Model Removal Summary ;
+-----------------------------------------
+No paths to report.
+
+
++---------------------------------------------------+
+; Fast 1100mV 85C Model Minimum Pulse Width Summary ;
++-------+--------+----------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+----------------------------------+
+; clk ; -0.092 ; -357.672 ;
++-------+--------+----------------------------------+
+
+
+-----------------------------------------------
+; Fast 1100mV 85C Model Metastability Summary ;
+-----------------------------------------------
+No synchronizer chains to report.
+
+
++------------------------------------+
+; Fast 1100mV 0C Model Setup Summary ;
++-------+--------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+-------------------+
+; clk ; -7.538 ; -24954.092 ;
++-------+--------+-------------------+
+
+
++-----------------------------------+
+; Fast 1100mV 0C Model Hold Summary ;
++-------+-------+-------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+-------+-------------------+
+; clk ; 0.331 ; 0.000 ;
++-------+-------+-------------------+
+
+
+-----------------------------------------
+; Fast 1100mV 0C Model Recovery Summary ;
+-----------------------------------------
+No paths to report.
+
+
+----------------------------------------
+; Fast 1100mV 0C Model Removal Summary ;
+----------------------------------------
+No paths to report.
+
+
++--------------------------------------------------+
+; Fast 1100mV 0C Model Minimum Pulse Width Summary ;
++-------+--------+---------------------------------+
+; Clock ; Slack ; End Point TNS ;
++-------+--------+---------------------------------+
+; clk ; -0.092 ; -360.619 ;
++-------+--------+---------------------------------+
+
+
+----------------------------------------------
+; Fast 1100mV 0C Model Metastability Summary ;
+----------------------------------------------
+No synchronizer chains to report.
+
+
++----------------------------------------------------------------------------------+
+; Multicorner Timing Analysis Summary ;
++------------------+------------+-------+----------+---------+---------------------+
+; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ;
++------------------+------------+-------+----------+---------+---------------------+
+; Worst-case Slack ; -19.673 ; 0.331 ; N/A ; N/A ; -0.724 ;
+; clk ; -19.673 ; 0.331 ; N/A ; N/A ; -0.724 ;
+; Design-wide TNS ; -67813.052 ; 0.0 ; 0.0 ; 0.0 ; -4689.288 ;
+; clk ; -67813.052 ; 0.000 ; N/A ; N/A ; -4689.288 ;
++------------------+------------+-------+----------+---------+---------------------+
+
+
++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Board Trace Model Assignments ;
++----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
+; Pin ; I/O Standard ; Near Tline Length ; Near Tline L per Length ; Near Tline C per Length ; Near Series R ; Near Differential R ; Near Pull-up R ; Near Pull-down R ; Near C ; Far Tline Length ; Far Tline L per Length ; Far Tline C per Length ; Far Series R ; Far Pull-up R ; Far Pull-down R ; Far C ; Termination Voltage ; Far Differential R ; EBD File Name ; EBD Signal Name ; EBD Far-end ;
++----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
+; pc_out[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[8] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[9] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[10] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[11] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[12] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[13] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[14] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; pc_out[15] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[0] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[1] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[2] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[3] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[4] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[5] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[6] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[7] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[8] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[9] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[10] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[11] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[12] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[13] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[14] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
+; alu_result[15] ; 2.5 V ; 0 in ; 0 H/in ; 0 F/in ; short ; - ; open ; open ; open ; 0 in ; 0 H/in ; 0 F/in ; short ; open ; open ; open ; 0 V ; - ; n/a ; n/a ; n/a ;
++----------------+--------------+-------------------+-------------------------+-------------------------+---------------+---------------------+----------------+------------------+--------+------------------+------------------------+------------------------+--------------+---------------+-----------------+-------+---------------------+--------------------+---------------+-----------------+-------------+
+
+
++----------------------------------------------------------+
+; Input Transition Times ;
++-------+--------------+-----------------+-----------------+
+; Pin ; I/O Standard ; 10-90 Rise Time ; 90-10 Fall Time ;
++-------+--------------+-----------------+-----------------+
+; reset ; 2.5 V ; 2000 ps ; 2000 ps ;
+; clk ; 2.5 V ; 2000 ps ; 2000 ps ;
++-------+--------------+-----------------+-----------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Signal Integrity Metrics (Slow 1100mv 0c Model) ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; pc_out[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; pc_out[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; pc_out[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; pc_out[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; pc_out[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; pc_out[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; pc_out[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; pc_out[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; pc_out[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; pc_out[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; pc_out[10] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; pc_out[11] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; pc_out[12] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; pc_out[13] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; pc_out[14] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; pc_out[15] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; alu_result[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; alu_result[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; alu_result[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; alu_result[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; alu_result[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; alu_result[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; alu_result[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.041 V ; 0.19 V ; 0.126 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; alu_result[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; alu_result[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; alu_result[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ; 2.32 V ; 6.72e-08 V ; 2.4 V ; -0.0409 V ; 0.192 V ; 0.125 V ; 4.59e-10 s ; 4.54e-10 s ; No ; Yes ;
+; alu_result[10] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; alu_result[11] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; alu_result[12] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; alu_result[13] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ; 2.32 V ; 5.91e-08 V ; 2.35 V ; -0.0136 V ; 0.17 V ; 0.118 V ; 4.69e-10 s ; 4.63e-10 s ; Yes ; Yes ;
+; alu_result[14] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
+; alu_result[15] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ; 2.32 V ; 6.86e-08 V ; 2.4 V ; -0.0326 V ; 0.227 V ; 0.16 V ; 4.73e-10 s ; 4.78e-10 s ; No ; Yes ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Signal Integrity Metrics (Slow 1100mv 85c Model) ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; pc_out[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; pc_out[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ;
+; pc_out[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; pc_out[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; pc_out[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; pc_out[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; pc_out[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ;
+; pc_out[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; pc_out[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ;
+; pc_out[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; pc_out[10] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; pc_out[11] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ;
+; pc_out[12] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ;
+; pc_out[13] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ;
+; pc_out[14] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; pc_out[15] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ;
+; alu_result[0] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; alu_result[1] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; alu_result[2] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; alu_result[3] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; alu_result[4] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; alu_result[5] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; alu_result[6] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.0273 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.19e-10 s ; No ; Yes ;
+; alu_result[7] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; alu_result[8] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ;
+; alu_result[9] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ; 2.32 V ; 1.04e-05 V ; 2.38 V ; -0.027 V ; 0.226 V ; 0.08 V ; 4.84e-10 s ; 5.18e-10 s ; No ; Yes ;
+; alu_result[10] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; alu_result[11] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; alu_result[12] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; alu_result[13] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ; 2.32 V ; 9.38e-06 V ; 2.34 V ; -0.00733 V ; 0.234 V ; 0.071 V ; 5.36e-10 s ; 5.75e-10 s ; No ; Yes ;
+; alu_result[14] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
+; alu_result[15] ; 2.5 V ; 0 s ; 0 s ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ; 2.32 V ; 1.05e-05 V ; 2.37 V ; -0.0225 V ; 0.277 V ; 0.104 V ; 5.22e-10 s ; 6.28e-10 s ; No ; Yes ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Signal Integrity Metrics (Fast 1100mv 0c Model) ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; pc_out[0] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; pc_out[1] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; pc_out[2] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; pc_out[3] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; pc_out[4] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; pc_out[5] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; pc_out[6] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; pc_out[7] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; pc_out[8] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; pc_out[9] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; pc_out[10] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; pc_out[11] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; pc_out[12] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; pc_out[13] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; pc_out[14] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; pc_out[15] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; alu_result[0] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; alu_result[1] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; alu_result[2] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; alu_result[3] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; alu_result[4] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; alu_result[5] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; alu_result[6] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.119 V ; 0.326 V ; 0.298 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; alu_result[7] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; alu_result[8] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; alu_result[9] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ; 2.75 V ; 4.14e-06 V ; 2.91 V ; -0.121 V ; 0.326 V ; 0.297 V ; 2.74e-10 s ; 2.8e-10 s ; No ; No ;
+; alu_result[10] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; alu_result[11] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; alu_result[12] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; alu_result[13] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ; 2.75 V ; 3.54e-06 V ; 2.81 V ; -0.0578 V ; 0.303 V ; 0.28 V ; 2.93e-10 s ; 3.01e-10 s ; No ; No ;
+; alu_result[14] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
+; alu_result[15] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ; 2.75 V ; 4.25e-06 V ; 2.9 V ; -0.107 V ; 0.378 V ; 0.16 V ; 2.87e-10 s ; 4.28e-10 s ; No ; No ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+
+
++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
+; Signal Integrity Metrics (Fast 1100mv 85c Model) ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; Pin ; I/O Standard ; Board Delay on Rise ; Board Delay on Fall ; Steady State Voh at FPGA Pin ; Steady State Vol at FPGA Pin ; Voh Max at FPGA Pin ; Vol Min at FPGA Pin ; Ringback Voltage on Rise at FPGA Pin ; Ringback Voltage on Fall at FPGA Pin ; 10-90 Rise Time at FPGA Pin ; 90-10 Fall Time at FPGA Pin ; Monotonic Rise at FPGA Pin ; Monotonic Fall at FPGA Pin ; Steady State Voh at Far-end ; Steady State Vol at Far-end ; Voh Max at Far-end ; Vol Min at Far-end ; Ringback Voltage on Rise at Far-end ; Ringback Voltage on Fall at Far-end ; 10-90 Rise Time at Far-end ; 90-10 Fall Time at Far-end ; Monotonic Rise at Far-end ; Monotonic Fall at Far-end ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+; pc_out[0] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; pc_out[1] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ;
+; pc_out[2] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; pc_out[3] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; pc_out[4] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; pc_out[5] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; pc_out[6] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ;
+; pc_out[7] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; pc_out[8] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ;
+; pc_out[9] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; pc_out[10] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; pc_out[11] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ;
+; pc_out[12] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ;
+; pc_out[13] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ;
+; pc_out[14] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; pc_out[15] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ;
+; alu_result[0] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; alu_result[1] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; alu_result[2] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; alu_result[3] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; alu_result[4] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; alu_result[5] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; alu_result[6] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0805 V ; 0.358 V ; 0.156 V ; 3.01e-10 s ; 4.34e-10 s ; No ; No ;
+; alu_result[7] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; alu_result[8] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ;
+; alu_result[9] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ; 2.75 V ; 0.000242 V ; 2.86 V ; -0.0814 V ; 0.36 V ; 0.156 V ; 3e-10 s ; 4.34e-10 s ; No ; No ;
+; alu_result[10] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; alu_result[11] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; alu_result[12] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; alu_result[13] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ; 2.75 V ; 0.000213 V ; 2.79 V ; -0.0324 V ; 0.139 V ; 0.119 V ; 4.42e-10 s ; 4.33e-10 s ; No ; Yes ;
+; alu_result[14] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
+; alu_result[15] ; 2.5 V ; 0 s ; 0 s ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ; 2.75 V ; 0.000247 V ; 2.85 V ; -0.0711 V ; 0.204 V ; 0.181 V ; 4.55e-10 s ; 4.49e-10 s ; No ; No ;
++----------------+--------------+---------------------+---------------------+------------------------------+------------------------------+---------------------+---------------------+--------------------------------------+--------------------------------------+-----------------------------+-----------------------------+----------------------------+----------------------------+-----------------------------+-----------------------------+--------------------+--------------------+-------------------------------------+-------------------------------------+----------------------------+----------------------------+---------------------------+---------------------------+
+
+
++--------------------------------------------------------------------+
+; Setup Transfers ;
++------------+----------+-----------+----------+----------+----------+
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
++------------+----------+-----------+----------+----------+----------+
+; clk ; clk ; 378609680 ; 0 ; 0 ; 0 ;
++------------+----------+-----------+----------+----------+----------+
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+
+
++--------------------------------------------------------------------+
+; Hold Transfers ;
++------------+----------+-----------+----------+----------+----------+
+; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ;
++------------+----------+-----------+----------+----------+----------+
+; clk ; clk ; 378609680 ; 0 ; 0 ; 0 ;
++------------+----------+-----------+----------+----------+----------+
+Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported.
+
+
+---------------
+; Report TCCS ;
+---------------
+No dedicated SERDES Transmitter circuitry present in device or used in design
+
+
+---------------
+; Report RSKM ;
+---------------
+No non-DPA dedicated SERDES Receiver circuitry present in device or used in design
+
+
++------------------------------------------------+
+; Unconstrained Paths Summary ;
++---------------------------------+-------+------+
+; Property ; Setup ; Hold ;
++---------------------------------+-------+------+
+; Illegal Clocks ; 0 ; 0 ;
+; Unconstrained Clocks ; 0 ; 0 ;
+; Unconstrained Input Ports ; 1 ; 1 ;
+; Unconstrained Input Port Paths ; 4224 ; 4224 ;
+; Unconstrained Output Ports ; 32 ; 32 ;
+; Unconstrained Output Port Paths ; 1640 ; 1640 ;
++---------------------------------+-------+------+
+
+
++-------------------------------------+
+; Clock Status Summary ;
++--------+-------+------+-------------+
+; Target ; Clock ; Type ; Status ;
++--------+-------+------+-------------+
+; clk ; clk ; Base ; Constrained ;
++--------+-------+------+-------------+
+
+
++---------------------------------------------------------------------------------------------------+
+; Unconstrained Input Ports ;
++------------+--------------------------------------------------------------------------------------+
+; Input Port ; Comment ;
++------------+--------------------------------------------------------------------------------------+
+; reset ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
++------------+--------------------------------------------------------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------+
+; Unconstrained Output Ports ;
++----------------+---------------------------------------------------------------------------------------+
+; Output Port ; Comment ;
++----------------+---------------------------------------------------------------------------------------+
+; alu_result[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[15] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[15] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
++----------------+---------------------------------------------------------------------------------------+
+
+
++---------------------------------------------------------------------------------------------------+
+; Unconstrained Input Ports ;
++------------+--------------------------------------------------------------------------------------+
+; Input Port ; Comment ;
++------------+--------------------------------------------------------------------------------------+
+; reset ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ;
++------------+--------------------------------------------------------------------------------------+
+
+
++--------------------------------------------------------------------------------------------------------+
+; Unconstrained Output Ports ;
++----------------+---------------------------------------------------------------------------------------+
+; Output Port ; Comment ;
++----------------+---------------------------------------------------------------------------------------+
+; alu_result[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; alu_result[15] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
+; pc_out[15] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ;
++----------------+---------------------------------------------------------------------------------------+
+
+
++--------------------------+
+; Timing Analyzer Messages ;
++--------------------------+
+Info: *******************************************************************
+Info: Running Quartus Prime Timing Analyzer
+ Info: Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition
+ Info: Processing started: Thu Mar 31 12:21:59 2022
+Info: Command: quartus_sta mips -c mips
+Info: qsta_default_script.tcl version: #1
+Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance.
+Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected
+Info (21077): Low junction temperature is 0 degrees C
+Info (21077): High junction temperature is 85 degrees C
+Critical Warning (332012): Synopsys Design Constraints File file not found: 'mips.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design.
+Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0"
+Info (332105): Deriving Clocks
+ Info (332105): create_clock -period 1.000 -name clk clk
+Info (332143): No user constrained clock uncertainty found in the design. Calling "derive_clock_uncertainty"
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
+Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON
+Info: Analyzing Slow 1100mV 85C Model
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
+Info (332146): Worst-case setup slack is -19.673
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): -19.673 -67813.052 clk
+Info (332146): Worst-case hold slack is 0.903
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): 0.903 0.000 clk
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -0.724
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): -0.724 -4689.288 clk
+Info: Analyzing Slow 1100mV 0C Model
+Info (334003): Started post-fitting delay annotation
+Info (334004): Delay annotation completed successfully
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
+Info (332146): Worst-case setup slack is -19.623
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): -19.623 -66599.186 clk
+Info (332146): Worst-case hold slack is 0.939
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): 0.939 0.000 clk
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -0.724
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): -0.724 -4682.170 clk
+Info: Analyzing Fast 1100mV 85C Model
+Info (334003): Started post-fitting delay annotation
+Info (334004): Delay annotation completed successfully
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
+Info (332146): Worst-case setup slack is -8.446
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): -8.446 -27967.744 clk
+Info (332146): Worst-case hold slack is 0.352
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): 0.352 0.000 clk
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -0.092
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): -0.092 -357.672 clk
+Info: Analyzing Fast 1100mV 0C Model
+Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties.
+Critical Warning (332148): Timing requirements not met
+ Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer.
+Info (332146): Worst-case setup slack is -7.538
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): -7.538 -24954.092 clk
+Info (332146): Worst-case hold slack is 0.331
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): 0.331 0.000 clk
+Info (332140): No Recovery paths to report
+Info (332140): No Removal paths to report
+Info (332146): Worst-case minimum pulse width slack is -0.092
+ Info (332119): Slack End Point TNS Clock
+ Info (332119): ========= =================== =====================
+ Info (332119): -0.092 -360.619 clk
+Info (332102): Design is not fully constrained for setup requirements
+Info (332102): Design is not fully constrained for hold requirements
+Info: Quartus Prime Timing Analyzer was successful. 0 errors, 6 warnings
+ Info: Peak virtual memory: 5308 megabytes
+ Info: Processing ended: Thu Mar 31 12:22:31 2022
+ Info: Elapsed time: 00:00:32
+ Info: Total CPU time (on all processors): 00:01:09
+
+
diff --git a/output_files/mips.sta.summary b/output_files/mips.sta.summary
new file mode 100644
index 0000000..3fb66d1
--- /dev/null
+++ b/output_files/mips.sta.summary
@@ -0,0 +1,53 @@
+------------------------------------------------------------
+Timing Analyzer Summary
+------------------------------------------------------------
+
+Type : Slow 1100mV 85C Model Setup 'clk'
+Slack : -19.673
+TNS : -67813.052
+
+Type : Slow 1100mV 85C Model Hold 'clk'
+Slack : 0.903
+TNS : 0.000
+
+Type : Slow 1100mV 85C Model Minimum Pulse Width 'clk'
+Slack : -0.724
+TNS : -4689.288
+
+Type : Slow 1100mV 0C Model Setup 'clk'
+Slack : -19.623
+TNS : -66599.186
+
+Type : Slow 1100mV 0C Model Hold 'clk'
+Slack : 0.939
+TNS : 0.000
+
+Type : Slow 1100mV 0C Model Minimum Pulse Width 'clk'
+Slack : -0.724
+TNS : -4682.170
+
+Type : Fast 1100mV 85C Model Setup 'clk'
+Slack : -8.446
+TNS : -27967.744
+
+Type : Fast 1100mV 85C Model Hold 'clk'
+Slack : 0.352
+TNS : 0.000
+
+Type : Fast 1100mV 85C Model Minimum Pulse Width 'clk'
+Slack : -0.092
+TNS : -357.672
+
+Type : Fast 1100mV 0C Model Setup 'clk'
+Slack : -7.538
+TNS : -24954.092
+
+Type : Fast 1100mV 0C Model Hold 'clk'
+Slack : 0.331
+TNS : 0.000
+
+Type : Fast 1100mV 0C Model Minimum Pulse Width 'clk'
+Slack : -0.092
+TNS : -360.619
+
+------------------------------------------------------------
diff --git a/simulation/modelsim/mips.sft b/simulation/modelsim/mips.sft
new file mode 100644
index 0000000..f324fea
--- /dev/null
+++ b/simulation/modelsim/mips.sft
@@ -0,0 +1 @@
+set tool_name "ModelSim-Altera (Verilog)"
diff --git a/simulation/modelsim/mips.vo b/simulation/modelsim/mips.vo
new file mode 100644
index 0000000..7fe5b92
--- /dev/null
+++ b/simulation/modelsim/mips.vo
@@ -0,0 +1,176933 @@
+// Copyright (C) 2019 Intel Corporation. All rights reserved.
+// Your use of Intel Corporation's design tools, logic functions
+// and other software and tools, and any partner logic
+// functions, and any output files from any of the foregoing
+// (including device programming or simulation files), and any
+// associated documentation or information are expressly subject
+// to the terms and conditions of the Intel Program License
+// Subscription Agreement, the Intel Quartus Prime License Agreement,
+// the Intel FPGA IP License Agreement, or other applicable license
+// agreement, including, without limitation, that your use is for
+// the sole purpose of programming logic devices manufactured by
+// Intel and sold by Intel or its authorized distributors. Please
+// refer to the applicable agreement for further details, at
+// https://fpgasoftware.intel.com/eula.
+
+// VENDOR "Altera"
+// PROGRAM "Quartus Prime"
+// VERSION "Version 18.1.1 Build 646 04/11/2019 SJ Lite Edition"
+
+// DATE "03/31/2022 12:22:36"
+
+//
+// Device: Altera 5CGXFC7C7F23C8 Package FBGA484
+//
+
+//
+// This Verilog file should be used for ModelSim-Altera (Verilog) only
+//
+
+`timescale 1 ps/ 1 ps
+
+module mips_16 (
+ clk,
+ reset,
+ pc_out,
+ alu_result);
+input clk;
+input reset;
+output [15:0] pc_out;
+output [15:0] alu_result;
+
+// Design Ports Information
+// pc_out[0] => Location: PIN_Y17, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[1] => Location: PIN_AA19, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[2] => Location: PIN_P14, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[3] => Location: PIN_V20, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[4] => Location: PIN_W16, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[5] => Location: PIN_Y16, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[6] => Location: PIN_AA13, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[7] => Location: PIN_R14, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[8] => Location: PIN_W22, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[9] => Location: PIN_U15, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[10] => Location: PIN_V10, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[11] => Location: PIN_AB17, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[12] => Location: PIN_AA20, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[13] => Location: PIN_AB22, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[14] => Location: PIN_U16, I/O Standard: 2.5 V, Current Strength: Default
+// pc_out[15] => Location: PIN_U20, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[0] => Location: PIN_U17, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[1] => Location: PIN_Y14, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[2] => Location: PIN_U13, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[3] => Location: PIN_V13, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[4] => Location: PIN_T13, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[5] => Location: PIN_R17, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[6] => Location: PIN_V21, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[7] => Location: PIN_N9, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[8] => Location: PIN_Y22, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[9] => Location: PIN_AA14, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[10] => Location: PIN_T14, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[11] => Location: PIN_Y20, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[12] => Location: PIN_R10, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[13] => Location: PIN_T12, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[14] => Location: PIN_Y19, I/O Standard: 2.5 V, Current Strength: Default
+// alu_result[15] => Location: PIN_V18, I/O Standard: 2.5 V, Current Strength: Default
+// reset => Location: PIN_W21, I/O Standard: 2.5 V, Current Strength: Default
+// clk => Location: PIN_M16, I/O Standard: 2.5 V, Current Strength: Default
+
+
+wire gnd;
+wire vcc;
+wire unknown;
+
+assign gnd = 1'b0;
+assign vcc = 1'b1;
+assign unknown = 1'bx;
+
+tri1 devclrn;
+tri1 devpor;
+tri1 devoe;
+wire \alu_unit|Mult0~24 ;
+wire \alu_unit|Mult0~25 ;
+wire \alu_unit|Mult0~26 ;
+wire \alu_unit|Mult0~27 ;
+wire \alu_unit|Mult0~28 ;
+wire \alu_unit|Mult0~29 ;
+wire \alu_unit|Mult0~30 ;
+wire \alu_unit|Mult0~31 ;
+wire \alu_unit|Mult0~32 ;
+wire \alu_unit|Mult0~33 ;
+wire \alu_unit|Mult0~34 ;
+wire \alu_unit|Mult0~35 ;
+wire \alu_unit|Mult0~36 ;
+wire \alu_unit|Mult0~37 ;
+wire \alu_unit|Mult0~38 ;
+wire \alu_unit|Mult0~39 ;
+wire \alu_unit|Mult0~40 ;
+wire \alu_unit|Mult0~41 ;
+wire \alu_unit|Mult0~42 ;
+wire \alu_unit|Mult0~43 ;
+wire \alu_unit|Mult0~44 ;
+wire \alu_unit|Mult0~45 ;
+wire \alu_unit|Mult0~46 ;
+wire \alu_unit|Mult0~47 ;
+wire \alu_unit|Mult0~48 ;
+wire \alu_unit|Mult0~49 ;
+wire \alu_unit|Mult0~50 ;
+wire \alu_unit|Mult0~51 ;
+wire \alu_unit|Mult0~52 ;
+wire \alu_unit|Mult0~53 ;
+wire \alu_unit|Mult0~54 ;
+wire \alu_unit|Mult0~55 ;
+wire \alu_unit|Mult0~56 ;
+wire \alu_unit|Mult0~57 ;
+wire \alu_unit|Mult0~58 ;
+wire \alu_unit|Mult0~59 ;
+wire \alu_unit|Mult0~60 ;
+wire \alu_unit|Mult0~61 ;
+wire \alu_unit|Mult0~62 ;
+wire \alu_unit|Mult0~63 ;
+wire \alu_unit|Mult0~64 ;
+wire \alu_unit|Mult0~65 ;
+wire \alu_unit|Mult0~66 ;
+wire \alu_unit|Mult0~67 ;
+wire \alu_unit|Mult0~68 ;
+wire \alu_unit|Mult0~69 ;
+wire \alu_unit|Mult0~70 ;
+wire \alu_unit|Mult0~71 ;
+wire \~QUARTUS_CREATED_GND~I_combout ;
+wire \clk~input_o ;
+wire \clk~inputCLKENA0_outclk ;
+wire \reset~input_o ;
+wire \Add0~1_sumout ;
+wire \instrucion_memory|rom~11_combout ;
+wire \instrucion_memory|rom~15_combout ;
+wire \Add0~2 ;
+wire \Add0~5_sumout ;
+wire \instrucion_memory|rom~19_combout ;
+wire \Add2~2 ;
+wire \Add2~3 ;
+wire \Add2~6 ;
+wire \Add2~7 ;
+wire \Add2~9_sumout ;
+wire \Add3~62_cout ;
+wire \Add3~2 ;
+wire \Add3~5_sumout ;
+wire \instrucion_memory|rom~16_combout ;
+wire \instrucion_memory|rom~2_combout ;
+wire \instrucion_memory|instruction[14]~0_combout ;
+wire \control_unit|mem_to_reg[1]~0_combout ;
+wire \instrucion_memory|rom~1_combout ;
+wire \instrucion_memory|rom~3_combout ;
+wire \control_unit|mem_write~0_combout ;
+wire \reg_write_data[1]~1_combout ;
+wire \instrucion_memory|rom~25_combout ;
+wire \control_unit|sign_or_zero~0_combout ;
+wire \instrucion_memory|LessThan0~2_combout ;
+wire \control_unit|Decoder0~0_combout ;
+wire \Add0~6 ;
+wire \Add0~10 ;
+wire \Add0~14 ;
+wire \Add0~17_sumout ;
+wire \instrucion_memory|rom~21_combout ;
+wire \Add0~13_sumout ;
+wire \Add0~9_sumout ;
+wire \instrucion_memory|rom~5_combout ;
+wire \Add3~6 ;
+wire \Add3~10 ;
+wire \Add3~14 ;
+wire \Add3~17_sumout ;
+wire \instrucion_memory|rom~22_combout ;
+wire \instrucion_memory|rom~6_combout ;
+wire \Add2~10 ;
+wire \Add2~11 ;
+wire \Add2~14 ;
+wire \Add2~15 ;
+wire \Add2~18 ;
+wire \Add2~19 ;
+wire \Add2~21_sumout ;
+wire \pc_next[5]~4_combout ;
+wire \instrucion_memory|rom~8_combout ;
+wire \control_unit|WideOr0~0_combout ;
+wire \alu_unit|Mux10~1_combout ;
+wire \ALU_Control_unit|WideOr0~0_combout ;
+wire \ALU_Control_unit|WideOr2~0_combout ;
+wire \instrucion_memory|rom~23_combout ;
+wire \instrucion_memory|rom~24_combout ;
+wire \instrucion_memory|rom~13_combout ;
+wire \reg_file|Equal1~0_combout ;
+wire \reg_file|reg_array[3][5]~feeder_combout ;
+wire \control_unit|mem_to_reg[1]~1_combout ;
+wire \reg_file|Decoder0~3_combout ;
+wire \reg_write_dest[0]~0_combout ;
+wire \reg_write_dest[1]~1_combout ;
+wire \reg_file|Decoder0~4_combout ;
+wire \reg_file|reg_array[3][5]~q ;
+wire \reg_file|Decoder0~6_combout ;
+wire \reg_file|reg_array[2][5]~q ;
+wire \reg_file|Decoder0~7_combout ;
+wire \reg_file|reg_array[1][5]~q ;
+wire \instrucion_memory|rom~17_combout ;
+wire \reg_file|reg_read_data_2[5]~5_combout ;
+wire \read_data2[5]~5_combout ;
+wire \ALU_Control_unit|WideOr1~0_combout ;
+wire \instrucion_memory|rom~9_combout ;
+wire \reg_file|Equal0~1_combout ;
+wire \reg_file|reg_read_data_1[4]~7_combout ;
+wire \reg_file|reg_array[2][4]~q ;
+wire \reg_file|reg_array[1][4]~q ;
+wire \reg_file|reg_array[3][4]~q ;
+wire \reg_file|reg_read_data_2[4]~4_combout ;
+wire \read_data2[4]~4_combout ;
+wire \alu_unit|Mux11~8_combout ;
+wire \alu_unit|Mux13~0_combout ;
+wire \reg_file|Decoder0~0_combout ;
+wire \reg_file|Decoder0~1_combout ;
+wire \reg_file|reg_array[7][3]~q ;
+wire \reg_file|Decoder0~2_combout ;
+wire \reg_file|reg_array[4][3]~q ;
+wire \reg_file|Decoder0~5_combout ;
+wire \reg_file|reg_array[0][3]~q ;
+wire \instrucion_memory|rom~12_combout ;
+wire \reg_file|reg_read_data_1[3]~4_combout ;
+wire \reg_file|reg_read_data_1[3]~5_combout ;
+wire \alu_unit|Mux14~4_combout ;
+wire \reg_file|reg_array[3][2]~q ;
+wire \reg_file|reg_array[7][2]~feeder_combout ;
+wire \reg_file|reg_array[7][2]~q ;
+wire \reg_file|reg_array[4][2]~q ;
+wire \reg_file|reg_read_data_1[2]~2_combout ;
+wire \reg_file|reg_read_data_1[2]~3_combout ;
+wire \alu_unit|Mux14~3_combout ;
+wire \Add0~21_sumout ;
+wire \alu_unit|Mux11~1_combout ;
+wire \alu_unit|Mux11~3_combout ;
+wire \reg_file|reg_array[2][0]~feeder_combout ;
+wire \reg_file|reg_array[2][0]~q ;
+wire \reg_file|reg_array[1][0]~q ;
+wire \reg_file|reg_array[0][0]~feeder_combout ;
+wire \reg_file|reg_array[0][0]~q ;
+wire \reg_file|reg_read_data_2[0]~1_combout ;
+wire \read_data2[0]~1_combout ;
+wire \reg_file|reg_array[4][1]~q ;
+wire \reg_file|reg_array[7][1]~q ;
+wire \reg_file|reg_array[3][1]~q ;
+wire \reg_file|reg_read_data_1[1]~0_combout ;
+wire \reg_file|reg_read_data_1[1]~1_combout ;
+wire \alu_unit|shifter_left|st2[2]~2_combout ;
+wire \alu_unit|Mux10~0_combout ;
+wire \alu_unit|shifter_left|st2[6]~7_combout ;
+wire \alu_unit|shifter_left|st2[1]~0_combout ;
+wire \Add0~42 ;
+wire \Add0~46 ;
+wire \Add0~49_sumout ;
+wire \Add3~18 ;
+wire \Add3~22 ;
+wire \Add3~26 ;
+wire \Add3~30 ;
+wire \Add3~34 ;
+wire \Add3~38 ;
+wire \Add3~42 ;
+wire \Add3~46 ;
+wire \Add3~49_sumout ;
+wire \instrucion_memory|rom~26_combout ;
+wire \Add2~22 ;
+wire \Add2~23 ;
+wire \Add2~26 ;
+wire \Add2~27 ;
+wire \Add2~30 ;
+wire \Add2~31 ;
+wire \Add2~34 ;
+wire \Add2~35 ;
+wire \Add2~39 ;
+wire \Add2~43 ;
+wire \Add2~47 ;
+wire \Add2~50 ;
+wire \Add2~51 ;
+wire \Add2~53_sumout ;
+wire \pc_next[13]~12_combout ;
+wire \reg_file|reg_array[3][13]~q ;
+wire \reg_file|reg_array[1][13]~q ;
+wire \reg_file|reg_array[0][13]~q ;
+wire \reg_file|reg_array[2][13]~q ;
+wire \reg_file|reg_read_data_2[13]~13_combout ;
+wire \read_data2[13]~13_combout ;
+wire \reg_file|reg_array[3][12]~feeder_combout ;
+wire \reg_file|reg_array[3][12]~q ;
+wire \reg_file|reg_array[1][12]~q ;
+wire \reg_file|reg_array[2][12]~q ;
+wire \reg_file|reg_read_data_2[12]~12_combout ;
+wire \read_data2[12]~12_combout ;
+wire \reg_file|reg_array[3][11]~feeder_combout ;
+wire \reg_file|reg_array[3][11]~q ;
+wire \reg_file|reg_array[7][11]~q ;
+wire \reg_file|reg_array[4][11]~feeder_combout ;
+wire \reg_file|reg_array[4][11]~q ;
+wire \reg_file|reg_read_data_1[11]~12_combout ;
+wire \reg_file|reg_array[3][10]~feeder_combout ;
+wire \reg_file|reg_array[3][10]~q ;
+wire \reg_file|reg_array[7][10]~feeder_combout ;
+wire \reg_file|reg_array[7][10]~q ;
+wire \reg_file|reg_array[4][10]~q ;
+wire \reg_file|reg_read_data_1[10]~10_combout ;
+wire \reg_file|reg_read_data_1[10]~11_combout ;
+wire \alu_unit|Mux6~0_combout ;
+wire \reg_file|reg_read_data_1[9]~9_combout ;
+wire \alu_unit|shifter_right|st2[6]~0_combout ;
+wire \alu_unit|Mux6~3_combout ;
+wire \reg_file|reg_array[0][9]~q ;
+wire \reg_file|reg_array[1][9]~q ;
+wire \reg_file|reg_array[2][9]~q ;
+wire \reg_file|reg_read_data_2[9]~9_combout ;
+wire \read_data2[9]~9_combout ;
+wire \reg_file|reg_array[4][8]~q ;
+wire \reg_file|reg_array[3][8]~q ;
+wire \reg_file|reg_array[7][8]~q ;
+wire \reg_file|reg_read_data_1[8]~22_combout ;
+wire \reg_file|reg_read_data_1[8]~23_combout ;
+wire \reg_file|reg_array[3][7]~q ;
+wire \reg_file|reg_array[2][7]~q ;
+wire \reg_file|reg_array[1][7]~q ;
+wire \reg_file|reg_array[0][7]~q ;
+wire \reg_file|reg_read_data_2[7]~7_combout ;
+wire \read_data2[7]~7_combout ;
+wire \reg_file|reg_read_data_1[7]~21_combout ;
+wire \reg_file|reg_array[0][6]~q ;
+wire \reg_file|reg_array[2][6]~q ;
+wire \reg_file|reg_array[1][6]~q ;
+wire \reg_file|reg_read_data_2[6]~6_combout ;
+wire \read_data2[6]~6_combout ;
+wire \alu_unit|Add0~18 ;
+wire \alu_unit|Add0~22 ;
+wire \alu_unit|Add0~25_sumout ;
+wire \alu_unit|Add1~66_cout ;
+wire \alu_unit|Add1~62 ;
+wire \alu_unit|Add1~2 ;
+wire \alu_unit|Add1~6 ;
+wire \alu_unit|Add1~10 ;
+wire \alu_unit|Add1~14 ;
+wire \alu_unit|Add1~18 ;
+wire \alu_unit|Add1~22 ;
+wire \alu_unit|Add1~25_sumout ;
+wire \alu_unit|Mux8~0_combout ;
+wire \alu_unit|Mux10~7_combout ;
+wire \alu_unit|shifter_right|st2[8]~8_combout ;
+wire \alu_unit|shifter_right|st2[0]~9_combout ;
+wire \alu_unit|shifter_right|st2[0]~10_combout ;
+wire \reg_file|reg_read_data_1[14]~29_combout ;
+wire \alu_unit|shifter_right|st2[4]~7_combout ;
+wire \alu_unit|Mux8~1_combout ;
+wire \alu_unit|Mult0~15 ;
+wire \alu_unit|shifter_left|st2[7]~8_combout ;
+wire \alu_unit|Mux8~3_combout ;
+wire \alu_unit|Mux8~2_combout ;
+wire \datamem|ram~1319feeder_combout ;
+wire \control_unit|mem_write~1_combout ;
+wire \alu_unit|shifter_left|st2[0]~5_combout ;
+wire \alu_unit|Mux6~1_combout ;
+wire \alu_unit|shifter_left|st2[8]~9_combout ;
+wire \alu_unit|shifter_left|st2[4]~4_combout ;
+wire \alu_unit|Mux7~1_combout ;
+wire \alu_unit|shifter_right|st2[3]~13_combout ;
+wire \alu_unit|Mult0~16 ;
+wire \alu_unit|shifter_right|st2[7]~12_combout ;
+wire \alu_unit|Mux7~3_combout ;
+wire \datamem|ram~5585_combout ;
+wire \datamem|ram~5469_combout ;
+wire \datamem|ram~5610_combout ;
+wire \datamem|ram~1319_q ;
+wire \datamem|ram~5501_combout ;
+wire \datamem|ram~5611_combout ;
+wire \datamem|ram~1383_q ;
+wire \datamem|ram~1447feeder_combout ;
+wire \datamem|ram~5539_combout ;
+wire \datamem|ram~5612_combout ;
+wire \datamem|ram~1447_q ;
+wire \datamem|ram~5565_combout ;
+wire \datamem|ram~5613_combout ;
+wire \datamem|ram~1511_q ;
+wire \datamem|ram~5059_combout ;
+wire \datamem|ram~5563_combout ;
+wire \datamem|ram~5609_combout ;
+wire \datamem|ram~1495_q ;
+wire \datamem|ram~5531_combout ;
+wire \datamem|ram~5608_combout ;
+wire \datamem|ram~1431_q ;
+wire \datamem|ram~5467_combout ;
+wire \datamem|ram~5606_combout ;
+wire \datamem|ram~1303_q ;
+wire \datamem|ram~5499_combout ;
+wire \datamem|ram~5607_combout ;
+wire \datamem|ram~1367_q ;
+wire \datamem|ram~5058_combout ;
+wire \datamem|ram~1463feeder_combout ;
+wire \datamem|ram~5547_combout ;
+wire \datamem|ram~5616_combout ;
+wire \datamem|ram~1463_q ;
+wire \datamem|ram~1399feeder_combout ;
+wire \datamem|ram~5503_combout ;
+wire \datamem|ram~5615_combout ;
+wire \datamem|ram~1399_q ;
+wire \datamem|ram~5471_combout ;
+wire \datamem|ram~5614_combout ;
+wire \datamem|ram~1335_q ;
+wire \datamem|ram~5567_combout ;
+wire \datamem|ram~5617_combout ;
+wire \datamem|ram~1527_q ;
+wire \datamem|ram~5060_combout ;
+wire \datamem|ram~5523_combout ;
+wire \datamem|ram~5604_combout ;
+wire \datamem|ram~1415_q ;
+wire \datamem|ram~5465_combout ;
+wire \datamem|ram~5602_combout ;
+wire \datamem|ram~1287_q ;
+wire \datamem|ram~5561_combout ;
+wire \datamem|ram~5605_combout ;
+wire \datamem|ram~1479_q ;
+wire \datamem|ram~5497_combout ;
+wire \datamem|ram~5603_combout ;
+wire \datamem|ram~1351_q ;
+wire \datamem|ram~5057_combout ;
+wire \datamem|ram~5061_combout ;
+wire \datamem|ram~5459_combout ;
+wire \datamem|ram~5590_combout ;
+wire \datamem|ram~1047_q ;
+wire \datamem|ram~1175feeder_combout ;
+wire \datamem|ram~5529_combout ;
+wire \datamem|ram~5592_combout ;
+wire \datamem|ram~1175_q ;
+wire \datamem|ram~5555_combout ;
+wire \datamem|ram~5593_combout ;
+wire \datamem|ram~1239_q ;
+wire \datamem|ram~5491_combout ;
+wire \datamem|ram~5591_combout ;
+wire \datamem|ram~1111_q ;
+wire \datamem|ram~5053_combout ;
+wire \datamem|ram~5521_combout ;
+wire \datamem|ram~5588_combout ;
+wire \datamem|ram~1159_q ;
+wire \datamem|ram~5553_combout ;
+wire \datamem|ram~5589_combout ;
+wire \datamem|ram~1223_q ;
+wire \datamem|ram~5456_combout ;
+wire \datamem|ram~5586_combout ;
+wire \datamem|ram~1031_q ;
+wire \datamem|ram~5489_combout ;
+wire \datamem|ram~5587_combout ;
+wire \datamem|ram~1095_q ;
+wire \datamem|ram~5052_combout ;
+wire \datamem|ram~1127feeder_combout ;
+wire \datamem|ram~5493_combout ;
+wire \datamem|ram~5595_combout ;
+wire \datamem|ram~1127_q ;
+wire \datamem|ram~1063feeder_combout ;
+wire \datamem|ram~5461_combout ;
+wire \datamem|ram~5594_combout ;
+wire \datamem|ram~1063_q ;
+wire \datamem|ram~5537_combout ;
+wire \datamem|ram~5596_combout ;
+wire \datamem|ram~1191_q ;
+wire \datamem|ram~5557_combout ;
+wire \datamem|ram~5597_combout ;
+wire \datamem|ram~1255_q ;
+wire \datamem|ram~5054_combout ;
+wire \datamem|ram~1079feeder_combout ;
+wire \datamem|ram~5463_combout ;
+wire \datamem|ram~5598_combout ;
+wire \datamem|ram~1079_q ;
+wire \datamem|ram~1207feeder_combout ;
+wire \datamem|ram~5545_combout ;
+wire \datamem|ram~5600_combout ;
+wire \datamem|ram~1207_q ;
+wire \datamem|ram~1143feeder_combout ;
+wire \datamem|ram~5495_combout ;
+wire \datamem|ram~5599_combout ;
+wire \datamem|ram~1143_q ;
+wire \datamem|ram~5559_combout ;
+wire \datamem|ram~5601_combout ;
+wire \datamem|ram~1271_q ;
+wire \datamem|ram~5055_combout ;
+wire \datamem|ram~5056_combout ;
+wire \datamem|ram~5479_combout ;
+wire \datamem|ram~5630_combout ;
+wire \datamem|ram~1591_q ;
+wire \datamem|ram~1655feeder_combout ;
+wire \datamem|ram~5511_combout ;
+wire \datamem|ram~5631_combout ;
+wire \datamem|ram~1655_q ;
+wire \datamem|ram~1719feeder_combout ;
+wire \datamem|ram~5549_combout ;
+wire \datamem|ram~5632_combout ;
+wire \datamem|ram~1719_q ;
+wire \datamem|ram~5575_combout ;
+wire \datamem|ram~5633_combout ;
+wire \datamem|ram~1783_q ;
+wire \datamem|ram~5065_combout ;
+wire \datamem|ram~5573_combout ;
+wire \datamem|ram~5629_combout ;
+wire \datamem|ram~1767_q ;
+wire \datamem|ram~1703feeder_combout ;
+wire \datamem|ram~5541_combout ;
+wire \datamem|ram~5628_combout ;
+wire \datamem|ram~1703_q ;
+wire \datamem|ram~5477_combout ;
+wire \datamem|ram~5626_combout ;
+wire \datamem|ram~1575_q ;
+wire \datamem|ram~1639feeder_combout ;
+wire \datamem|ram~5509_combout ;
+wire \datamem|ram~5627_combout ;
+wire \datamem|ram~1639_q ;
+wire \datamem|ram~5064_combout ;
+wire \datamem|ram~1543feeder_combout ;
+wire \datamem|ram~5473_combout ;
+wire \datamem|ram~5618_combout ;
+wire \datamem|ram~1543_q ;
+wire \datamem|ram~1607feeder_combout ;
+wire \datamem|ram~5505_combout ;
+wire \datamem|ram~5619_combout ;
+wire \datamem|ram~1607_q ;
+wire \datamem|ram~5525_combout ;
+wire \datamem|ram~5620_combout ;
+wire \datamem|ram~1671_q ;
+wire \datamem|ram~5569_combout ;
+wire \datamem|ram~5621_combout ;
+wire \datamem|ram~1735_q ;
+wire \datamem|ram~5062_combout ;
+wire \datamem|ram~1623feeder_combout ;
+wire \datamem|ram~5507_combout ;
+wire \datamem|ram~5623_combout ;
+wire \datamem|ram~1623_q ;
+wire \datamem|ram~5475_combout ;
+wire \datamem|ram~5622_combout ;
+wire \datamem|ram~1559_q ;
+wire \datamem|ram~1687feeder_combout ;
+wire \datamem|ram~5533_combout ;
+wire \datamem|ram~5624_combout ;
+wire \datamem|ram~1687_q ;
+wire \datamem|ram~5571_combout ;
+wire \datamem|ram~5625_combout ;
+wire \datamem|ram~1751_q ;
+wire \datamem|ram~5063_combout ;
+wire \datamem|ram~5066_combout ;
+wire \datamem|ram~5583_combout ;
+wire \datamem|ram~5649_combout ;
+wire \datamem|ram~2039_q ;
+wire \datamem|ram~5577_combout ;
+wire \datamem|ram~5646_combout ;
+wire \datamem|ram~1991_q ;
+wire \datamem|ram~5579_combout ;
+wire \datamem|ram~5647_combout ;
+wire \datamem|ram~2007_q ;
+wire \datamem|ram~5581_combout ;
+wire \datamem|ram~5648_combout ;
+wire \datamem|ram~2023_q ;
+wire \datamem|ram~5070_combout ;
+wire \datamem|ram~1799feeder_combout ;
+wire \datamem|ram~5481_combout ;
+wire \datamem|ram~5634_combout ;
+wire \datamem|ram~1799_q ;
+wire \datamem|ram~1815feeder_combout ;
+wire \datamem|ram~5483_combout ;
+wire \datamem|ram~5635_combout ;
+wire \datamem|ram~1815_q ;
+wire \datamem|ram~1831feeder_combout ;
+wire \datamem|ram~5485_combout ;
+wire \datamem|ram~5636_combout ;
+wire \datamem|ram~1831_q ;
+wire \datamem|ram~5487_combout ;
+wire \datamem|ram~5637_combout ;
+wire \datamem|ram~1847_q ;
+wire \datamem|ram~5067_combout ;
+wire \datamem|ram~5543_combout ;
+wire \datamem|ram~5644_combout ;
+wire \datamem|ram~1959_q ;
+wire \datamem|ram~1927feeder_combout ;
+wire \datamem|ram~5527_combout ;
+wire \datamem|ram~5642_combout ;
+wire \datamem|ram~1927_q ;
+wire \datamem|ram~5535_combout ;
+wire \datamem|ram~5643_combout ;
+wire \datamem|ram~1943_q ;
+wire \datamem|ram~5551_combout ;
+wire \datamem|ram~5645_combout ;
+wire \datamem|ram~1975_q ;
+wire \datamem|ram~5069_combout ;
+wire \datamem|ram~1879feeder_combout ;
+wire \datamem|ram~5515_combout ;
+wire \datamem|ram~5639_combout ;
+wire \datamem|ram~1879_q ;
+wire \datamem|ram~1863feeder_combout ;
+wire \datamem|ram~5513_combout ;
+wire \datamem|ram~5638_combout ;
+wire \datamem|ram~1863_q ;
+wire \datamem|ram~1895feeder_combout ;
+wire \datamem|ram~5517_combout ;
+wire \datamem|ram~5640_combout ;
+wire \datamem|ram~1895_q ;
+wire \datamem|ram~5519_combout ;
+wire \datamem|ram~5641_combout ;
+wire \datamem|ram~1911_q ;
+wire \datamem|ram~5068_combout ;
+wire \datamem|ram~5071_combout ;
+wire \datamem|ram~5072_combout ;
+wire \datamem|ram~5457_combout ;
+wire \datamem|ram~5554_combout ;
+wire \datamem|ram~199_q ;
+wire \datamem|ram~5556_combout ;
+wire \datamem|ram~215_q ;
+wire \datamem|ram~247feeder_combout ;
+wire \datamem|ram~5560_combout ;
+wire \datamem|ram~247_q ;
+wire \datamem|ram~231feeder_combout ;
+wire \datamem|ram~5558_combout ;
+wire \datamem|ram~231_q ;
+wire \datamem|ram~5046_combout ;
+wire \datamem|ram~487feeder_combout ;
+wire \datamem|ram~5566_combout ;
+wire \datamem|ram~487_q ;
+wire \datamem|ram~5562_combout ;
+wire \datamem|ram~455_q ;
+wire \datamem|ram~471feeder_combout ;
+wire \datamem|ram~5564_combout ;
+wire \datamem|ram~471_q ;
+wire \datamem|ram~5568_combout ;
+wire \datamem|ram~503_q ;
+wire \datamem|ram~5047_combout ;
+wire \datamem|ram~743feeder_combout ;
+wire \datamem|ram~5574_combout ;
+wire \datamem|ram~743_q ;
+wire \datamem|ram~759feeder_combout ;
+wire \datamem|ram~5576_combout ;
+wire \datamem|ram~759_q ;
+wire \datamem|ram~5570_combout ;
+wire \datamem|ram~711_q ;
+wire \datamem|ram~5572_combout ;
+wire \datamem|ram~727_q ;
+wire \datamem|ram~5048_combout ;
+wire \datamem|ram~999feeder_combout ;
+wire \datamem|ram~5582_combout ;
+wire \datamem|ram~999_q ;
+wire \datamem|ram~5584_combout ;
+wire \datamem|ram~1015_q ;
+wire \datamem|ram~5580_combout ;
+wire \datamem|ram~983_q ;
+wire \datamem|ram~5578_combout ;
+wire \datamem|ram~967_q ;
+wire \datamem|ram~5049_combout ;
+wire \datamem|ram~5050_combout ;
+wire \datamem|ram~5522_combout ;
+wire \datamem|ram~135_q ;
+wire \datamem|ram~5526_combout ;
+wire \datamem|ram~647_q ;
+wire \datamem|ram~5524_combout ;
+wire \datamem|ram~391_q ;
+wire \datamem|ram~5528_combout ;
+wire \datamem|ram~903_q ;
+wire \datamem|ram~5041_combout ;
+wire \datamem|ram~663feeder_combout ;
+wire \datamem|ram~5534_combout ;
+wire \datamem|ram~663_q ;
+wire \datamem|ram~5530_combout ;
+wire \datamem|ram~151_q ;
+wire \datamem|ram~5532_combout ;
+wire \datamem|ram~407_q ;
+wire \datamem|ram~5536_combout ;
+wire \datamem|ram~919_q ;
+wire \datamem|ram~5042_combout ;
+wire \datamem|ram~167feeder_combout ;
+wire \datamem|ram~5538_combout ;
+wire \datamem|ram~167_q ;
+wire \datamem|ram~5540_combout ;
+wire \datamem|ram~423_q ;
+wire \datamem|ram~5542_combout ;
+wire \datamem|ram~679_q ;
+wire \datamem|ram~935feeder_combout ;
+wire \datamem|ram~5544_combout ;
+wire \datamem|ram~935_q ;
+wire \datamem|ram~5043_combout ;
+wire \datamem|ram~439feeder_combout ;
+wire \datamem|ram~5548_combout ;
+wire \datamem|ram~439_q ;
+wire \datamem|ram~183feeder_combout ;
+wire \datamem|ram~5546_combout ;
+wire \datamem|ram~183_q ;
+wire \datamem|ram~5550_combout ;
+wire \datamem|ram~695_q ;
+wire \datamem|ram~5552_combout ;
+wire \datamem|ram~951_q ;
+wire \datamem|ram~5044_combout ;
+wire \datamem|ram~5045_combout ;
+wire \datamem|ram~5490_combout ;
+wire \datamem|ram~71_q ;
+wire \datamem|ram~103feeder_combout ;
+wire \datamem|ram~5494_combout ;
+wire \datamem|ram~103_q ;
+wire \datamem|ram~119feeder_combout ;
+wire \datamem|ram~5496_combout ;
+wire \datamem|ram~119_q ;
+wire \datamem|ram~5492_combout ;
+wire \datamem|ram~87_q ;
+wire \datamem|ram~5036_combout ;
+wire \datamem|ram~839feeder_combout ;
+wire \datamem|ram~5514_combout ;
+wire \datamem|ram~839_q ;
+wire \datamem|ram~855feeder_combout ;
+wire \datamem|ram~5516_combout ;
+wire \datamem|ram~855_q ;
+wire \datamem|ram~5518_combout ;
+wire \datamem|ram~871_q ;
+wire \datamem|ram~5520_combout ;
+wire \datamem|ram~887_q ;
+wire \datamem|ram~5039_combout ;
+wire \datamem|ram~5498_combout ;
+wire \datamem|ram~327_q ;
+wire \datamem|ram~375feeder_combout ;
+wire \datamem|ram~5504_combout ;
+wire \datamem|ram~375_q ;
+wire \datamem|ram~5502_combout ;
+wire \datamem|ram~359_q ;
+wire \datamem|ram~5500_combout ;
+wire \datamem|ram~343_q ;
+wire \datamem|ram~5037_combout ;
+wire \datamem|ram~5508_combout ;
+wire \datamem|ram~599_q ;
+wire \datamem|ram~615feeder_combout ;
+wire \datamem|ram~5510_combout ;
+wire \datamem|ram~615_q ;
+wire \datamem|ram~583feeder_combout ;
+wire \datamem|ram~5506_combout ;
+wire \datamem|ram~583_q ;
+wire \datamem|ram~5512_combout ;
+wire \datamem|ram~631_q ;
+wire \datamem|ram~5038_combout ;
+wire \datamem|ram~5040_combout ;
+wire \datamem|ram~39feeder_combout ;
+wire \datamem|ram~5462_combout ;
+wire \datamem|ram~39_q ;
+wire \datamem|ram~5458_combout ;
+wire \datamem|ram~7_q ;
+wire \datamem|ram~55feeder_combout ;
+wire \datamem|ram~5464_combout ;
+wire \datamem|ram~55_q ;
+wire \datamem|ram~5460_combout ;
+wire \datamem|ram~23_q ;
+wire \datamem|ram~5031_combout ;
+wire \datamem|ram~5478_combout ;
+wire \datamem|ram~551_q ;
+wire \datamem|ram~5476_combout ;
+wire \datamem|ram~535_q ;
+wire \datamem|ram~5474_combout ;
+wire \datamem|ram~519_q ;
+wire \datamem|ram~5480_combout ;
+wire \datamem|ram~567_q ;
+wire \datamem|ram~5033_combout ;
+wire \datamem|ram~5470_combout ;
+wire \datamem|ram~295_q ;
+wire \datamem|ram~5472_combout ;
+wire \datamem|ram~311_q ;
+wire \datamem|ram~5466_combout ;
+wire \datamem|ram~263_q ;
+wire \datamem|ram~5468_combout ;
+wire \datamem|ram~279_q ;
+wire \datamem|ram~5032_combout ;
+wire \datamem|ram~791feeder_combout ;
+wire \datamem|ram~5484_combout ;
+wire \datamem|ram~791_q ;
+wire \datamem|ram~775feeder_combout ;
+wire \datamem|ram~5482_combout ;
+wire \datamem|ram~775_q ;
+wire \datamem|ram~807feeder_combout ;
+wire \datamem|ram~5486_combout ;
+wire \datamem|ram~807_q ;
+wire \datamem|ram~5488_combout ;
+wire \datamem|ram~823_q ;
+wire \datamem|ram~5034_combout ;
+wire \datamem|ram~5035_combout ;
+wire \datamem|ram~5051_combout ;
+wire \datamem|ram~5715_combout ;
+wire \datamem|ram~5773_combout ;
+wire \datamem|ram~3799_q ;
+wire \datamem|ram~3783feeder_combout ;
+wire \datamem|ram~5772_combout ;
+wire \datamem|ram~3783_q ;
+wire \datamem|ram~3815feeder_combout ;
+wire \datamem|ram~5774_combout ;
+wire \datamem|ram~3815_q ;
+wire \datamem|ram~5775_combout ;
+wire \datamem|ram~3831_q ;
+wire \datamem|ram~5111_combout ;
+wire \datamem|ram~5767_combout ;
+wire \datamem|ram~3319_q ;
+wire \datamem|ram~5765_combout ;
+wire \datamem|ram~3287_q ;
+wire \datamem|ram~5764_combout ;
+wire \datamem|ram~3271_q ;
+wire \datamem|ram~5766_combout ;
+wire \datamem|ram~3303_q ;
+wire \datamem|ram~5109_combout ;
+wire \datamem|ram~3527feeder_combout ;
+wire \datamem|ram~5768_combout ;
+wire \datamem|ram~3527_q ;
+wire \datamem|ram~3543feeder_combout ;
+wire \datamem|ram~5769_combout ;
+wire \datamem|ram~3543_q ;
+wire \datamem|ram~5770_combout ;
+wire \datamem|ram~3559_q ;
+wire \datamem|ram~5771_combout ;
+wire \datamem|ram~3575_q ;
+wire \datamem|ram~5110_combout ;
+wire \datamem|ram~4039feeder_combout ;
+wire \datamem|ram~5776_combout ;
+wire \datamem|ram~4039_q ;
+wire \datamem|ram~5778_combout ;
+wire \datamem|ram~4071_q ;
+wire \datamem|ram~5777_combout ;
+wire \datamem|ram~4055_q ;
+wire \datamem|ram~5779_combout ;
+wire \datamem|ram~4087_q ;
+wire \datamem|ram~5112_combout ;
+wire \datamem|ram~5113_combout ;
+wire \datamem|ram~5732_combout ;
+wire \datamem|ram~3143_q ;
+wire \datamem|ram~5733_combout ;
+wire \datamem|ram~3159_q ;
+wire \datamem|ram~3175feeder_combout ;
+wire \datamem|ram~5734_combout ;
+wire \datamem|ram~3175_q ;
+wire \datamem|ram~3191feeder_combout ;
+wire \datamem|ram~5735_combout ;
+wire \datamem|ram~3191_q ;
+wire \datamem|ram~5099_combout ;
+wire \datamem|ram~3431feeder_combout ;
+wire \datamem|ram~5738_combout ;
+wire \datamem|ram~3431_q ;
+wire \datamem|ram~5737_combout ;
+wire \datamem|ram~3415_q ;
+wire \datamem|ram~3399feeder_combout ;
+wire \datamem|ram~5736_combout ;
+wire \datamem|ram~3399_q ;
+wire \datamem|ram~5739_combout ;
+wire \datamem|ram~3447_q ;
+wire \datamem|ram~5100_combout ;
+wire \datamem|ram~3703feeder_combout ;
+wire \datamem|ram~5743_combout ;
+wire \datamem|ram~3703_q ;
+wire \datamem|ram~5741_combout ;
+wire \datamem|ram~3671_q ;
+wire \datamem|ram~3687feeder_combout ;
+wire \datamem|ram~5742_combout ;
+wire \datamem|ram~3687_q ;
+wire \datamem|ram~3655feeder_combout ;
+wire \datamem|ram~5740_combout ;
+wire \datamem|ram~3655_q ;
+wire \datamem|ram~5101_combout ;
+wire \datamem|ram~3927feeder_combout ;
+wire \datamem|ram~5745_combout ;
+wire \datamem|ram~3927_q ;
+wire \datamem|ram~5746_combout ;
+wire \datamem|ram~3943_q ;
+wire \datamem|ram~5744_combout ;
+wire \datamem|ram~3911_q ;
+wire \datamem|ram~5747_combout ;
+wire \datamem|ram~3959_q ;
+wire \datamem|ram~5102_combout ;
+wire \datamem|ram~5103_combout ;
+wire \datamem|ram~3239feeder_combout ;
+wire \datamem|ram~5756_combout ;
+wire \datamem|ram~3239_q ;
+wire \datamem|ram~5758_combout ;
+wire \datamem|ram~3751_q ;
+wire \datamem|ram~3495feeder_combout ;
+wire \datamem|ram~5757_combout ;
+wire \datamem|ram~3495_q ;
+wire \datamem|ram~5759_combout ;
+wire \datamem|ram~4007_q ;
+wire \datamem|ram~5106_combout ;
+wire \datamem|ram~3479feeder_combout ;
+wire \datamem|ram~5753_combout ;
+wire \datamem|ram~3479_q ;
+wire \datamem|ram~3223feeder_combout ;
+wire \datamem|ram~5752_combout ;
+wire \datamem|ram~3223_q ;
+wire \datamem|ram~3735feeder_combout ;
+wire \datamem|ram~5754_combout ;
+wire \datamem|ram~3735_q ;
+wire \datamem|ram~5755_combout ;
+wire \datamem|ram~3991_q ;
+wire \datamem|ram~5105_combout ;
+wire \datamem|ram~5760_combout ;
+wire \datamem|ram~3255_q ;
+wire \datamem|ram~3767feeder_combout ;
+wire \datamem|ram~5762_combout ;
+wire \datamem|ram~3767_q ;
+wire \datamem|ram~5761_combout ;
+wire \datamem|ram~3511_q ;
+wire \datamem|ram~5763_combout ;
+wire \datamem|ram~4023_q ;
+wire \datamem|ram~5107_combout ;
+wire \datamem|ram~3463feeder_combout ;
+wire \datamem|ram~5749_combout ;
+wire \datamem|ram~3463_q ;
+wire \datamem|ram~5748_combout ;
+wire \datamem|ram~3207_q ;
+wire \datamem|ram~5750_combout ;
+wire \datamem|ram~3719_q ;
+wire \datamem|ram~5751_combout ;
+wire \datamem|ram~3975_q ;
+wire \datamem|ram~5104_combout ;
+wire \datamem|ram~5108_combout ;
+wire \datamem|ram~3863feeder_combout ;
+wire \datamem|ram~5729_combout ;
+wire \datamem|ram~3863_q ;
+wire \datamem|ram~5730_combout ;
+wire \datamem|ram~3879_q ;
+wire \datamem|ram~5728_combout ;
+wire \datamem|ram~3847_q ;
+wire \datamem|ram~5731_combout ;
+wire \datamem|ram~3895_q ;
+wire \datamem|ram~5097_combout ;
+wire \datamem|ram~3367feeder_combout ;
+wire \datamem|ram~5722_combout ;
+wire \datamem|ram~3367_q ;
+wire \datamem|ram~5721_combout ;
+wire \datamem|ram~3351_q ;
+wire \datamem|ram~5720_combout ;
+wire \datamem|ram~3335_q ;
+wire \datamem|ram~5723_combout ;
+wire \datamem|ram~3383_q ;
+wire \datamem|ram~5095_combout ;
+wire \datamem|ram~3623feeder_combout ;
+wire \datamem|ram~5726_combout ;
+wire \datamem|ram~3623_q ;
+wire \datamem|ram~3591feeder_combout ;
+wire \datamem|ram~5724_combout ;
+wire \datamem|ram~3591_q ;
+wire \datamem|ram~3607feeder_combout ;
+wire \datamem|ram~5725_combout ;
+wire \datamem|ram~3607_q ;
+wire \datamem|ram~5727_combout ;
+wire \datamem|ram~3639_q ;
+wire \datamem|ram~5096_combout ;
+wire \datamem|ram~3111feeder_combout ;
+wire \datamem|ram~5718_combout ;
+wire \datamem|ram~3111_q ;
+wire \datamem|ram~3079feeder_combout ;
+wire \datamem|ram~5716_combout ;
+wire \datamem|ram~3079_q ;
+wire \datamem|ram~5717_combout ;
+wire \datamem|ram~3095_q ;
+wire \datamem|ram~5719_combout ;
+wire \datamem|ram~3127_q ;
+wire \datamem|ram~5094_combout ;
+wire \datamem|ram~5098_combout ;
+wire \datamem|ram~5114_combout ;
+wire \datamem|ram~5650_combout ;
+wire \datamem|ram~5681_combout ;
+wire \datamem|ram~2775_q ;
+wire \datamem|ram~5682_combout ;
+wire \datamem|ram~3031_q ;
+wire \datamem|ram~5680_combout ;
+wire \datamem|ram~2519_q ;
+wire \datamem|ram~5679_combout ;
+wire \datamem|ram~2263_q ;
+wire \datamem|ram~5081_combout ;
+wire \datamem|ram~5672_combout ;
+wire \datamem|ram~2391_q ;
+wire \datamem|ram~2903feeder_combout ;
+wire \datamem|ram~5674_combout ;
+wire \datamem|ram~2903_q ;
+wire \datamem|ram~5671_combout ;
+wire \datamem|ram~2135_q ;
+wire \datamem|ram~2647feeder_combout ;
+wire \datamem|ram~5673_combout ;
+wire \datamem|ram~2647_q ;
+wire \datamem|ram~5079_combout ;
+wire \datamem|ram~2071feeder_combout ;
+wire \datamem|ram~5667_combout ;
+wire \datamem|ram~2071_q ;
+wire \datamem|ram~5668_combout ;
+wire \datamem|ram~2327_q ;
+wire \datamem|ram~2583feeder_combout ;
+wire \datamem|ram~5669_combout ;
+wire \datamem|ram~2583_q ;
+wire \datamem|ram~5670_combout ;
+wire \datamem|ram~2839_q ;
+wire \datamem|ram~5078_combout ;
+wire \datamem|ram~2711feeder_combout ;
+wire \datamem|ram~5677_combout ;
+wire \datamem|ram~2711_q ;
+wire \datamem|ram~2455feeder_combout ;
+wire \datamem|ram~5676_combout ;
+wire \datamem|ram~2455_q ;
+wire \datamem|ram~2199feeder_combout ;
+wire \datamem|ram~5675_combout ;
+wire \datamem|ram~2199_q ;
+wire \datamem|ram~5678_combout ;
+wire \datamem|ram~2967_q ;
+wire \datamem|ram~5080_combout ;
+wire \datamem|ram~5082_combout ;
+wire \datamem|ram~2743feeder_combout ;
+wire \datamem|ram~5709_combout ;
+wire \datamem|ram~2743_q ;
+wire \datamem|ram~5708_combout ;
+wire \datamem|ram~2679_q ;
+wire \datamem|ram~2615feeder_combout ;
+wire \datamem|ram~5707_combout ;
+wire \datamem|ram~2615_q ;
+wire \datamem|ram~5710_combout ;
+wire \datamem|ram~2807_q ;
+wire \datamem|ram~5090_combout ;
+wire \datamem|ram~5699_combout ;
+wire \datamem|ram~2103_q ;
+wire \datamem|ram~5700_combout ;
+wire \datamem|ram~2167_q ;
+wire \datamem|ram~2231feeder_combout ;
+wire \datamem|ram~5701_combout ;
+wire \datamem|ram~2231_q ;
+wire \datamem|ram~5702_combout ;
+wire \datamem|ram~2295_q ;
+wire \datamem|ram~5088_combout ;
+wire \datamem|ram~2359feeder_combout ;
+wire \datamem|ram~5703_combout ;
+wire \datamem|ram~2359_q ;
+wire \datamem|ram~5704_combout ;
+wire \datamem|ram~2423_q ;
+wire \datamem|ram~2487feeder_combout ;
+wire \datamem|ram~5705_combout ;
+wire \datamem|ram~2487_q ;
+wire \datamem|ram~5706_combout ;
+wire \datamem|ram~2551_q ;
+wire \datamem|ram~5089_combout ;
+wire \datamem|ram~2999feeder_combout ;
+wire \datamem|ram~5713_combout ;
+wire \datamem|ram~2999_q ;
+wire \datamem|ram~2871feeder_combout ;
+wire \datamem|ram~5711_combout ;
+wire \datamem|ram~2871_q ;
+wire \datamem|ram~5712_combout ;
+wire \datamem|ram~2935_q ;
+wire \datamem|ram~5714_combout ;
+wire \datamem|ram~3063_q ;
+wire \datamem|ram~5091_combout ;
+wire \datamem|ram~5092_combout ;
+wire \datamem|ram~2663feeder_combout ;
+wire \datamem|ram~5689_combout ;
+wire \datamem|ram~2663_q ;
+wire \datamem|ram~2151feeder_combout ;
+wire \datamem|ram~5687_combout ;
+wire \datamem|ram~2151_q ;
+wire \datamem|ram~2407feeder_combout ;
+wire \datamem|ram~5688_combout ;
+wire \datamem|ram~2407_q ;
+wire \datamem|ram~5690_combout ;
+wire \datamem|ram~2919_q ;
+wire \datamem|ram~5084_combout ;
+wire \datamem|ram~5692_combout ;
+wire \datamem|ram~2471_q ;
+wire \datamem|ram~5693_combout ;
+wire \datamem|ram~2727_q ;
+wire \datamem|ram~2215feeder_combout ;
+wire \datamem|ram~5691_combout ;
+wire \datamem|ram~2215_q ;
+wire \datamem|ram~5694_combout ;
+wire \datamem|ram~2983_q ;
+wire \datamem|ram~5085_combout ;
+wire \datamem|ram~2599feeder_combout ;
+wire \datamem|ram~5685_combout ;
+wire \datamem|ram~2599_q ;
+wire \datamem|ram~5684_combout ;
+wire \datamem|ram~2343_q ;
+wire \datamem|ram~2087feeder_combout ;
+wire \datamem|ram~5683_combout ;
+wire \datamem|ram~2087_q ;
+wire \datamem|ram~5686_combout ;
+wire \datamem|ram~2855_q ;
+wire \datamem|ram~5083_combout ;
+wire \datamem|ram~2791feeder_combout ;
+wire \datamem|ram~5697_combout ;
+wire \datamem|ram~2791_q ;
+wire \datamem|ram~5695_combout ;
+wire \datamem|ram~2279_q ;
+wire \datamem|ram~5696_combout ;
+wire \datamem|ram~2535_q ;
+wire \datamem|ram~5698_combout ;
+wire \datamem|ram~3047_q ;
+wire \datamem|ram~5086_combout ;
+wire \datamem|ram~5087_combout ;
+wire \datamem|ram~2439feeder_combout ;
+wire \datamem|ram~5660_combout ;
+wire \datamem|ram~2439_q ;
+wire \datamem|ram~2695feeder_combout ;
+wire \datamem|ram~5661_combout ;
+wire \datamem|ram~2695_q ;
+wire \datamem|ram~2951feeder_combout ;
+wire \datamem|ram~5662_combout ;
+wire \datamem|ram~2951_q ;
+wire \datamem|ram~2183feeder_combout ;
+wire \datamem|ram~5659_combout ;
+wire \datamem|ram~2183_q ;
+wire \datamem|ram~5075_combout ;
+wire \datamem|ram~2055feeder_combout ;
+wire \datamem|ram~5651_combout ;
+wire \datamem|ram~2055_q ;
+wire \datamem|ram~5654_combout ;
+wire \datamem|ram~2823_q ;
+wire \datamem|ram~5652_combout ;
+wire \datamem|ram~2311_q ;
+wire \datamem|ram~2567feeder_combout ;
+wire \datamem|ram~5653_combout ;
+wire \datamem|ram~2567_q ;
+wire \datamem|ram~5073_combout ;
+wire \datamem|ram~5663_combout ;
+wire \datamem|ram~2247_q ;
+wire \datamem|ram~2759feeder_combout ;
+wire \datamem|ram~5665_combout ;
+wire \datamem|ram~2759_q ;
+wire \datamem|ram~5666_combout ;
+wire \datamem|ram~3015_q ;
+wire \datamem|ram~5664_combout ;
+wire \datamem|ram~2503_q ;
+wire \datamem|ram~5076_combout ;
+wire \datamem|ram~2375feeder_combout ;
+wire \datamem|ram~5656_combout ;
+wire \datamem|ram~2375_q ;
+wire \datamem|ram~2119feeder_combout ;
+wire \datamem|ram~5655_combout ;
+wire \datamem|ram~2119_q ;
+wire \datamem|ram~2631feeder_combout ;
+wire \datamem|ram~5657_combout ;
+wire \datamem|ram~2631_q ;
+wire \datamem|ram~5658_combout ;
+wire \datamem|ram~2887_q ;
+wire \datamem|ram~5074_combout ;
+wire \datamem|ram~5077_combout ;
+wire \datamem|ram~5093_combout ;
+wire \datamem|ram~5115_combout ;
+wire \reg_write_data[7]~13_combout ;
+wire \reg_file|reg_array[4][7]~q ;
+wire \reg_file|reg_array[7][7]~q ;
+wire \reg_file|reg_read_data_1[7]~20_combout ;
+wire \alu_unit|Add0~26 ;
+wire \alu_unit|Add0~29_sumout ;
+wire \alu_unit|Add1~26 ;
+wire \alu_unit|Add1~29_sumout ;
+wire \alu_unit|Mux7~0_combout ;
+wire \alu_unit|Mux7~2_combout ;
+wire \datamem|ram~2632feeder_combout ;
+wire \datamem|ram~2632_q ;
+wire \datamem|ram~2760_q ;
+wire \datamem|ram~2568feeder_combout ;
+wire \datamem|ram~2568_q ;
+wire \datamem|ram~2696feeder_combout ;
+wire \datamem|ram~2696_q ;
+wire \datamem|ram~5168_combout ;
+wire \datamem|ram~2616_q ;
+wire \datamem|ram~2680_q ;
+wire \datamem|ram~2744_q ;
+wire \datamem|ram~2808_q ;
+wire \datamem|ram~5171_combout ;
+wire \datamem|ram~2648feeder_combout ;
+wire \datamem|ram~2648_q ;
+wire \datamem|ram~2584feeder_combout ;
+wire \datamem|ram~2584_q ;
+wire \datamem|ram~2712_q ;
+wire \datamem|ram~2776_q ;
+wire \datamem|ram~5169_combout ;
+wire \datamem|ram~2600_q ;
+wire \datamem|ram~2728_q ;
+wire \datamem|ram~2664feeder_combout ;
+wire \datamem|ram~2664_q ;
+wire \datamem|ram~2792_q ;
+wire \datamem|ram~5170_combout ;
+wire \datamem|ram~5172_combout ;
+wire \datamem|ram~2328_q ;
+wire \datamem|ram~2456feeder_combout ;
+wire \datamem|ram~2456_q ;
+wire \datamem|ram~2392feeder_combout ;
+wire \datamem|ram~2392_q ;
+wire \datamem|ram~2520_q ;
+wire \datamem|ram~5164_combout ;
+wire \datamem|ram~2472feeder_combout ;
+wire \datamem|ram~2472_q ;
+wire \datamem|ram~2344_q ;
+wire \datamem|ram~2408_q ;
+wire \datamem|ram~2536_q ;
+wire \datamem|ram~5165_combout ;
+wire \datamem|ram~2312_q ;
+wire \datamem|ram~2376_q ;
+wire \datamem|ram~2440_q ;
+wire \datamem|ram~2504_q ;
+wire \datamem|ram~5163_combout ;
+wire \datamem|ram~2488_q ;
+wire \datamem|ram~2360feeder_combout ;
+wire \datamem|ram~2360_q ;
+wire \datamem|ram~2424_q ;
+wire \datamem|ram~2552_q ;
+wire \datamem|ram~5166_combout ;
+wire \datamem|ram~5167_combout ;
+wire \datamem|ram~2824_q ;
+wire \datamem|ram~2840_q ;
+wire \datamem|ram~2856_q ;
+wire \datamem|ram~2872_q ;
+wire \datamem|ram~5173_combout ;
+wire \datamem|ram~2904_q ;
+wire \datamem|ram~2888_q ;
+wire \datamem|ram~2920feeder_combout ;
+wire \datamem|ram~2920_q ;
+wire \datamem|ram~2936_q ;
+wire \datamem|ram~5174_combout ;
+wire \datamem|ram~3032_q ;
+wire \datamem|ram~3016_q ;
+wire \datamem|ram~3048feeder_combout ;
+wire \datamem|ram~3048_q ;
+wire \datamem|ram~3064_q ;
+wire \datamem|ram~5176_combout ;
+wire \datamem|ram~2984_q ;
+wire \datamem|ram~2952_q ;
+wire \datamem|ram~2968feeder_combout ;
+wire \datamem|ram~2968_q ;
+wire \datamem|ram~3000_q ;
+wire \datamem|ram~5175_combout ;
+wire \datamem|ram~5177_combout ;
+wire \datamem|ram~2200feeder_combout ;
+wire \datamem|ram~2200_q ;
+wire \datamem|ram~2136_q ;
+wire \datamem|ram~2072_q ;
+wire \datamem|ram~2264_q ;
+wire \datamem|ram~5159_combout ;
+wire \datamem|ram~2104_q ;
+wire \datamem|ram~2168_q ;
+wire \datamem|ram~2296_q ;
+wire \datamem|ram~2232feeder_combout ;
+wire \datamem|ram~2232_q ;
+wire \datamem|ram~5161_combout ;
+wire \datamem|ram~2088feeder_combout ;
+wire \datamem|ram~2088_q ;
+wire \datamem|ram~2152_q ;
+wire \datamem|ram~2216_q ;
+wire \datamem|ram~2280_q ;
+wire \datamem|ram~5160_combout ;
+wire \datamem|ram~2056_q ;
+wire \datamem|ram~2184_q ;
+wire \datamem|ram~2120_q ;
+wire \datamem|ram~2248_q ;
+wire \datamem|ram~5158_combout ;
+wire \datamem|ram~5162_combout ;
+wire \datamem|ram~5178_combout ;
+wire \datamem|ram~1816_q ;
+wire \datamem|ram~1832feeder_combout ;
+wire \datamem|ram~1832_q ;
+wire \datamem|ram~1800feeder_combout ;
+wire \datamem|ram~1800_q ;
+wire \datamem|ram~1848_q ;
+wire \datamem|ram~5140_combout ;
+wire \datamem|ram~1288_q ;
+wire \datamem|ram~1320_q ;
+wire \datamem|ram~1336_q ;
+wire \datamem|ram~1304_q ;
+wire \datamem|ram~5138_combout ;
+wire \datamem|ram~1560feeder_combout ;
+wire \datamem|ram~1560_q ;
+wire \datamem|ram~1576_q ;
+wire \datamem|ram~1544feeder_combout ;
+wire \datamem|ram~1544_q ;
+wire \datamem|ram~1592_q ;
+wire \datamem|ram~5139_combout ;
+wire \datamem|ram~1064_q ;
+wire \datamem|ram~1032_q ;
+wire \datamem|ram~1080_q ;
+wire \datamem|ram~1048_q ;
+wire \datamem|ram~5137_combout ;
+wire \datamem|ram~5141_combout ;
+wire \datamem|ram~1176feeder_combout ;
+wire \datamem|ram~1176_q ;
+wire \datamem|ram~1688feeder_combout ;
+wire \datamem|ram~1688_q ;
+wire \datamem|ram~1432_q ;
+wire \datamem|ram~1944_q ;
+wire \datamem|ram~5148_combout ;
+wire \datamem|ram~1160feeder_combout ;
+wire \datamem|ram~1160_q ;
+wire \datamem|ram~1672_q ;
+wire \datamem|ram~1416_q ;
+wire \datamem|ram~1928_q ;
+wire \datamem|ram~5147_combout ;
+wire \datamem|ram~1720_q ;
+wire \datamem|ram~1208_q ;
+wire \datamem|ram~1464_q ;
+wire \datamem|ram~1976feeder_combout ;
+wire \datamem|ram~1976_q ;
+wire \datamem|ram~5150_combout ;
+wire \datamem|ram~1448feeder_combout ;
+wire \datamem|ram~1448_q ;
+wire \datamem|ram~1704_q ;
+wire \datamem|ram~1192feeder_combout ;
+wire \datamem|ram~1192_q ;
+wire \datamem|ram~1960_q ;
+wire \datamem|ram~5149_combout ;
+wire \datamem|ram~5151_combout ;
+wire \datamem|ram~1128_q ;
+wire \datamem|ram~1112_q ;
+wire \datamem|ram~1096_q ;
+wire \datamem|ram~1144_q ;
+wire \datamem|ram~5142_combout ;
+wire \datamem|ram~1912_q ;
+wire \datamem|ram~1880_q ;
+wire \datamem|ram~1864_q ;
+wire \datamem|ram~1896_q ;
+wire \datamem|ram~5145_combout ;
+wire \datamem|ram~1400feeder_combout ;
+wire \datamem|ram~1400_q ;
+wire \datamem|ram~1384feeder_combout ;
+wire \datamem|ram~1384_q ;
+wire \datamem|ram~1352_q ;
+wire \datamem|ram~1368_q ;
+wire \datamem|ram~5143_combout ;
+wire \datamem|ram~1608feeder_combout ;
+wire \datamem|ram~1608_q ;
+wire \datamem|ram~1640feeder_combout ;
+wire \datamem|ram~1640_q ;
+wire \datamem|ram~1624_q ;
+wire \datamem|ram~1656_q ;
+wire \datamem|ram~5144_combout ;
+wire \datamem|ram~5146_combout ;
+wire \datamem|ram~1496_q ;
+wire \datamem|ram~1480_q ;
+wire \datamem|ram~1512_q ;
+wire \datamem|ram~1528_q ;
+wire \datamem|ram~5153_combout ;
+wire \datamem|ram~2008feeder_combout ;
+wire \datamem|ram~2008_q ;
+wire \datamem|ram~1992feeder_combout ;
+wire \datamem|ram~1992_q ;
+wire \datamem|ram~2024feeder_combout ;
+wire \datamem|ram~2024_q ;
+wire \datamem|ram~2040_q ;
+wire \datamem|ram~5155_combout ;
+wire \datamem|ram~1224_q ;
+wire \datamem|ram~1240_q ;
+wire \datamem|ram~1256_q ;
+wire \datamem|ram~1272_q ;
+wire \datamem|ram~5152_combout ;
+wire \datamem|ram~1768_q ;
+wire \datamem|ram~1736_q ;
+wire \datamem|ram~1752_q ;
+wire \datamem|ram~1784_q ;
+wire \datamem|ram~5154_combout ;
+wire \datamem|ram~5156_combout ;
+wire \datamem|ram~5157_combout ;
+wire \datamem|ram~440_q ;
+wire \datamem|ram~312_q ;
+wire \datamem|ram~376feeder_combout ;
+wire \datamem|ram~376_q ;
+wire \datamem|ram~504_q ;
+wire \datamem|ram~5132_combout ;
+wire \datamem|ram~56feeder_combout ;
+wire \datamem|ram~56_q ;
+wire \datamem|ram~248_q ;
+wire \datamem|ram~120feeder_combout ;
+wire \datamem|ram~120_q ;
+wire \datamem|ram~184feeder_combout ;
+wire \datamem|ram~184_q ;
+wire \datamem|ram~5131_combout ;
+wire \datamem|ram~568feeder_combout ;
+wire \datamem|ram~568_q ;
+wire \datamem|ram~632feeder_combout ;
+wire \datamem|ram~632_q ;
+wire \datamem|ram~696_q ;
+wire \datamem|ram~760_q ;
+wire \datamem|ram~5133_combout ;
+wire \datamem|ram~952_q ;
+wire \datamem|ram~824_q ;
+wire \datamem|ram~888_q ;
+wire \datamem|ram~1016_q ;
+wire \datamem|ram~5134_combout ;
+wire \datamem|ram~5135_combout ;
+wire \datamem|ram~680feeder_combout ;
+wire \datamem|ram~680_q ;
+wire \datamem|ram~168feeder_combout ;
+wire \datamem|ram~168_q ;
+wire \datamem|ram~424feeder_combout ;
+wire \datamem|ram~424_q ;
+wire \datamem|ram~936_q ;
+wire \datamem|ram~5128_combout ;
+wire \datamem|ram~808feeder_combout ;
+wire \datamem|ram~808_q ;
+wire \datamem|ram~552feeder_combout ;
+wire \datamem|ram~552_q ;
+wire \datamem|ram~296_q ;
+wire \datamem|ram~40feeder_combout ;
+wire \datamem|ram~40_q ;
+wire \datamem|ram~5126_combout ;
+wire \datamem|ram~360_q ;
+wire \datamem|ram~104feeder_combout ;
+wire \datamem|ram~104_q ;
+wire \datamem|ram~872feeder_combout ;
+wire \datamem|ram~872_q ;
+wire \datamem|ram~616_q ;
+wire \datamem|ram~5127_combout ;
+wire \datamem|ram~232_q ;
+wire \datamem|ram~744_q ;
+wire \datamem|ram~488_q ;
+wire \datamem|ram~1000_q ;
+wire \datamem|ram~5129_combout ;
+wire \datamem|ram~5130_combout ;
+wire \datamem|ram~648feeder_combout ;
+wire \datamem|ram~648_q ;
+wire \datamem|ram~136_q ;
+wire \datamem|ram~392feeder_combout ;
+wire \datamem|ram~392_q ;
+wire \datamem|ram~904_q ;
+wire \datamem|ram~5118_combout ;
+wire \datamem|ram~8_q ;
+wire \datamem|ram~520feeder_combout ;
+wire \datamem|ram~520_q ;
+wire \datamem|ram~776_q ;
+wire \datamem|ram~264_q ;
+wire \datamem|ram~5116_combout ;
+wire \datamem|ram~200_q ;
+wire \datamem|ram~712feeder_combout ;
+wire \datamem|ram~712_q ;
+wire \datamem|ram~456feeder_combout ;
+wire \datamem|ram~456_q ;
+wire \datamem|ram~968_q ;
+wire \datamem|ram~5119_combout ;
+wire \datamem|ram~72_q ;
+wire \datamem|ram~584_q ;
+wire \datamem|ram~840feeder_combout ;
+wire \datamem|ram~840_q ;
+wire \datamem|ram~328_q ;
+wire \datamem|ram~5117_combout ;
+wire \datamem|ram~5120_combout ;
+wire \datamem|ram~152_q ;
+wire \datamem|ram~664_q ;
+wire \datamem|ram~408_q ;
+wire \datamem|ram~920_q ;
+wire \datamem|ram~5123_combout ;
+wire \datamem|ram~792_q ;
+wire \datamem|ram~24feeder_combout ;
+wire \datamem|ram~24_q ;
+wire \datamem|ram~536feeder_combout ;
+wire \datamem|ram~536_q ;
+wire \datamem|ram~280_q ;
+wire \datamem|ram~5121_combout ;
+wire \datamem|ram~600feeder_combout ;
+wire \datamem|ram~600_q ;
+wire \datamem|ram~856_q ;
+wire \datamem|ram~88_q ;
+wire \datamem|ram~344_q ;
+wire \datamem|ram~5122_combout ;
+wire \datamem|ram~472_q ;
+wire \datamem|ram~216_q ;
+wire \datamem|ram~728_q ;
+wire \datamem|ram~984_q ;
+wire \datamem|ram~5124_combout ;
+wire \datamem|ram~5125_combout ;
+wire \datamem|ram~5136_combout ;
+wire \datamem|ram~3752_q ;
+wire \datamem|ram~3496_q ;
+wire \datamem|ram~3240feeder_combout ;
+wire \datamem|ram~3240_q ;
+wire \datamem|ram~4008_q ;
+wire \datamem|ram~5191_combout ;
+wire \datamem|ram~3304feeder_combout ;
+wire \datamem|ram~3304_q ;
+wire \datamem|ram~3560feeder_combout ;
+wire \datamem|ram~3560_q ;
+wire \datamem|ram~3816_q ;
+wire \datamem|ram~4072_q ;
+wire \datamem|ram~5192_combout ;
+wire \datamem|ram~3688_q ;
+wire \datamem|ram~3432feeder_combout ;
+wire \datamem|ram~3432_q ;
+wire \datamem|ram~3176_q ;
+wire \datamem|ram~3944_q ;
+wire \datamem|ram~5190_combout ;
+wire \datamem|ram~3624_q ;
+wire \datamem|ram~3112feeder_combout ;
+wire \datamem|ram~3112_q ;
+wire \datamem|ram~3368_q ;
+wire \datamem|ram~3880_q ;
+wire \datamem|ram~5189_combout ;
+wire \datamem|ram~5193_combout ;
+wire \datamem|ram~3736_q ;
+wire \datamem|ram~3224_q ;
+wire \datamem|ram~3480_q ;
+wire \datamem|ram~3992_q ;
+wire \datamem|ram~5186_combout ;
+wire \datamem|ram~3800_q ;
+wire \datamem|ram~3544_q ;
+wire \datamem|ram~3288_q ;
+wire \datamem|ram~4056_q ;
+wire \datamem|ram~5187_combout ;
+wire \datamem|ram~3672_q ;
+wire \datamem|ram~3160_q ;
+wire \datamem|ram~3416_q ;
+wire \datamem|ram~3928_q ;
+wire \datamem|ram~5185_combout ;
+wire \datamem|ram~3608_q ;
+wire \datamem|ram~3352feeder_combout ;
+wire \datamem|ram~3352_q ;
+wire \datamem|ram~3096feeder_combout ;
+wire \datamem|ram~3096_q ;
+wire \datamem|ram~3864_q ;
+wire \datamem|ram~5184_combout ;
+wire \datamem|ram~5188_combout ;
+wire \datamem|ram~3128_q ;
+wire \datamem|ram~3256feeder_combout ;
+wire \datamem|ram~3256_q ;
+wire \datamem|ram~3192_q ;
+wire \datamem|ram~3320_q ;
+wire \datamem|ram~5194_combout ;
+wire \datamem|ram~3704_q ;
+wire \datamem|ram~3640_q ;
+wire \datamem|ram~3768feeder_combout ;
+wire \datamem|ram~3768_q ;
+wire \datamem|ram~3832_q ;
+wire \datamem|ram~5196_combout ;
+wire \datamem|ram~3896_q ;
+wire \datamem|ram~4088_q ;
+wire \datamem|ram~3960_q ;
+wire \datamem|ram~4024_q ;
+wire \datamem|ram~5197_combout ;
+wire \datamem|ram~3384_q ;
+wire \datamem|ram~3448feeder_combout ;
+wire \datamem|ram~3448_q ;
+wire \datamem|ram~3512feeder_combout ;
+wire \datamem|ram~3512_q ;
+wire \datamem|ram~3576_q ;
+wire \datamem|ram~5195_combout ;
+wire \datamem|ram~5198_combout ;
+wire \datamem|ram~3272_q ;
+wire \datamem|ram~3784_q ;
+wire \datamem|ram~3528feeder_combout ;
+wire \datamem|ram~3528_q ;
+wire \datamem|ram~4040_q ;
+wire \datamem|ram~5182_combout ;
+wire \datamem|ram~3592feeder_combout ;
+wire \datamem|ram~3592_q ;
+wire \datamem|ram~3336_q ;
+wire \datamem|ram~3080feeder_combout ;
+wire \datamem|ram~3080_q ;
+wire \datamem|ram~3848_q ;
+wire \datamem|ram~5179_combout ;
+wire \datamem|ram~3208feeder_combout ;
+wire \datamem|ram~3208_q ;
+wire \datamem|ram~3464_q ;
+wire \datamem|ram~3720_q ;
+wire \datamem|ram~3976_q ;
+wire \datamem|ram~5181_combout ;
+wire \datamem|ram~3144_q ;
+wire \datamem|ram~3656_q ;
+wire \datamem|ram~3400_q ;
+wire \datamem|ram~3912_q ;
+wire \datamem|ram~5180_combout ;
+wire \datamem|ram~5183_combout ;
+wire \datamem|ram~5199_combout ;
+wire \datamem|ram~5200_combout ;
+wire \reg_write_data[8]~14_combout ;
+wire \reg_file|reg_array[0][8]~q ;
+wire \reg_file|reg_array[2][8]~q ;
+wire \reg_file|reg_array[1][8]~q ;
+wire \reg_file|reg_read_data_2[8]~8_combout ;
+wire \read_data2[8]~8_combout ;
+wire \alu_unit|Add1~30 ;
+wire \alu_unit|Add1~33_sumout ;
+wire \alu_unit|Add0~30 ;
+wire \alu_unit|Add0~33_sumout ;
+wire \alu_unit|Mux6~2_combout ;
+wire \alu_unit|Mux6~5_combout ;
+wire \alu_unit|shifter_left|st2[5]~6_combout ;
+wire \alu_unit|shifter_left|st2[1]~1_combout ;
+wire \alu_unit|shifter_left|st2[9]~10_combout ;
+wire \alu_unit|Mux6~4_combout ;
+wire \alu_unit|shifter_right|st2[2]~2_combout ;
+wire \alu_unit|Mult0~17 ;
+wire \alu_unit|Mux6~6_combout ;
+wire \alu_unit|Mux6~7_combout ;
+wire \datamem|ram~3417feeder_combout ;
+wire \datamem|ram~3417_q ;
+wire \datamem|ram~3353feeder_combout ;
+wire \datamem|ram~3353_q ;
+wire \datamem|ram~3481_q ;
+wire \datamem|ram~3545_q ;
+wire \datamem|ram~4590_combout ;
+wire \datamem|ram~3369feeder_combout ;
+wire \datamem|ram~3369_q ;
+wire \datamem|ram~3433_q ;
+wire \datamem|ram~3497_q ;
+wire \datamem|ram~3561_q ;
+wire \datamem|ram~4591_combout ;
+wire \datamem|ram~3401_q ;
+wire \datamem|ram~3465feeder_combout ;
+wire \datamem|ram~3465_q ;
+wire \datamem|ram~3337feeder_combout ;
+wire \datamem|ram~3337_q ;
+wire \datamem|ram~3529_q ;
+wire \datamem|ram~4589_combout ;
+wire \datamem|ram~3513_q ;
+wire \datamem|ram~3385_q ;
+wire \datamem|ram~3449feeder_combout ;
+wire \datamem|ram~3449_q ;
+wire \datamem|ram~3577_q ;
+wire \datamem|ram~4592_combout ;
+wire \datamem|ram~4593_combout ;
+wire \datamem|ram~3257feeder_combout ;
+wire \datamem|ram~3257_q ;
+wire \datamem|ram~3193feeder_combout ;
+wire \datamem|ram~3193_q ;
+wire \datamem|ram~3129feeder_combout ;
+wire \datamem|ram~3129_q ;
+wire \datamem|ram~3321_q ;
+wire \datamem|ram~4587_combout ;
+wire \datamem|ram~3081feeder_combout ;
+wire \datamem|ram~3081_q ;
+wire \datamem|ram~3145feeder_combout ;
+wire \datamem|ram~3145_q ;
+wire \datamem|ram~3209feeder_combout ;
+wire \datamem|ram~3209_q ;
+wire \datamem|ram~3273_q ;
+wire \datamem|ram~4584_combout ;
+wire \datamem|ram~3097feeder_combout ;
+wire \datamem|ram~3097_q ;
+wire \datamem|ram~3161_q ;
+wire \datamem|ram~3225_q ;
+wire \datamem|ram~3289_q ;
+wire \datamem|ram~4585_combout ;
+wire \datamem|ram~3241_q ;
+wire \datamem|ram~3113feeder_combout ;
+wire \datamem|ram~3113_q ;
+wire \datamem|ram~3177feeder_combout ;
+wire \datamem|ram~3177_q ;
+wire \datamem|ram~3305_q ;
+wire \datamem|ram~4586_combout ;
+wire \datamem|ram~4588_combout ;
+wire \datamem|ram~3945_q ;
+wire \datamem|ram~3913feeder_combout ;
+wire \datamem|ram~3913_q ;
+wire \datamem|ram~3929_q ;
+wire \datamem|ram~3961_q ;
+wire \datamem|ram~4600_combout ;
+wire \datamem|ram~4057_q ;
+wire \datamem|ram~4089feeder_combout ;
+wire \datamem|ram~4089_q ;
+wire \datamem|ram~4041feeder_combout ;
+wire \datamem|ram~4041_q ;
+wire \datamem|ram~4073_q ;
+wire \datamem|ram~4602_combout ;
+wire \datamem|ram~3993_q ;
+wire \datamem|ram~4009_q ;
+wire \datamem|ram~3977feeder_combout ;
+wire \datamem|ram~3977_q ;
+wire \datamem|ram~4025_q ;
+wire \datamem|ram~4601_combout ;
+wire \datamem|ram~3881_q ;
+wire \datamem|ram~3849feeder_combout ;
+wire \datamem|ram~3849_q ;
+wire \datamem|ram~3865feeder_combout ;
+wire \datamem|ram~3865_q ;
+wire \datamem|ram~3897_q ;
+wire \datamem|ram~4599_combout ;
+wire \datamem|ram~4603_combout ;
+wire \datamem|ram~3609_q ;
+wire \datamem|ram~3737_q ;
+wire \datamem|ram~3673feeder_combout ;
+wire \datamem|ram~3673_q ;
+wire \datamem|ram~3801_q ;
+wire \datamem|ram~4595_combout ;
+wire \datamem|ram~3705feeder_combout ;
+wire \datamem|ram~3705_q ;
+wire \datamem|ram~3641_q ;
+wire \datamem|ram~3833_q ;
+wire \datamem|ram~3769feeder_combout ;
+wire \datamem|ram~3769_q ;
+wire \datamem|ram~4597_combout ;
+wire \datamem|ram~3689feeder_combout ;
+wire \datamem|ram~3689_q ;
+wire \datamem|ram~3753feeder_combout ;
+wire \datamem|ram~3753_q ;
+wire \datamem|ram~3625_q ;
+wire \datamem|ram~3817_q ;
+wire \datamem|ram~4596_combout ;
+wire \datamem|ram~3657feeder_combout ;
+wire \datamem|ram~3657_q ;
+wire \datamem|ram~3721feeder_combout ;
+wire \datamem|ram~3721_q ;
+wire \datamem|ram~3593feeder_combout ;
+wire \datamem|ram~3593_q ;
+wire \datamem|ram~3785_q ;
+wire \datamem|ram~4594_combout ;
+wire \datamem|ram~4598_combout ;
+wire \datamem|ram~4604_combout ;
+wire \datamem|ram~425_q ;
+wire \datamem|ram~297feeder_combout ;
+wire \datamem|ram~297_q ;
+wire \datamem|ram~361_q ;
+wire \datamem|ram~489_q ;
+wire \datamem|ram~4528_combout ;
+wire \datamem|ram~265feeder_combout ;
+wire \datamem|ram~265_q ;
+wire \datamem|ram~457_q ;
+wire \datamem|ram~393_q ;
+wire \datamem|ram~329_q ;
+wire \datamem|ram~4526_combout ;
+wire \datamem|ram~281feeder_combout ;
+wire \datamem|ram~281_q ;
+wire \datamem|ram~473feeder_combout ;
+wire \datamem|ram~473_q ;
+wire \datamem|ram~409_q ;
+wire \datamem|ram~345_q ;
+wire \datamem|ram~4527_combout ;
+wire \datamem|ram~313_q ;
+wire \datamem|ram~441_q ;
+wire \datamem|ram~377feeder_combout ;
+wire \datamem|ram~377_q ;
+wire \datamem|ram~505_q ;
+wire \datamem|ram~4529_combout ;
+wire \datamem|ram~4530_combout ;
+wire \datamem|ram~1001_q ;
+wire \datamem|ram~985_q ;
+wire \datamem|ram~969feeder_combout ;
+wire \datamem|ram~969_q ;
+wire \datamem|ram~1017_q ;
+wire \datamem|ram~4539_combout ;
+wire \datamem|ram~921_q ;
+wire \datamem|ram~905_q ;
+wire \datamem|ram~937_q ;
+wire \datamem|ram~953_q ;
+wire \datamem|ram~4538_combout ;
+wire \datamem|ram~841feeder_combout ;
+wire \datamem|ram~841_q ;
+wire \datamem|ram~857_q ;
+wire \datamem|ram~873_q ;
+wire \datamem|ram~889_q ;
+wire \datamem|ram~4537_combout ;
+wire \datamem|ram~809_q ;
+wire \datamem|ram~777feeder_combout ;
+wire \datamem|ram~777_q ;
+wire \datamem|ram~793_q ;
+wire \datamem|ram~825_q ;
+wire \datamem|ram~4536_combout ;
+wire \datamem|ram~4540_combout ;
+wire \datamem|ram~57feeder_combout ;
+wire \datamem|ram~57_q ;
+wire \datamem|ram~185_q ;
+wire \datamem|ram~121_q ;
+wire \datamem|ram~249_q ;
+wire \datamem|ram~4524_combout ;
+wire \datamem|ram~41feeder_combout ;
+wire \datamem|ram~41_q ;
+wire \datamem|ram~105feeder_combout ;
+wire \datamem|ram~105_q ;
+wire \datamem|ram~169feeder_combout ;
+wire \datamem|ram~169_q ;
+wire \datamem|ram~233_q ;
+wire \datamem|ram~4523_combout ;
+wire \datamem|ram~153_q ;
+wire \datamem|ram~25feeder_combout ;
+wire \datamem|ram~25_q ;
+wire \datamem|ram~217_q ;
+wire \datamem|ram~89_q ;
+wire \datamem|ram~4522_combout ;
+wire \datamem|ram~137feeder_combout ;
+wire \datamem|ram~137_q ;
+wire \datamem|ram~201feeder_combout ;
+wire \datamem|ram~201_q ;
+wire \datamem|ram~9feeder_combout ;
+wire \datamem|ram~9_q ;
+wire \datamem|ram~73_q ;
+wire \datamem|ram~4521_combout ;
+wire \datamem|ram~4525_combout ;
+wire \datamem|ram~569feeder_combout ;
+wire \datamem|ram~569_q ;
+wire \datamem|ram~633feeder_combout ;
+wire \datamem|ram~633_q ;
+wire \datamem|ram~697feeder_combout ;
+wire \datamem|ram~697_q ;
+wire \datamem|ram~761_q ;
+wire \datamem|ram~4534_combout ;
+wire \datamem|ram~553_q ;
+wire \datamem|ram~681_q ;
+wire \datamem|ram~617feeder_combout ;
+wire \datamem|ram~617_q ;
+wire \datamem|ram~745_q ;
+wire \datamem|ram~4533_combout ;
+wire \datamem|ram~521feeder_combout ;
+wire \datamem|ram~521_q ;
+wire \datamem|ram~585feeder_combout ;
+wire \datamem|ram~585_q ;
+wire \datamem|ram~649_q ;
+wire \datamem|ram~713_q ;
+wire \datamem|ram~4531_combout ;
+wire \datamem|ram~601_q ;
+wire \datamem|ram~537feeder_combout ;
+wire \datamem|ram~537_q ;
+wire \datamem|ram~665feeder_combout ;
+wire \datamem|ram~665_q ;
+wire \datamem|ram~729_q ;
+wire \datamem|ram~4532_combout ;
+wire \datamem|ram~4535_combout ;
+wire \datamem|ram~4541_combout ;
+wire \datamem|ram~1321feeder_combout ;
+wire \datamem|ram~1321_q ;
+wire \datamem|ram~1577_q ;
+wire \datamem|ram~1065feeder_combout ;
+wire \datamem|ram~1065_q ;
+wire \datamem|ram~1833_q ;
+wire \datamem|ram~4552_combout ;
+wire \datamem|ram~1641_q ;
+wire \datamem|ram~1385_q ;
+wire \datamem|ram~1129feeder_combout ;
+wire \datamem|ram~1129_q ;
+wire \datamem|ram~1897_q ;
+wire \datamem|ram~4553_combout ;
+wire \datamem|ram~1449_q ;
+wire \datamem|ram~1705_q ;
+wire \datamem|ram~1193_q ;
+wire \datamem|ram~1961_q ;
+wire \datamem|ram~4554_combout ;
+wire \datamem|ram~1257_q ;
+wire \datamem|ram~1513_q ;
+wire \datamem|ram~1769_q ;
+wire \datamem|ram~2025_q ;
+wire \datamem|ram~4555_combout ;
+wire \datamem|ram~4556_combout ;
+wire \datamem|ram~1673_q ;
+wire \datamem|ram~1417feeder_combout ;
+wire \datamem|ram~1417_q ;
+wire \datamem|ram~1161feeder_combout ;
+wire \datamem|ram~1161_q ;
+wire \datamem|ram~1929feeder_combout ;
+wire \datamem|ram~1929_q ;
+wire \datamem|ram~4544_combout ;
+wire \datamem|ram~1481feeder_combout ;
+wire \datamem|ram~1481_q ;
+wire \datamem|ram~1737feeder_combout ;
+wire \datamem|ram~1737_q ;
+wire \datamem|ram~1225feeder_combout ;
+wire \datamem|ram~1225_q ;
+wire \datamem|ram~1993_q ;
+wire \datamem|ram~4545_combout ;
+wire \datamem|ram~1033_q ;
+wire \datamem|ram~1801feeder_combout ;
+wire \datamem|ram~1801_q ;
+wire \datamem|ram~1545feeder_combout ;
+wire \datamem|ram~1545_q ;
+wire \datamem|ram~1289_q ;
+wire \datamem|ram~4542_combout ;
+wire \datamem|ram~1097_q ;
+wire \datamem|ram~1609_q ;
+wire \datamem|ram~1865_q ;
+wire \datamem|ram~1353_q ;
+wire \datamem|ram~4543_combout ;
+wire \datamem|ram~4546_combout ;
+wire \datamem|ram~1689_q ;
+wire \datamem|ram~1177_q ;
+wire \datamem|ram~1433_q ;
+wire \datamem|ram~1945_q ;
+wire \datamem|ram~4549_combout ;
+wire \datamem|ram~1753_q ;
+wire \datamem|ram~1497_q ;
+wire \datamem|ram~1241_q ;
+wire \datamem|ram~2009_q ;
+wire \datamem|ram~4550_combout ;
+wire \datamem|ram~1881feeder_combout ;
+wire \datamem|ram~1881_q ;
+wire \datamem|ram~1113feeder_combout ;
+wire \datamem|ram~1113_q ;
+wire \datamem|ram~1625feeder_combout ;
+wire \datamem|ram~1625_q ;
+wire \datamem|ram~1369_q ;
+wire \datamem|ram~4548_combout ;
+wire \datamem|ram~1561feeder_combout ;
+wire \datamem|ram~1561_q ;
+wire \datamem|ram~1817_q ;
+wire \datamem|ram~1049_q ;
+wire \datamem|ram~1305_q ;
+wire \datamem|ram~4547_combout ;
+wire \datamem|ram~4551_combout ;
+wire \datamem|ram~1401feeder_combout ;
+wire \datamem|ram~1401_q ;
+wire \datamem|ram~1337_q ;
+wire \datamem|ram~1465feeder_combout ;
+wire \datamem|ram~1465_q ;
+wire \datamem|ram~1529_q ;
+wire \datamem|ram~4558_combout ;
+wire \datamem|ram~1145feeder_combout ;
+wire \datamem|ram~1145_q ;
+wire \datamem|ram~1081_q ;
+wire \datamem|ram~1209_q ;
+wire \datamem|ram~1273_q ;
+wire \datamem|ram~4557_combout ;
+wire \datamem|ram~1977_q ;
+wire \datamem|ram~1849_q ;
+wire \datamem|ram~1913_q ;
+wire \datamem|ram~2041_q ;
+wire \datamem|ram~4560_combout ;
+wire \datamem|ram~1721_q ;
+wire \datamem|ram~1593_q ;
+wire \datamem|ram~1657_q ;
+wire \datamem|ram~1785_q ;
+wire \datamem|ram~4559_combout ;
+wire \datamem|ram~4561_combout ;
+wire \datamem|ram~4562_combout ;
+wire \datamem|ram~3017feeder_combout ;
+wire \datamem|ram~3017_q ;
+wire \datamem|ram~3049_q ;
+wire \datamem|ram~3033_q ;
+wire \datamem|ram~3065_q ;
+wire \datamem|ram~4581_combout ;
+wire \datamem|ram~2521_q ;
+wire \datamem|ram~2537_q ;
+wire \datamem|ram~2505feeder_combout ;
+wire \datamem|ram~2505_q ;
+wire \datamem|ram~2553_q ;
+wire \datamem|ram~4579_combout ;
+wire \datamem|ram~2297_q ;
+wire \datamem|ram~2249_q ;
+wire \datamem|ram~2265_q ;
+wire \datamem|ram~2281_q ;
+wire \datamem|ram~4578_combout ;
+wire \datamem|ram~2793feeder_combout ;
+wire \datamem|ram~2793_q ;
+wire \datamem|ram~2777_q ;
+wire \datamem|ram~2761_q ;
+wire \datamem|ram~2809_q ;
+wire \datamem|ram~4580_combout ;
+wire \datamem|ram~4582_combout ;
+wire \datamem|ram~2953_q ;
+wire \datamem|ram~2441_q ;
+wire \datamem|ram~2185feeder_combout ;
+wire \datamem|ram~2185_q ;
+wire \datamem|ram~2697_q ;
+wire \datamem|ram~4573_combout ;
+wire \datamem|ram~2489feeder_combout ;
+wire \datamem|ram~2489_q ;
+wire \datamem|ram~2233_q ;
+wire \datamem|ram~3001_q ;
+wire \datamem|ram~2745_q ;
+wire \datamem|ram~4576_combout ;
+wire \datamem|ram~2473_q ;
+wire \datamem|ram~2985_q ;
+wire \datamem|ram~2217feeder_combout ;
+wire \datamem|ram~2217_q ;
+wire \datamem|ram~2729_q ;
+wire \datamem|ram~4575_combout ;
+wire \datamem|ram~2457_q ;
+wire \datamem|ram~2713_q ;
+wire \datamem|ram~2201_q ;
+wire \datamem|ram~2969_q ;
+wire \datamem|ram~4574_combout ;
+wire \datamem|ram~4577_combout ;
+wire \datamem|ram~2313_q ;
+wire \datamem|ram~2345_q ;
+wire \datamem|ram~2329_q ;
+wire \datamem|ram~2361_q ;
+wire \datamem|ram~4564_combout ;
+wire \datamem|ram~2057_q ;
+wire \datamem|ram~2089_q ;
+wire \datamem|ram~2073_q ;
+wire \datamem|ram~2105_q ;
+wire \datamem|ram~4563_combout ;
+wire \datamem|ram~2841_q ;
+wire \datamem|ram~2857_q ;
+wire \datamem|ram~2825_q ;
+wire \datamem|ram~2873_q ;
+wire \datamem|ram~4566_combout ;
+wire \datamem|ram~2569feeder_combout ;
+wire \datamem|ram~2569_q ;
+wire \datamem|ram~2585_q ;
+wire \datamem|ram~2601_q ;
+wire \datamem|ram~2617_q ;
+wire \datamem|ram~4565_combout ;
+wire \datamem|ram~4567_combout ;
+wire \datamem|ram~2889_q ;
+wire \datamem|ram~2905_q ;
+wire \datamem|ram~2921_q ;
+wire \datamem|ram~2937_q ;
+wire \datamem|ram~4571_combout ;
+wire \datamem|ram~2377_q ;
+wire \datamem|ram~2393_q ;
+wire \datamem|ram~2409_q ;
+wire \datamem|ram~2425_q ;
+wire \datamem|ram~4569_combout ;
+wire \datamem|ram~2121_q ;
+wire \datamem|ram~2153feeder_combout ;
+wire \datamem|ram~2153_q ;
+wire \datamem|ram~2137_q ;
+wire \datamem|ram~2169_q ;
+wire \datamem|ram~4568_combout ;
+wire \datamem|ram~2649_q ;
+wire \datamem|ram~2665feeder_combout ;
+wire \datamem|ram~2665_q ;
+wire \datamem|ram~2633_q ;
+wire \datamem|ram~2681_q ;
+wire \datamem|ram~4570_combout ;
+wire \datamem|ram~4572_combout ;
+wire \datamem|ram~4583_combout ;
+wire \datamem|ram~4605_combout ;
+wire \reg_write_data[9]~7_combout ;
+wire \reg_file|reg_array[3][9]~feeder_combout ;
+wire \reg_file|reg_array[3][9]~q ;
+wire \reg_file|reg_array[4][9]~q ;
+wire \reg_file|reg_array[7][9]~feeder_combout ;
+wire \reg_file|reg_array[7][9]~q ;
+wire \reg_file|reg_read_data_1[9]~8_combout ;
+wire \alu_unit|Add1~34 ;
+wire \alu_unit|Add1~37_sumout ;
+wire \alu_unit|Add0~34 ;
+wire \alu_unit|Add0~37_sumout ;
+wire \alu_unit|Mux5~0_combout ;
+wire \alu_unit|shifter_right|st2[5]~3_combout ;
+wire \alu_unit|shifter_left|st2[10]~11_combout ;
+wire \alu_unit|Mux5~2_combout ;
+wire \alu_unit|Mult0~18 ;
+wire \alu_unit|Mux5~1_combout ;
+wire \alu_unit|Mux5~4_combout ;
+wire \alu_unit|Mux5~3_combout ;
+wire \datamem|ram~4058feeder_combout ;
+wire \datamem|ram~4058_q ;
+wire \datamem|ram~4042_q ;
+wire \datamem|ram~4090_q ;
+wire \datamem|ram~4074_q ;
+wire \datamem|ram~4687_combout ;
+wire \datamem|ram~3786feeder_combout ;
+wire \datamem|ram~3786_q ;
+wire \datamem|ram~3818feeder_combout ;
+wire \datamem|ram~3818_q ;
+wire \datamem|ram~3802feeder_combout ;
+wire \datamem|ram~3802_q ;
+wire \datamem|ram~3834_q ;
+wire \datamem|ram~4686_combout ;
+wire \datamem|ram~3290feeder_combout ;
+wire \datamem|ram~3290_q ;
+wire \datamem|ram~3274_q ;
+wire \datamem|ram~3306feeder_combout ;
+wire \datamem|ram~3306_q ;
+wire \datamem|ram~3322_q ;
+wire \datamem|ram~4684_combout ;
+wire \datamem|ram~3530feeder_combout ;
+wire \datamem|ram~3530_q ;
+wire \datamem|ram~3546feeder_combout ;
+wire \datamem|ram~3546_q ;
+wire \datamem|ram~3562_q ;
+wire \datamem|ram~3578_q ;
+wire \datamem|ram~4685_combout ;
+wire \datamem|ram~4688_combout ;
+wire \datamem|ram~3914feeder_combout ;
+wire \datamem|ram~3914_q ;
+wire \datamem|ram~3930_q ;
+wire \datamem|ram~3946feeder_combout ;
+wire \datamem|ram~3946_q ;
+wire \datamem|ram~3962_q ;
+wire \datamem|ram~4677_combout ;
+wire \datamem|ram~3434_q ;
+wire \datamem|ram~3418feeder_combout ;
+wire \datamem|ram~3418_q ;
+wire \datamem|ram~3402_q ;
+wire \datamem|ram~3450_q ;
+wire \datamem|ram~4675_combout ;
+wire \datamem|ram~3178_q ;
+wire \datamem|ram~3146_q ;
+wire \datamem|ram~3162feeder_combout ;
+wire \datamem|ram~3162_q ;
+wire \datamem|ram~3194_q ;
+wire \datamem|ram~4674_combout ;
+wire \datamem|ram~3674feeder_combout ;
+wire \datamem|ram~3674_q ;
+wire \datamem|ram~3690feeder_combout ;
+wire \datamem|ram~3690_q ;
+wire \datamem|ram~3658_q ;
+wire \datamem|ram~3706_q ;
+wire \datamem|ram~4676_combout ;
+wire \datamem|ram~4678_combout ;
+wire \datamem|ram~3338feeder_combout ;
+wire \datamem|ram~3338_q ;
+wire \datamem|ram~3370feeder_combout ;
+wire \datamem|ram~3370_q ;
+wire \datamem|ram~3354feeder_combout ;
+wire \datamem|ram~3354_q ;
+wire \datamem|ram~3386_q ;
+wire \datamem|ram~4670_combout ;
+wire \datamem|ram~3098feeder_combout ;
+wire \datamem|ram~3098_q ;
+wire \datamem|ram~3082_q ;
+wire \datamem|ram~3114_q ;
+wire \datamem|ram~3130_q ;
+wire \datamem|ram~4669_combout ;
+wire \datamem|ram~3898_q ;
+wire \datamem|ram~3866feeder_combout ;
+wire \datamem|ram~3866_q ;
+wire \datamem|ram~3850feeder_combout ;
+wire \datamem|ram~3850_q ;
+wire \datamem|ram~3882_q ;
+wire \datamem|ram~4672_combout ;
+wire \datamem|ram~3626feeder_combout ;
+wire \datamem|ram~3626_q ;
+wire \datamem|ram~3610_q ;
+wire \datamem|ram~3594feeder_combout ;
+wire \datamem|ram~3594_q ;
+wire \datamem|ram~3642_q ;
+wire \datamem|ram~4671_combout ;
+wire \datamem|ram~4673_combout ;
+wire \datamem|ram~3770feeder_combout ;
+wire \datamem|ram~3770_q ;
+wire \datamem|ram~3514feeder_combout ;
+wire \datamem|ram~3514_q ;
+wire \datamem|ram~3258feeder_combout ;
+wire \datamem|ram~3258_q ;
+wire \datamem|ram~4026_q ;
+wire \datamem|ram~4682_combout ;
+wire \datamem|ram~3482_q ;
+wire \datamem|ram~3226feeder_combout ;
+wire \datamem|ram~3226_q ;
+wire \datamem|ram~3738feeder_combout ;
+wire \datamem|ram~3738_q ;
+wire \datamem|ram~3994_q ;
+wire \datamem|ram~4680_combout ;
+wire \datamem|ram~3754feeder_combout ;
+wire \datamem|ram~3754_q ;
+wire \datamem|ram~3498_q ;
+wire \datamem|ram~3242_q ;
+wire \datamem|ram~4010_q ;
+wire \datamem|ram~4681_combout ;
+wire \datamem|ram~3466_q ;
+wire \datamem|ram~3210_q ;
+wire \datamem|ram~3722_q ;
+wire \datamem|ram~3978_q ;
+wire \datamem|ram~4679_combout ;
+wire \datamem|ram~4683_combout ;
+wire \datamem|ram~4689_combout ;
+wire \datamem|ram~2794_q ;
+wire \datamem|ram~2538_q ;
+wire \datamem|ram~2282feeder_combout ;
+wire \datamem|ram~2282_q ;
+wire \datamem|ram~3050feeder_combout ;
+wire \datamem|ram~3050_q ;
+wire \datamem|ram~4661_combout ;
+wire \datamem|ram~2666_q ;
+wire \datamem|ram~2154feeder_combout ;
+wire \datamem|ram~2154_q ;
+wire \datamem|ram~2410_q ;
+wire \datamem|ram~2922_q ;
+wire \datamem|ram~4659_combout ;
+wire \datamem|ram~2090_q ;
+wire \datamem|ram~2346_q ;
+wire \datamem|ram~2602_q ;
+wire \datamem|ram~2858_q ;
+wire \datamem|ram~4658_combout ;
+wire \datamem|ram~2218feeder_combout ;
+wire \datamem|ram~2218_q ;
+wire \datamem|ram~2474_q ;
+wire \datamem|ram~2730_q ;
+wire \datamem|ram~2986_q ;
+wire \datamem|ram~4660_combout ;
+wire \datamem|ram~4662_combout ;
+wire \datamem|ram~2186feeder_combout ;
+wire \datamem|ram~2186_q ;
+wire \datamem|ram~2698feeder_combout ;
+wire \datamem|ram~2698_q ;
+wire \datamem|ram~2442_q ;
+wire \datamem|ram~2954_q ;
+wire \datamem|ram~4650_combout ;
+wire \datamem|ram~2250feeder_combout ;
+wire \datamem|ram~2250_q ;
+wire \datamem|ram~2506_q ;
+wire \datamem|ram~2762_q ;
+wire \datamem|ram~3018_q ;
+wire \datamem|ram~4651_combout ;
+wire \datamem|ram~2570_q ;
+wire \datamem|ram~2058_q ;
+wire \datamem|ram~2314_q ;
+wire \datamem|ram~2826_q ;
+wire \datamem|ram~4648_combout ;
+wire \datamem|ram~2634_q ;
+wire \datamem|ram~2378_q ;
+wire \datamem|ram~2122_q ;
+wire \datamem|ram~2890_q ;
+wire \datamem|ram~4649_combout ;
+wire \datamem|ram~4652_combout ;
+wire \datamem|ram~2682feeder_combout ;
+wire \datamem|ram~2682_q ;
+wire \datamem|ram~2746_q ;
+wire \datamem|ram~2618feeder_combout ;
+wire \datamem|ram~2618_q ;
+wire \datamem|ram~2810_q ;
+wire \datamem|ram~4665_combout ;
+wire \datamem|ram~2234feeder_combout ;
+wire \datamem|ram~2234_q ;
+wire \datamem|ram~2106feeder_combout ;
+wire \datamem|ram~2106_q ;
+wire \datamem|ram~2170feeder_combout ;
+wire \datamem|ram~2170_q ;
+wire \datamem|ram~2298_q ;
+wire \datamem|ram~4663_combout ;
+wire \datamem|ram~3002feeder_combout ;
+wire \datamem|ram~3002_q ;
+wire \datamem|ram~2938feeder_combout ;
+wire \datamem|ram~2938_q ;
+wire \datamem|ram~2874_q ;
+wire \datamem|ram~3066_q ;
+wire \datamem|ram~4666_combout ;
+wire \datamem|ram~2362_q ;
+wire \datamem|ram~2426feeder_combout ;
+wire \datamem|ram~2426_q ;
+wire \datamem|ram~2490feeder_combout ;
+wire \datamem|ram~2490_q ;
+wire \datamem|ram~2554_q ;
+wire \datamem|ram~4664_combout ;
+wire \datamem|ram~4667_combout ;
+wire \datamem|ram~2394feeder_combout ;
+wire \datamem|ram~2394_q ;
+wire \datamem|ram~2138feeder_combout ;
+wire \datamem|ram~2138_q ;
+wire \datamem|ram~2650_q ;
+wire \datamem|ram~2906_q ;
+wire \datamem|ram~4654_combout ;
+wire \datamem|ram~2778feeder_combout ;
+wire \datamem|ram~2778_q ;
+wire \datamem|ram~2266_q ;
+wire \datamem|ram~2522_q ;
+wire \datamem|ram~3034_q ;
+wire \datamem|ram~4656_combout ;
+wire \datamem|ram~2074_q ;
+wire \datamem|ram~2586feeder_combout ;
+wire \datamem|ram~2586_q ;
+wire \datamem|ram~2330feeder_combout ;
+wire \datamem|ram~2330_q ;
+wire \datamem|ram~2842_q ;
+wire \datamem|ram~4653_combout ;
+wire \datamem|ram~2202feeder_combout ;
+wire \datamem|ram~2202_q ;
+wire \datamem|ram~2714feeder_combout ;
+wire \datamem|ram~2714_q ;
+wire \datamem|ram~2458feeder_combout ;
+wire \datamem|ram~2458_q ;
+wire \datamem|ram~2970_q ;
+wire \datamem|ram~4655_combout ;
+wire \datamem|ram~4657_combout ;
+wire \datamem|ram~4668_combout ;
+wire \datamem|ram~1290feeder_combout ;
+wire \datamem|ram~1290_q ;
+wire \datamem|ram~1482_q ;
+wire \datamem|ram~1418_q ;
+wire \datamem|ram~1354_q ;
+wire \datamem|ram~4632_combout ;
+wire \datamem|ram~1402feeder_combout ;
+wire \datamem|ram~1402_q ;
+wire \datamem|ram~1466feeder_combout ;
+wire \datamem|ram~1466_q ;
+wire \datamem|ram~1338_q ;
+wire \datamem|ram~1530feeder_combout ;
+wire \datamem|ram~1530_q ;
+wire \datamem|ram~4635_combout ;
+wire \datamem|ram~1370_q ;
+wire \datamem|ram~1498_q ;
+wire \datamem|ram~1434_q ;
+wire \datamem|ram~1306feeder_combout ;
+wire \datamem|ram~1306_q ;
+wire \datamem|ram~4633_combout ;
+wire \datamem|ram~1322feeder_combout ;
+wire \datamem|ram~1322_q ;
+wire \datamem|ram~1386_q ;
+wire \datamem|ram~1450_q ;
+wire \datamem|ram~1514_q ;
+wire \datamem|ram~4634_combout ;
+wire \datamem|ram~4636_combout ;
+wire \datamem|ram~1882feeder_combout ;
+wire \datamem|ram~1882_q ;
+wire \datamem|ram~1898feeder_combout ;
+wire \datamem|ram~1898_q ;
+wire \datamem|ram~1866feeder_combout ;
+wire \datamem|ram~1866_q ;
+wire \datamem|ram~1914_q ;
+wire \datamem|ram~4643_combout ;
+wire \datamem|ram~2026_q ;
+wire \datamem|ram~2042_q ;
+wire \datamem|ram~2010_q ;
+wire \datamem|ram~1994feeder_combout ;
+wire \datamem|ram~1994_q ;
+wire \datamem|ram~4645_combout ;
+wire \datamem|ram~1930_q ;
+wire \datamem|ram~1962_q ;
+wire \datamem|ram~1946_q ;
+wire \datamem|ram~1978_q ;
+wire \datamem|ram~4644_combout ;
+wire \datamem|ram~1802feeder_combout ;
+wire \datamem|ram~1802_q ;
+wire \datamem|ram~1834feeder_combout ;
+wire \datamem|ram~1834_q ;
+wire \datamem|ram~1818_q ;
+wire \datamem|ram~1850_q ;
+wire \datamem|ram~4642_combout ;
+wire \datamem|ram~4646_combout ;
+wire \datamem|ram~1226feeder_combout ;
+wire \datamem|ram~1226_q ;
+wire \datamem|ram~1034_q ;
+wire \datamem|ram~1162_q ;
+wire \datamem|ram~1098_q ;
+wire \datamem|ram~4627_combout ;
+wire \datamem|ram~1050_q ;
+wire \datamem|ram~1242_q ;
+wire \datamem|ram~1178_q ;
+wire \datamem|ram~1114_q ;
+wire \datamem|ram~4628_combout ;
+wire \datamem|ram~1194feeder_combout ;
+wire \datamem|ram~1194_q ;
+wire \datamem|ram~1066feeder_combout ;
+wire \datamem|ram~1066_q ;
+wire \datamem|ram~1130feeder_combout ;
+wire \datamem|ram~1130_q ;
+wire \datamem|ram~1258_q ;
+wire \datamem|ram~4629_combout ;
+wire \datamem|ram~1082_q ;
+wire \datamem|ram~1146_q ;
+wire \datamem|ram~1210_q ;
+wire \datamem|ram~1274_q ;
+wire \datamem|ram~4630_combout ;
+wire \datamem|ram~4631_combout ;
+wire \datamem|ram~1674_q ;
+wire \datamem|ram~1610feeder_combout ;
+wire \datamem|ram~1610_q ;
+wire \datamem|ram~1546feeder_combout ;
+wire \datamem|ram~1546_q ;
+wire \datamem|ram~1738_q ;
+wire \datamem|ram~4637_combout ;
+wire \datamem|ram~1722_q ;
+wire \datamem|ram~1658feeder_combout ;
+wire \datamem|ram~1658_q ;
+wire \datamem|ram~1594_q ;
+wire \datamem|ram~1786_q ;
+wire \datamem|ram~4640_combout ;
+wire \datamem|ram~1690feeder_combout ;
+wire \datamem|ram~1690_q ;
+wire \datamem|ram~1562_q ;
+wire \datamem|ram~1626feeder_combout ;
+wire \datamem|ram~1626_q ;
+wire \datamem|ram~1754_q ;
+wire \datamem|ram~4638_combout ;
+wire \datamem|ram~1706_q ;
+wire \datamem|ram~1578_q ;
+wire \datamem|ram~1642_q ;
+wire \datamem|ram~1770_q ;
+wire \datamem|ram~4639_combout ;
+wire \datamem|ram~4641_combout ;
+wire \datamem|ram~4647_combout ;
+wire \datamem|ram~970_q ;
+wire \datamem|ram~1002_q ;
+wire \datamem|ram~986feeder_combout ;
+wire \datamem|ram~986_q ;
+wire \datamem|ram~1018_q ;
+wire \datamem|ram~4624_combout ;
+wire \datamem|ram~490feeder_combout ;
+wire \datamem|ram~490_q ;
+wire \datamem|ram~506_q ;
+wire \datamem|ram~474_q ;
+wire \datamem|ram~458_q ;
+wire \datamem|ram~4622_combout ;
+wire \datamem|ram~202feeder_combout ;
+wire \datamem|ram~202_q ;
+wire \datamem|ram~218feeder_combout ;
+wire \datamem|ram~218_q ;
+wire \datamem|ram~234_q ;
+wire \datamem|ram~250_q ;
+wire \datamem|ram~4621_combout ;
+wire \datamem|ram~730feeder_combout ;
+wire \datamem|ram~730_q ;
+wire \datamem|ram~714_q ;
+wire \datamem|ram~746_q ;
+wire \datamem|ram~762_q ;
+wire \datamem|ram~4623_combout ;
+wire \datamem|ram~4625_combout ;
+wire \datamem|ram~442_q ;
+wire \datamem|ram~186_q ;
+wire \datamem|ram~954_q ;
+wire \datamem|ram~698feeder_combout ;
+wire \datamem|ram~698_q ;
+wire \datamem|ram~4619_combout ;
+wire \datamem|ram~922_q ;
+wire \datamem|ram~410_q ;
+wire \datamem|ram~666feeder_combout ;
+wire \datamem|ram~666_q ;
+wire \datamem|ram~154_q ;
+wire \datamem|ram~4617_combout ;
+wire \datamem|ram~682feeder_combout ;
+wire \datamem|ram~682_q ;
+wire \datamem|ram~426feeder_combout ;
+wire \datamem|ram~426_q ;
+wire \datamem|ram~170feeder_combout ;
+wire \datamem|ram~170_q ;
+wire \datamem|ram~938_q ;
+wire \datamem|ram~4618_combout ;
+wire \datamem|ram~650_q ;
+wire \datamem|ram~138feeder_combout ;
+wire \datamem|ram~138_q ;
+wire \datamem|ram~394_q ;
+wire \datamem|ram~906_q ;
+wire \datamem|ram~4616_combout ;
+wire \datamem|ram~4620_combout ;
+wire \datamem|ram~522_q ;
+wire \datamem|ram~538_q ;
+wire \datamem|ram~554_q ;
+wire \datamem|ram~570_q ;
+wire \datamem|ram~4608_combout ;
+wire \datamem|ram~58_q ;
+wire \datamem|ram~10_q ;
+wire \datamem|ram~42_q ;
+wire \datamem|ram~26_q ;
+wire \datamem|ram~4606_combout ;
+wire \datamem|ram~298feeder_combout ;
+wire \datamem|ram~298_q ;
+wire \datamem|ram~266feeder_combout ;
+wire \datamem|ram~266_q ;
+wire \datamem|ram~314feeder_combout ;
+wire \datamem|ram~314_q ;
+wire \datamem|ram~282_q ;
+wire \datamem|ram~4607_combout ;
+wire \datamem|ram~810_q ;
+wire \datamem|ram~778_q ;
+wire \datamem|ram~794feeder_combout ;
+wire \datamem|ram~794_q ;
+wire \datamem|ram~826_q ;
+wire \datamem|ram~4609_combout ;
+wire \datamem|ram~4610_combout ;
+wire \datamem|ram~378feeder_combout ;
+wire \datamem|ram~378_q ;
+wire \datamem|ram~362_q ;
+wire \datamem|ram~330feeder_combout ;
+wire \datamem|ram~330_q ;
+wire \datamem|ram~346_q ;
+wire \datamem|ram~4612_combout ;
+wire \datamem|ram~874feeder_combout ;
+wire \datamem|ram~874_q ;
+wire \datamem|ram~842_q ;
+wire \datamem|ram~858_q ;
+wire \datamem|ram~890_q ;
+wire \datamem|ram~4614_combout ;
+wire \datamem|ram~74_q ;
+wire \datamem|ram~122feeder_combout ;
+wire \datamem|ram~122_q ;
+wire \datamem|ram~106feeder_combout ;
+wire \datamem|ram~106_q ;
+wire \datamem|ram~90_q ;
+wire \datamem|ram~4611_combout ;
+wire \datamem|ram~602feeder_combout ;
+wire \datamem|ram~602_q ;
+wire \datamem|ram~618_q ;
+wire \datamem|ram~586feeder_combout ;
+wire \datamem|ram~586_q ;
+wire \datamem|ram~634_q ;
+wire \datamem|ram~4613_combout ;
+wire \datamem|ram~4615_combout ;
+wire \datamem|ram~4626_combout ;
+wire \datamem|ram~4690_combout ;
+wire \reg_write_data[10]~8_combout ;
+wire \reg_file|reg_array[0][10]~q ;
+wire \reg_file|reg_array[1][10]~q ;
+wire \reg_file|reg_array[2][10]~q ;
+wire \reg_file|reg_read_data_2[10]~10_combout ;
+wire \read_data2[10]~10_combout ;
+wire \alu_unit|Add0~38 ;
+wire \alu_unit|Add0~41_sumout ;
+wire \alu_unit|Add1~38 ;
+wire \alu_unit|Add1~41_sumout ;
+wire \alu_unit|Mux4~0_combout ;
+wire \alu_unit|Mux4~2_combout ;
+wire \alu_unit|Mult0~19 ;
+wire \alu_unit|Mux4~1_combout ;
+wire \alu_unit|Mux4~4_combout ;
+wire \alu_unit|Mux4~3_combout ;
+wire \datamem|ram~651feeder_combout ;
+wire \datamem|ram~651_q ;
+wire \datamem|ram~395feeder_combout ;
+wire \datamem|ram~395_q ;
+wire \datamem|ram~139_q ;
+wire \datamem|ram~907_q ;
+wire \datamem|ram~4693_combout ;
+wire \datamem|ram~843feeder_combout ;
+wire \datamem|ram~843_q ;
+wire \datamem|ram~75feeder_combout ;
+wire \datamem|ram~75_q ;
+wire \datamem|ram~587feeder_combout ;
+wire \datamem|ram~587_q ;
+wire \datamem|ram~331feeder_combout ;
+wire \datamem|ram~331_q ;
+wire \datamem|ram~4692_combout ;
+wire \datamem|ram~11_q ;
+wire \datamem|ram~779_q ;
+wire \datamem|ram~523_q ;
+wire \datamem|ram~267_q ;
+wire \datamem|ram~4691_combout ;
+wire \datamem|ram~715feeder_combout ;
+wire \datamem|ram~715_q ;
+wire \datamem|ram~459feeder_combout ;
+wire \datamem|ram~459_q ;
+wire \datamem|ram~203_q ;
+wire \datamem|ram~971_q ;
+wire \datamem|ram~4694_combout ;
+wire \datamem|ram~4695_combout ;
+wire \datamem|ram~475feeder_combout ;
+wire \datamem|ram~475_q ;
+wire \datamem|ram~731_q ;
+wire \datamem|ram~219_q ;
+wire \datamem|ram~987_q ;
+wire \datamem|ram~4699_combout ;
+wire \datamem|ram~91feeder_combout ;
+wire \datamem|ram~91_q ;
+wire \datamem|ram~603feeder_combout ;
+wire \datamem|ram~603_q ;
+wire \datamem|ram~859_q ;
+wire \datamem|ram~347_q ;
+wire \datamem|ram~4697_combout ;
+wire \datamem|ram~923_q ;
+wire \datamem|ram~155_q ;
+wire \datamem|ram~411_q ;
+wire \datamem|ram~667_q ;
+wire \datamem|ram~4698_combout ;
+wire \datamem|ram~795feeder_combout ;
+wire \datamem|ram~795_q ;
+wire \datamem|ram~27_q ;
+wire \datamem|ram~539_q ;
+wire \datamem|ram~283_q ;
+wire \datamem|ram~4696_combout ;
+wire \datamem|ram~4700_combout ;
+wire \datamem|ram~107feeder_combout ;
+wire \datamem|ram~107_q ;
+wire \datamem|ram~363feeder_combout ;
+wire \datamem|ram~363_q ;
+wire \datamem|ram~619feeder_combout ;
+wire \datamem|ram~619_q ;
+wire \datamem|ram~875_q ;
+wire \datamem|ram~4702_combout ;
+wire \datamem|ram~235_q ;
+wire \datamem|ram~747feeder_combout ;
+wire \datamem|ram~747_q ;
+wire \datamem|ram~491_q ;
+wire \datamem|ram~1003_q ;
+wire \datamem|ram~4704_combout ;
+wire \datamem|ram~299feeder_combout ;
+wire \datamem|ram~299_q ;
+wire \datamem|ram~555_q ;
+wire \datamem|ram~43_q ;
+wire \datamem|ram~811_q ;
+wire \datamem|ram~4701_combout ;
+wire \datamem|ram~427feeder_combout ;
+wire \datamem|ram~427_q ;
+wire \datamem|ram~171feeder_combout ;
+wire \datamem|ram~171_q ;
+wire \datamem|ram~939_q ;
+wire \datamem|ram~683feeder_combout ;
+wire \datamem|ram~683_q ;
+wire \datamem|ram~4703_combout ;
+wire \datamem|ram~4705_combout ;
+wire \datamem|ram~955_q ;
+wire \datamem|ram~827_q ;
+wire \datamem|ram~891_q ;
+wire \datamem|ram~1019_q ;
+wire \datamem|ram~4709_combout ;
+wire \datamem|ram~59feeder_combout ;
+wire \datamem|ram~59_q ;
+wire \datamem|ram~187_q ;
+wire \datamem|ram~123feeder_combout ;
+wire \datamem|ram~123_q ;
+wire \datamem|ram~251_q ;
+wire \datamem|ram~4706_combout ;
+wire \datamem|ram~635_q ;
+wire \datamem|ram~699feeder_combout ;
+wire \datamem|ram~699_q ;
+wire \datamem|ram~571_q ;
+wire \datamem|ram~763_q ;
+wire \datamem|ram~4708_combout ;
+wire \datamem|ram~315_q ;
+wire \datamem|ram~379_q ;
+wire \datamem|ram~443_q ;
+wire \datamem|ram~507_q ;
+wire \datamem|ram~4707_combout ;
+wire \datamem|ram~4710_combout ;
+wire \datamem|ram~4711_combout ;
+wire \datamem|ram~3899_q ;
+wire \datamem|ram~4091_q ;
+wire \datamem|ram~3963_q ;
+wire \datamem|ram~4027_q ;
+wire \datamem|ram~4772_combout ;
+wire \datamem|ram~3451feeder_combout ;
+wire \datamem|ram~3451_q ;
+wire \datamem|ram~3387feeder_combout ;
+wire \datamem|ram~3387_q ;
+wire \datamem|ram~3515feeder_combout ;
+wire \datamem|ram~3515_q ;
+wire \datamem|ram~3579_q ;
+wire \datamem|ram~4770_combout ;
+wire \datamem|ram~3259feeder_combout ;
+wire \datamem|ram~3259_q ;
+wire \datamem|ram~3195feeder_combout ;
+wire \datamem|ram~3195_q ;
+wire \datamem|ram~3131feeder_combout ;
+wire \datamem|ram~3131_q ;
+wire \datamem|ram~3323_q ;
+wire \datamem|ram~4769_combout ;
+wire \datamem|ram~3771feeder_combout ;
+wire \datamem|ram~3771_q ;
+wire \datamem|ram~3707feeder_combout ;
+wire \datamem|ram~3707_q ;
+wire \datamem|ram~3643feeder_combout ;
+wire \datamem|ram~3643_q ;
+wire \datamem|ram~3835_q ;
+wire \datamem|ram~4771_combout ;
+wire \datamem|ram~4773_combout ;
+wire \datamem|ram~3803_q ;
+wire \datamem|ram~3547_q ;
+wire \datamem|ram~4059feeder_combout ;
+wire \datamem|ram~4059_q ;
+wire \datamem|ram~3291_q ;
+wire \datamem|ram~4762_combout ;
+wire \datamem|ram~3483feeder_combout ;
+wire \datamem|ram~3483_q ;
+wire \datamem|ram~3227_q ;
+wire \datamem|ram~3739_q ;
+wire \datamem|ram~3995_q ;
+wire \datamem|ram~4761_combout ;
+wire \datamem|ram~3675feeder_combout ;
+wire \datamem|ram~3675_q ;
+wire \datamem|ram~3419_q ;
+wire \datamem|ram~3163feeder_combout ;
+wire \datamem|ram~3163_q ;
+wire \datamem|ram~3931_q ;
+wire \datamem|ram~4760_combout ;
+wire \datamem|ram~3355_q ;
+wire \datamem|ram~3099feeder_combout ;
+wire \datamem|ram~3099_q ;
+wire \datamem|ram~3611feeder_combout ;
+wire \datamem|ram~3611_q ;
+wire \datamem|ram~3867_q ;
+wire \datamem|ram~4759_combout ;
+wire \datamem|ram~4763_combout ;
+wire \datamem|ram~3467feeder_combout ;
+wire \datamem|ram~3467_q ;
+wire \datamem|ram~3723feeder_combout ;
+wire \datamem|ram~3723_q ;
+wire \datamem|ram~3211feeder_combout ;
+wire \datamem|ram~3211_q ;
+wire \datamem|ram~3979_q ;
+wire \datamem|ram~4756_combout ;
+wire \datamem|ram~3147_q ;
+wire \datamem|ram~3659feeder_combout ;
+wire \datamem|ram~3659_q ;
+wire \datamem|ram~3403feeder_combout ;
+wire \datamem|ram~3403_q ;
+wire \datamem|ram~3915_q ;
+wire \datamem|ram~4755_combout ;
+wire \datamem|ram~3083_q ;
+wire \datamem|ram~3595_q ;
+wire \datamem|ram~3339_q ;
+wire \datamem|ram~3851_q ;
+wire \datamem|ram~4754_combout ;
+wire \datamem|ram~3275_q ;
+wire \datamem|ram~3531feeder_combout ;
+wire \datamem|ram~3531_q ;
+wire \datamem|ram~3787_q ;
+wire \datamem|ram~4043_q ;
+wire \datamem|ram~4757_combout ;
+wire \datamem|ram~4758_combout ;
+wire \datamem|ram~3755feeder_combout ;
+wire \datamem|ram~3755_q ;
+wire \datamem|ram~3243_q ;
+wire \datamem|ram~3499_q ;
+wire \datamem|ram~4011_q ;
+wire \datamem|ram~4766_combout ;
+wire \datamem|ram~3691_q ;
+wire \datamem|ram~3179_q ;
+wire \datamem|ram~3435_q ;
+wire \datamem|ram~3947_q ;
+wire \datamem|ram~4765_combout ;
+wire \datamem|ram~3819_q ;
+wire \datamem|ram~3307feeder_combout ;
+wire \datamem|ram~3307_q ;
+wire \datamem|ram~3563_q ;
+wire \datamem|ram~4075_q ;
+wire \datamem|ram~4767_combout ;
+wire \datamem|ram~3627feeder_combout ;
+wire \datamem|ram~3627_q ;
+wire \datamem|ram~3115_q ;
+wire \datamem|ram~3371_q ;
+wire \datamem|ram~3883_q ;
+wire \datamem|ram~4764_combout ;
+wire \datamem|ram~4768_combout ;
+wire \datamem|ram~4774_combout ;
+wire \datamem|ram~2955feeder_combout ;
+wire \datamem|ram~2955_q ;
+wire \datamem|ram~2971_q ;
+wire \datamem|ram~2987_q ;
+wire \datamem|ram~3003_q ;
+wire \datamem|ram~4750_combout ;
+wire \datamem|ram~3051_q ;
+wire \datamem|ram~3035feeder_combout ;
+wire \datamem|ram~3035_q ;
+wire \datamem|ram~3019_q ;
+wire \datamem|ram~3067_q ;
+wire \datamem|ram~4751_combout ;
+wire \datamem|ram~2827feeder_combout ;
+wire \datamem|ram~2827_q ;
+wire \datamem|ram~2859_q ;
+wire \datamem|ram~2843_q ;
+wire \datamem|ram~2875_q ;
+wire \datamem|ram~4748_combout ;
+wire \datamem|ram~2923feeder_combout ;
+wire \datamem|ram~2923_q ;
+wire \datamem|ram~2907feeder_combout ;
+wire \datamem|ram~2907_q ;
+wire \datamem|ram~2891_q ;
+wire \datamem|ram~2939_q ;
+wire \datamem|ram~4749_combout ;
+wire \datamem|ram~4752_combout ;
+wire \datamem|ram~2203feeder_combout ;
+wire \datamem|ram~2203_q ;
+wire \datamem|ram~2075feeder_combout ;
+wire \datamem|ram~2075_q ;
+wire \datamem|ram~2139feeder_combout ;
+wire \datamem|ram~2139_q ;
+wire \datamem|ram~2267_q ;
+wire \datamem|ram~4734_combout ;
+wire \datamem|ram~2171_q ;
+wire \datamem|ram~2235feeder_combout ;
+wire \datamem|ram~2235_q ;
+wire \datamem|ram~2107feeder_combout ;
+wire \datamem|ram~2107_q ;
+wire \datamem|ram~2299_q ;
+wire \datamem|ram~4736_combout ;
+wire \datamem|ram~2155_q ;
+wire \datamem|ram~2091_q ;
+wire \datamem|ram~2219_q ;
+wire \datamem|ram~2283_q ;
+wire \datamem|ram~4735_combout ;
+wire \datamem|ram~2059feeder_combout ;
+wire \datamem|ram~2059_q ;
+wire \datamem|ram~2187_q ;
+wire \datamem|ram~2123feeder_combout ;
+wire \datamem|ram~2123_q ;
+wire \datamem|ram~2251_q ;
+wire \datamem|ram~4733_combout ;
+wire \datamem|ram~4737_combout ;
+wire \datamem|ram~2331feeder_combout ;
+wire \datamem|ram~2331_q ;
+wire \datamem|ram~2395_q ;
+wire \datamem|ram~2459_q ;
+wire \datamem|ram~2523_q ;
+wire \datamem|ram~4739_combout ;
+wire \datamem|ram~2443_q ;
+wire \datamem|ram~2379feeder_combout ;
+wire \datamem|ram~2379_q ;
+wire \datamem|ram~2315feeder_combout ;
+wire \datamem|ram~2315_q ;
+wire \datamem|ram~2507_q ;
+wire \datamem|ram~4738_combout ;
+wire \datamem|ram~2347feeder_combout ;
+wire \datamem|ram~2347_q ;
+wire \datamem|ram~2475feeder_combout ;
+wire \datamem|ram~2475_q ;
+wire \datamem|ram~2411feeder_combout ;
+wire \datamem|ram~2411_q ;
+wire \datamem|ram~2539feeder_combout ;
+wire \datamem|ram~2539_q ;
+wire \datamem|ram~4740_combout ;
+wire \datamem|ram~2363_q ;
+wire \datamem|ram~2427_q ;
+wire \datamem|ram~2491_q ;
+wire \datamem|ram~2555_q ;
+wire \datamem|ram~4741_combout ;
+wire \datamem|ram~4742_combout ;
+wire \datamem|ram~2603feeder_combout ;
+wire \datamem|ram~2603_q ;
+wire \datamem|ram~2667_q ;
+wire \datamem|ram~2731_q ;
+wire \datamem|ram~2795_q ;
+wire \datamem|ram~4745_combout ;
+wire \datamem|ram~2699feeder_combout ;
+wire \datamem|ram~2699_q ;
+wire \datamem|ram~2763_q ;
+wire \datamem|ram~2571feeder_combout ;
+wire \datamem|ram~2571_q ;
+wire \datamem|ram~2635_q ;
+wire \datamem|ram~4743_combout ;
+wire \datamem|ram~2587_q ;
+wire \datamem|ram~2715feeder_combout ;
+wire \datamem|ram~2715_q ;
+wire \datamem|ram~2651_q ;
+wire \datamem|ram~2779_q ;
+wire \datamem|ram~4744_combout ;
+wire \datamem|ram~2619_q ;
+wire \datamem|ram~2747feeder_combout ;
+wire \datamem|ram~2747_q ;
+wire \datamem|ram~2683_q ;
+wire \datamem|ram~2811_q ;
+wire \datamem|ram~4746_combout ;
+wire \datamem|ram~4747_combout ;
+wire \datamem|ram~4753_combout ;
+wire \datamem|ram~1035_q ;
+wire \datamem|ram~1067_q ;
+wire \datamem|ram~1083_q ;
+wire \datamem|ram~1051_q ;
+wire \datamem|ram~4712_combout ;
+wire \datamem|ram~1547_q ;
+wire \datamem|ram~1579feeder_combout ;
+wire \datamem|ram~1579_q ;
+wire \datamem|ram~1563feeder_combout ;
+wire \datamem|ram~1563_q ;
+wire \datamem|ram~1595_q ;
+wire \datamem|ram~4714_combout ;
+wire \datamem|ram~1819feeder_combout ;
+wire \datamem|ram~1819_q ;
+wire \datamem|ram~1835_q ;
+wire \datamem|ram~1803_q ;
+wire \datamem|ram~1851_q ;
+wire \datamem|ram~4715_combout ;
+wire \datamem|ram~1291_q ;
+wire \datamem|ram~1339_q ;
+wire \datamem|ram~1323feeder_combout ;
+wire \datamem|ram~1323_q ;
+wire \datamem|ram~1307_q ;
+wire \datamem|ram~4713_combout ;
+wire \datamem|ram~4716_combout ;
+wire \datamem|ram~1867feeder_combout ;
+wire \datamem|ram~1867_q ;
+wire \datamem|ram~1883_q ;
+wire \datamem|ram~1899_q ;
+wire \datamem|ram~1915_q ;
+wire \datamem|ram~4720_combout ;
+wire \datamem|ram~1147_q ;
+wire \datamem|ram~1131_q ;
+wire \datamem|ram~1099_q ;
+wire \datamem|ram~1115_q ;
+wire \datamem|ram~4717_combout ;
+wire \datamem|ram~1355_q ;
+wire \datamem|ram~1387feeder_combout ;
+wire \datamem|ram~1387_q ;
+wire \datamem|ram~1403_q ;
+wire \datamem|ram~1371_q ;
+wire \datamem|ram~4718_combout ;
+wire \datamem|ram~1627_q ;
+wire \datamem|ram~1643feeder_combout ;
+wire \datamem|ram~1643_q ;
+wire \datamem|ram~1611_q ;
+wire \datamem|ram~1659_q ;
+wire \datamem|ram~4719_combout ;
+wire \datamem|ram~4721_combout ;
+wire \datamem|ram~1739_q ;
+wire \datamem|ram~1771_q ;
+wire \datamem|ram~1755_q ;
+wire \datamem|ram~1787_q ;
+wire \datamem|ram~4729_combout ;
+wire \datamem|ram~1227_q ;
+wire \datamem|ram~1259_q ;
+wire \datamem|ram~1243feeder_combout ;
+wire \datamem|ram~1243_q ;
+wire \datamem|ram~1275_q ;
+wire \datamem|ram~4727_combout ;
+wire \datamem|ram~2027_q ;
+wire \datamem|ram~1995feeder_combout ;
+wire \datamem|ram~1995_q ;
+wire \datamem|ram~2011_q ;
+wire \datamem|ram~2043_q ;
+wire \datamem|ram~4730_combout ;
+wire \datamem|ram~1499feeder_combout ;
+wire \datamem|ram~1499_q ;
+wire \datamem|ram~1483feeder_combout ;
+wire \datamem|ram~1483_q ;
+wire \datamem|ram~1515_q ;
+wire \datamem|ram~1531_q ;
+wire \datamem|ram~4728_combout ;
+wire \datamem|ram~4731_combout ;
+wire \datamem|ram~1163feeder_combout ;
+wire \datamem|ram~1163_q ;
+wire \datamem|ram~1675feeder_combout ;
+wire \datamem|ram~1675_q ;
+wire \datamem|ram~1419feeder_combout ;
+wire \datamem|ram~1419_q ;
+wire \datamem|ram~1931_q ;
+wire \datamem|ram~4722_combout ;
+wire \datamem|ram~1691feeder_combout ;
+wire \datamem|ram~1691_q ;
+wire \datamem|ram~1179_q ;
+wire \datamem|ram~1435feeder_combout ;
+wire \datamem|ram~1435_q ;
+wire \datamem|ram~1947_q ;
+wire \datamem|ram~4723_combout ;
+wire \datamem|ram~1451feeder_combout ;
+wire \datamem|ram~1451_q ;
+wire \datamem|ram~1195feeder_combout ;
+wire \datamem|ram~1195_q ;
+wire \datamem|ram~1707feeder_combout ;
+wire \datamem|ram~1707_q ;
+wire \datamem|ram~1963_q ;
+wire \datamem|ram~4724_combout ;
+wire \datamem|ram~1467_q ;
+wire \datamem|ram~1211_q ;
+wire \datamem|ram~1723feeder_combout ;
+wire \datamem|ram~1723_q ;
+wire \datamem|ram~1979_q ;
+wire \datamem|ram~4725_combout ;
+wire \datamem|ram~4726_combout ;
+wire \datamem|ram~4732_combout ;
+wire \datamem|ram~4775_combout ;
+wire \reg_write_data[11]~9_combout ;
+wire \reg_file|reg_array[0][11]~q ;
+wire \reg_file|reg_array[1][11]~q ;
+wire \reg_file|reg_array[2][11]~q ;
+wire \reg_file|reg_read_data_2[11]~11_combout ;
+wire \read_data2[11]~11_combout ;
+wire \alu_unit|Add0~42 ;
+wire \alu_unit|Add0~46 ;
+wire \alu_unit|Add0~49_sumout ;
+wire \alu_unit|Add1~42 ;
+wire \alu_unit|Add1~46 ;
+wire \alu_unit|Add1~49_sumout ;
+wire \alu_unit|Mux2~0_combout ;
+wire \alu_unit|Mux2~1_combout ;
+wire \alu_unit|Mux2~2_combout ;
+wire \alu_unit|Mux0~0_combout ;
+wire \alu_unit|Mux0~1_combout ;
+wire \alu_unit|Mult0~21 ;
+wire \alu_unit|Mux2~3_combout ;
+wire \alu_unit|Mux2~4_combout ;
+wire \datamem|ram~1149_q ;
+wire \datamem|ram~1213feeder_combout ;
+wire \datamem|ram~1213_q ;
+wire \datamem|ram~1085feeder_combout ;
+wire \datamem|ram~1085_q ;
+wire \datamem|ram~1277_q ;
+wire \datamem|ram~5310_combout ;
+wire \datamem|ram~1229_q ;
+wire \datamem|ram~1165feeder_combout ;
+wire \datamem|ram~1165_q ;
+wire \datamem|ram~1037feeder_combout ;
+wire \datamem|ram~1037_q ;
+wire \datamem|ram~1101_q ;
+wire \datamem|ram~5307_combout ;
+wire \datamem|ram~1133feeder_combout ;
+wire \datamem|ram~1133_q ;
+wire \datamem|ram~1197_q ;
+wire \datamem|ram~1069feeder_combout ;
+wire \datamem|ram~1069_q ;
+wire \datamem|ram~1261_q ;
+wire \datamem|ram~5309_combout ;
+wire \datamem|ram~1245feeder_combout ;
+wire \datamem|ram~1245_q ;
+wire \datamem|ram~1053_q ;
+wire \datamem|ram~1181_q ;
+wire \datamem|ram~1117_q ;
+wire \datamem|ram~5308_combout ;
+wire \datamem|ram~5311_combout ;
+wire \datamem|ram~1837_q ;
+wire \datamem|ram~1853_q ;
+wire \datamem|ram~1821_q ;
+wire \datamem|ram~1805_q ;
+wire \datamem|ram~5322_combout ;
+wire \datamem|ram~1965_q ;
+wire \datamem|ram~1933_q ;
+wire \datamem|ram~1949_q ;
+wire \datamem|ram~1981_q ;
+wire \datamem|ram~5324_combout ;
+wire \datamem|ram~1885feeder_combout ;
+wire \datamem|ram~1885_q ;
+wire \datamem|ram~1869feeder_combout ;
+wire \datamem|ram~1869_q ;
+wire \datamem|ram~1901feeder_combout ;
+wire \datamem|ram~1901_q ;
+wire \datamem|ram~1917_q ;
+wire \datamem|ram~5323_combout ;
+wire \datamem|ram~2013_q ;
+wire \datamem|ram~2029_q ;
+wire \datamem|ram~1997_q ;
+wire \datamem|ram~2045_q ;
+wire \datamem|ram~5325_combout ;
+wire \datamem|ram~5326_combout ;
+wire \datamem|ram~1517feeder_combout ;
+wire \datamem|ram~1517_q ;
+wire \datamem|ram~1325feeder_combout ;
+wire \datamem|ram~1325_q ;
+wire \datamem|ram~1389feeder_combout ;
+wire \datamem|ram~1389_q ;
+wire \datamem|ram~1453feeder_combout ;
+wire \datamem|ram~1453_q ;
+wire \datamem|ram~5314_combout ;
+wire \datamem|ram~1341_q ;
+wire \datamem|ram~1405_q ;
+wire \datamem|ram~1469_q ;
+wire \datamem|ram~1533_q ;
+wire \datamem|ram~5315_combout ;
+wire \datamem|ram~1373feeder_combout ;
+wire \datamem|ram~1373_q ;
+wire \datamem|ram~1437_q ;
+wire \datamem|ram~1309feeder_combout ;
+wire \datamem|ram~1309_q ;
+wire \datamem|ram~1501feeder_combout ;
+wire \datamem|ram~1501_q ;
+wire \datamem|ram~5313_combout ;
+wire \datamem|ram~1485_q ;
+wire \datamem|ram~1421feeder_combout ;
+wire \datamem|ram~1421_q ;
+wire \datamem|ram~1293_q ;
+wire \datamem|ram~1357_q ;
+wire \datamem|ram~5312_combout ;
+wire \datamem|ram~5316_combout ;
+wire \datamem|ram~1613_q ;
+wire \datamem|ram~1549_q ;
+wire \datamem|ram~1677feeder_combout ;
+wire \datamem|ram~1677_q ;
+wire \datamem|ram~1741_q ;
+wire \datamem|ram~5317_combout ;
+wire \datamem|ram~1725_q ;
+wire \datamem|ram~1597_q ;
+wire \datamem|ram~1661_q ;
+wire \datamem|ram~1789_q ;
+wire \datamem|ram~5320_combout ;
+wire \datamem|ram~1645feeder_combout ;
+wire \datamem|ram~1645_q ;
+wire \datamem|ram~1709feeder_combout ;
+wire \datamem|ram~1709_q ;
+wire \datamem|ram~1581_q ;
+wire \datamem|ram~1773_q ;
+wire \datamem|ram~5319_combout ;
+wire \datamem|ram~1693feeder_combout ;
+wire \datamem|ram~1693_q ;
+wire \datamem|ram~1629feeder_combout ;
+wire \datamem|ram~1629_q ;
+wire \datamem|ram~1565_q ;
+wire \datamem|ram~1757_q ;
+wire \datamem|ram~5318_combout ;
+wire \datamem|ram~5321_combout ;
+wire \datamem|ram~5327_combout ;
+wire \datamem|ram~3485_q ;
+wire \datamem|ram~3741feeder_combout ;
+wire \datamem|ram~3741_q ;
+wire \datamem|ram~3229feeder_combout ;
+wire \datamem|ram~3229_q ;
+wire \datamem|ram~3997_q ;
+wire \datamem|ram~5360_combout ;
+wire \datamem|ram~3501feeder_combout ;
+wire \datamem|ram~3501_q ;
+wire \datamem|ram~3245feeder_combout ;
+wire \datamem|ram~3245_q ;
+wire \datamem|ram~3757_q ;
+wire \datamem|ram~4013_q ;
+wire \datamem|ram~5361_combout ;
+wire \datamem|ram~3517feeder_combout ;
+wire \datamem|ram~3517_q ;
+wire \datamem|ram~3773_q ;
+wire \datamem|ram~3261feeder_combout ;
+wire \datamem|ram~3261_q ;
+wire \datamem|ram~4029_q ;
+wire \datamem|ram~5362_combout ;
+wire \datamem|ram~3213feeder_combout ;
+wire \datamem|ram~3213_q ;
+wire \datamem|ram~3725feeder_combout ;
+wire \datamem|ram~3725_q ;
+wire \datamem|ram~3469_q ;
+wire \datamem|ram~3981_q ;
+wire \datamem|ram~5359_combout ;
+wire \datamem|ram~5363_combout ;
+wire \datamem|ram~3805_q ;
+wire \datamem|ram~3821_q ;
+wire \datamem|ram~3789feeder_combout ;
+wire \datamem|ram~3789_q ;
+wire \datamem|ram~3837_q ;
+wire \datamem|ram~5366_combout ;
+wire \datamem|ram~3549_q ;
+wire \datamem|ram~3565_q ;
+wire \datamem|ram~3533_q ;
+wire \datamem|ram~3581_q ;
+wire \datamem|ram~5365_combout ;
+wire \datamem|ram~3293feeder_combout ;
+wire \datamem|ram~3293_q ;
+wire \datamem|ram~3309feeder_combout ;
+wire \datamem|ram~3309_q ;
+wire \datamem|ram~3277_q ;
+wire \datamem|ram~3325_q ;
+wire \datamem|ram~5364_combout ;
+wire \datamem|ram~4061_q ;
+wire \datamem|ram~4045_q ;
+wire \datamem|ram~4093_q ;
+wire \datamem|ram~4077_q ;
+wire \datamem|ram~5367_combout ;
+wire \datamem|ram~5368_combout ;
+wire \datamem|ram~3869feeder_combout ;
+wire \datamem|ram~3869_q ;
+wire \datamem|ram~3853_q ;
+wire \datamem|ram~3885feeder_combout ;
+wire \datamem|ram~3885_q ;
+wire \datamem|ram~3901_q ;
+wire \datamem|ram~5352_combout ;
+wire \datamem|ram~3629_q ;
+wire \datamem|ram~3597feeder_combout ;
+wire \datamem|ram~3597_q ;
+wire \datamem|ram~3613_q ;
+wire \datamem|ram~3645_q ;
+wire \datamem|ram~5351_combout ;
+wire \datamem|ram~3341_q ;
+wire \datamem|ram~3373feeder_combout ;
+wire \datamem|ram~3373_q ;
+wire \datamem|ram~3357_q ;
+wire \datamem|ram~3389_q ;
+wire \datamem|ram~5350_combout ;
+wire \datamem|ram~3101_q ;
+wire \datamem|ram~3085feeder_combout ;
+wire \datamem|ram~3085_q ;
+wire \datamem|ram~3117feeder_combout ;
+wire \datamem|ram~3117_q ;
+wire \datamem|ram~3133_q ;
+wire \datamem|ram~5349_combout ;
+wire \datamem|ram~5353_combout ;
+wire \datamem|ram~3165_q ;
+wire \datamem|ram~3197feeder_combout ;
+wire \datamem|ram~3197_q ;
+wire \datamem|ram~3149feeder_combout ;
+wire \datamem|ram~3149_q ;
+wire \datamem|ram~3181feeder_combout ;
+wire \datamem|ram~3181_q ;
+wire \datamem|ram~5354_combout ;
+wire \datamem|ram~3677_q ;
+wire \datamem|ram~3661feeder_combout ;
+wire \datamem|ram~3661_q ;
+wire \datamem|ram~3709feeder_combout ;
+wire \datamem|ram~3709_q ;
+wire \datamem|ram~3693_q ;
+wire \datamem|ram~5356_combout ;
+wire \datamem|ram~3437_q ;
+wire \datamem|ram~3421_q ;
+wire \datamem|ram~3453feeder_combout ;
+wire \datamem|ram~3453_q ;
+wire \datamem|ram~3405_q ;
+wire \datamem|ram~5355_combout ;
+wire \datamem|ram~3917feeder_combout ;
+wire \datamem|ram~3917_q ;
+wire \datamem|ram~3949_q ;
+wire \datamem|ram~3933_q ;
+wire \datamem|ram~3965_q ;
+wire \datamem|ram~5357_combout ;
+wire \datamem|ram~5358_combout ;
+wire \datamem|ram~5369_combout ;
+wire \datamem|ram~2237_q ;
+wire \datamem|ram~2173_q ;
+wire \datamem|ram~2109feeder_combout ;
+wire \datamem|ram~2109_q ;
+wire \datamem|ram~2301_q ;
+wire \datamem|ram~5343_combout ;
+wire \datamem|ram~3005feeder_combout ;
+wire \datamem|ram~3005_q ;
+wire \datamem|ram~2877_q ;
+wire \datamem|ram~2941_q ;
+wire \datamem|ram~3069_q ;
+wire \datamem|ram~5346_combout ;
+wire \datamem|ram~2621feeder_combout ;
+wire \datamem|ram~2621_q ;
+wire \datamem|ram~2685_q ;
+wire \datamem|ram~2749feeder_combout ;
+wire \datamem|ram~2749_q ;
+wire \datamem|ram~2813_q ;
+wire \datamem|ram~5345_combout ;
+wire \datamem|ram~2429_q ;
+wire \datamem|ram~2493_q ;
+wire \datamem|ram~2365feeder_combout ;
+wire \datamem|ram~2365_q ;
+wire \datamem|ram~2557_q ;
+wire \datamem|ram~5344_combout ;
+wire \datamem|ram~5347_combout ;
+wire \datamem|ram~2589feeder_combout ;
+wire \datamem|ram~2589_q ;
+wire \datamem|ram~2333_q ;
+wire \datamem|ram~2077_q ;
+wire \datamem|ram~2845_q ;
+wire \datamem|ram~5333_combout ;
+wire \datamem|ram~2205_q ;
+wire \datamem|ram~2717_q ;
+wire \datamem|ram~2461_q ;
+wire \datamem|ram~2973_q ;
+wire \datamem|ram~5335_combout ;
+wire \datamem|ram~2781_q ;
+wire \datamem|ram~2525_q ;
+wire \datamem|ram~2269feeder_combout ;
+wire \datamem|ram~2269_q ;
+wire \datamem|ram~3037_q ;
+wire \datamem|ram~5336_combout ;
+wire \datamem|ram~2397feeder_combout ;
+wire \datamem|ram~2397_q ;
+wire \datamem|ram~2653_q ;
+wire \datamem|ram~2141feeder_combout ;
+wire \datamem|ram~2141_q ;
+wire \datamem|ram~2909_q ;
+wire \datamem|ram~5334_combout ;
+wire \datamem|ram~5337_combout ;
+wire \datamem|ram~2093_q ;
+wire \datamem|ram~2349feeder_combout ;
+wire \datamem|ram~2349_q ;
+wire \datamem|ram~2605feeder_combout ;
+wire \datamem|ram~2605_q ;
+wire \datamem|ram~2861feeder_combout ;
+wire \datamem|ram~2861_q ;
+wire \datamem|ram~5338_combout ;
+wire \datamem|ram~2413_q ;
+wire \datamem|ram~2157feeder_combout ;
+wire \datamem|ram~2157_q ;
+wire \datamem|ram~2669_q ;
+wire \datamem|ram~2925_q ;
+wire \datamem|ram~5339_combout ;
+wire \datamem|ram~2541feeder_combout ;
+wire \datamem|ram~2541_q ;
+wire \datamem|ram~2285feeder_combout ;
+wire \datamem|ram~2285_q ;
+wire \datamem|ram~2797feeder_combout ;
+wire \datamem|ram~2797_q ;
+wire \datamem|ram~3053_q ;
+wire \datamem|ram~5341_combout ;
+wire \datamem|ram~2733_q ;
+wire \datamem|ram~2221_q ;
+wire \datamem|ram~2477_q ;
+wire \datamem|ram~2989_q ;
+wire \datamem|ram~5340_combout ;
+wire \datamem|ram~5342_combout ;
+wire \datamem|ram~2509_q ;
+wire \datamem|ram~2253_q ;
+wire \datamem|ram~2765feeder_combout ;
+wire \datamem|ram~2765_q ;
+wire \datamem|ram~3021_q ;
+wire \datamem|ram~5331_combout ;
+wire \datamem|ram~2701feeder_combout ;
+wire \datamem|ram~2701_q ;
+wire \datamem|ram~2189feeder_combout ;
+wire \datamem|ram~2189_q ;
+wire \datamem|ram~2445feeder_combout ;
+wire \datamem|ram~2445_q ;
+wire \datamem|ram~2957_q ;
+wire \datamem|ram~5330_combout ;
+wire \datamem|ram~2061feeder_combout ;
+wire \datamem|ram~2061_q ;
+wire \datamem|ram~2317feeder_combout ;
+wire \datamem|ram~2317_q ;
+wire \datamem|ram~2573_q ;
+wire \datamem|ram~2829_q ;
+wire \datamem|ram~5328_combout ;
+wire \datamem|ram~2381_q ;
+wire \datamem|ram~2637feeder_combout ;
+wire \datamem|ram~2637_q ;
+wire \datamem|ram~2125_q ;
+wire \datamem|ram~2893_q ;
+wire \datamem|ram~5329_combout ;
+wire \datamem|ram~5332_combout ;
+wire \datamem|ram~5348_combout ;
+wire \datamem|ram~397_q ;
+wire \datamem|ram~653feeder_combout ;
+wire \datamem|ram~653_q ;
+wire \datamem|ram~141feeder_combout ;
+wire \datamem|ram~141_q ;
+wire \datamem|ram~909_q ;
+wire \datamem|ram~5296_combout ;
+wire \datamem|ram~189feeder_combout ;
+wire \datamem|ram~189_q ;
+wire \datamem|ram~701_q ;
+wire \datamem|ram~445feeder_combout ;
+wire \datamem|ram~445_q ;
+wire \datamem|ram~957_q ;
+wire \datamem|ram~5299_combout ;
+wire \datamem|ram~941_q ;
+wire \datamem|ram~685_q ;
+wire \datamem|ram~429_q ;
+wire \datamem|ram~173_q ;
+wire \datamem|ram~5298_combout ;
+wire \datamem|ram~669feeder_combout ;
+wire \datamem|ram~669_q ;
+wire \datamem|ram~157feeder_combout ;
+wire \datamem|ram~157_q ;
+wire \datamem|ram~413_q ;
+wire \datamem|ram~925_q ;
+wire \datamem|ram~5297_combout ;
+wire \datamem|ram~5300_combout ;
+wire \datamem|ram~221_q ;
+wire \datamem|ram~237feeder_combout ;
+wire \datamem|ram~237_q ;
+wire \datamem|ram~205_q ;
+wire \datamem|ram~253_q ;
+wire \datamem|ram~5301_combout ;
+wire \datamem|ram~989feeder_combout ;
+wire \datamem|ram~989_q ;
+wire \datamem|ram~973feeder_combout ;
+wire \datamem|ram~973_q ;
+wire \datamem|ram~1005_q ;
+wire \datamem|ram~1021_q ;
+wire \datamem|ram~5304_combout ;
+wire \datamem|ram~717_q ;
+wire \datamem|ram~749feeder_combout ;
+wire \datamem|ram~749_q ;
+wire \datamem|ram~733feeder_combout ;
+wire \datamem|ram~733_q ;
+wire \datamem|ram~765_q ;
+wire \datamem|ram~5303_combout ;
+wire \datamem|ram~493feeder_combout ;
+wire \datamem|ram~493_q ;
+wire \datamem|ram~461_q ;
+wire \datamem|ram~477_q ;
+wire \datamem|ram~509_q ;
+wire \datamem|ram~5302_combout ;
+wire \datamem|ram~5305_combout ;
+wire \datamem|ram~797feeder_combout ;
+wire \datamem|ram~797_q ;
+wire \datamem|ram~781_q ;
+wire \datamem|ram~813_q ;
+wire \datamem|ram~829_q ;
+wire \datamem|ram~5289_combout ;
+wire \datamem|ram~557feeder_combout ;
+wire \datamem|ram~557_q ;
+wire \datamem|ram~541feeder_combout ;
+wire \datamem|ram~541_q ;
+wire \datamem|ram~525feeder_combout ;
+wire \datamem|ram~525_q ;
+wire \datamem|ram~573_q ;
+wire \datamem|ram~5288_combout ;
+wire \datamem|ram~317feeder_combout ;
+wire \datamem|ram~317_q ;
+wire \datamem|ram~269_q ;
+wire \datamem|ram~301feeder_combout ;
+wire \datamem|ram~301_q ;
+wire \datamem|ram~285_q ;
+wire \datamem|ram~5287_combout ;
+wire \datamem|ram~45feeder_combout ;
+wire \datamem|ram~45_q ;
+wire \datamem|ram~61feeder_combout ;
+wire \datamem|ram~61_q ;
+wire \datamem|ram~13feeder_combout ;
+wire \datamem|ram~13_q ;
+wire \datamem|ram~29_q ;
+wire \datamem|ram~5286_combout ;
+wire \datamem|ram~5290_combout ;
+wire \datamem|ram~845_q ;
+wire \datamem|ram~877feeder_combout ;
+wire \datamem|ram~877_q ;
+wire \datamem|ram~861_q ;
+wire \datamem|ram~893_q ;
+wire \datamem|ram~5294_combout ;
+wire \datamem|ram~125_q ;
+wire \datamem|ram~77_q ;
+wire \datamem|ram~109_q ;
+wire \datamem|ram~93_q ;
+wire \datamem|ram~5291_combout ;
+wire \datamem|ram~349_q ;
+wire \datamem|ram~381_q ;
+wire \datamem|ram~365_q ;
+wire \datamem|ram~333_q ;
+wire \datamem|ram~5292_combout ;
+wire \datamem|ram~589_q ;
+wire \datamem|ram~605feeder_combout ;
+wire \datamem|ram~605_q ;
+wire \datamem|ram~621feeder_combout ;
+wire \datamem|ram~621_q ;
+wire \datamem|ram~637_q ;
+wire \datamem|ram~5293_combout ;
+wire \datamem|ram~5295_combout ;
+wire \datamem|ram~5306_combout ;
+wire \datamem|ram~5370_combout ;
+wire \reg_write_data[13]~16_combout ;
+wire \reg_file|reg_array[4][13]~q ;
+wire \reg_file|reg_array[7][13]~q ;
+wire \reg_file|reg_read_data_1[13]~26_combout ;
+wire \reg_file|reg_read_data_1[13]~27_combout ;
+wire \JRControl_unit|Equal0~0_combout ;
+wire \Add0~50 ;
+wire \Add0~53_sumout ;
+wire \reg_file|reg_array[1][14]~q ;
+wire \reg_file|reg_array[0][14]~q ;
+wire \reg_file|reg_array[3][14]~feeder_combout ;
+wire \reg_file|reg_array[3][14]~q ;
+wire \reg_file|reg_array[2][14]~q ;
+wire \reg_file|reg_read_data_2[14]~14_combout ;
+wire \read_data2[14]~14_combout ;
+wire \alu_unit|Add0~50 ;
+wire \alu_unit|Add0~53_sumout ;
+wire \alu_unit|Add1~50 ;
+wire \alu_unit|Add1~53_sumout ;
+wire \alu_unit|Mux1~0_combout ;
+wire \alu_unit|Mux1~1_combout ;
+wire \alu_unit|Mux1~2_combout ;
+wire \alu_unit|Mult0~22 ;
+wire \alu_unit|Mux1~3_combout ;
+wire \alu_unit|Mux1~4_combout ;
+wire \datamem|ram~2254_q ;
+wire \datamem|ram~2062_q ;
+wire \datamem|ram~2190feeder_combout ;
+wire \datamem|ram~2190_q ;
+wire \datamem|ram~2126feeder_combout ;
+wire \datamem|ram~2126_q ;
+wire \datamem|ram~5413_combout ;
+wire \datamem|ram~2158feeder_combout ;
+wire \datamem|ram~2158_q ;
+wire \datamem|ram~2094feeder_combout ;
+wire \datamem|ram~2094_q ;
+wire \datamem|ram~2286feeder_combout ;
+wire \datamem|ram~2286_q ;
+wire \datamem|ram~2222_q ;
+wire \datamem|ram~5415_combout ;
+wire \datamem|ram~2110feeder_combout ;
+wire \datamem|ram~2110_q ;
+wire \datamem|ram~2238feeder_combout ;
+wire \datamem|ram~2238_q ;
+wire \datamem|ram~2174feeder_combout ;
+wire \datamem|ram~2174_q ;
+wire \datamem|ram~2302_q ;
+wire \datamem|ram~5416_combout ;
+wire \datamem|ram~2142feeder_combout ;
+wire \datamem|ram~2142_q ;
+wire \datamem|ram~2206feeder_combout ;
+wire \datamem|ram~2206_q ;
+wire \datamem|ram~2078feeder_combout ;
+wire \datamem|ram~2078_q ;
+wire \datamem|ram~2270_q ;
+wire \datamem|ram~5414_combout ;
+wire \datamem|ram~5417_combout ;
+wire \datamem|ram~2382feeder_combout ;
+wire \datamem|ram~2382_q ;
+wire \datamem|ram~2318feeder_combout ;
+wire \datamem|ram~2318_q ;
+wire \datamem|ram~2510_q ;
+wire \datamem|ram~2446_q ;
+wire \datamem|ram~5418_combout ;
+wire \datamem|ram~2398_q ;
+wire \datamem|ram~2462feeder_combout ;
+wire \datamem|ram~2462_q ;
+wire \datamem|ram~2334_q ;
+wire \datamem|ram~2526_q ;
+wire \datamem|ram~5419_combout ;
+wire \datamem|ram~2430feeder_combout ;
+wire \datamem|ram~2430_q ;
+wire \datamem|ram~2494feeder_combout ;
+wire \datamem|ram~2494_q ;
+wire \datamem|ram~2366feeder_combout ;
+wire \datamem|ram~2366_q ;
+wire \datamem|ram~2558_q ;
+wire \datamem|ram~5421_combout ;
+wire \datamem|ram~2414_q ;
+wire \datamem|ram~2478_q ;
+wire \datamem|ram~2350_q ;
+wire \datamem|ram~2542_q ;
+wire \datamem|ram~5420_combout ;
+wire \datamem|ram~5422_combout ;
+wire \datamem|ram~2622_q ;
+wire \datamem|ram~2750_q ;
+wire \datamem|ram~2686_q ;
+wire \datamem|ram~2814_q ;
+wire \datamem|ram~5426_combout ;
+wire \datamem|ram~2574_q ;
+wire \datamem|ram~2702feeder_combout ;
+wire \datamem|ram~2702_q ;
+wire \datamem|ram~2638feeder_combout ;
+wire \datamem|ram~2638_q ;
+wire \datamem|ram~2766_q ;
+wire \datamem|ram~5423_combout ;
+wire \datamem|ram~2718_q ;
+wire \datamem|ram~2590feeder_combout ;
+wire \datamem|ram~2590_q ;
+wire \datamem|ram~2654_q ;
+wire \datamem|ram~2782_q ;
+wire \datamem|ram~5424_combout ;
+wire \datamem|ram~2606feeder_combout ;
+wire \datamem|ram~2606_q ;
+wire \datamem|ram~2670feeder_combout ;
+wire \datamem|ram~2670_q ;
+wire \datamem|ram~2734feeder_combout ;
+wire \datamem|ram~2734_q ;
+wire \datamem|ram~2798_q ;
+wire \datamem|ram~5425_combout ;
+wire \datamem|ram~5427_combout ;
+wire \datamem|ram~2990_q ;
+wire \datamem|ram~2974feeder_combout ;
+wire \datamem|ram~2974_q ;
+wire \datamem|ram~2958feeder_combout ;
+wire \datamem|ram~2958_q ;
+wire \datamem|ram~3006_q ;
+wire \datamem|ram~5430_combout ;
+wire \datamem|ram~2830feeder_combout ;
+wire \datamem|ram~2830_q ;
+wire \datamem|ram~2862feeder_combout ;
+wire \datamem|ram~2862_q ;
+wire \datamem|ram~2846_q ;
+wire \datamem|ram~2878feeder_combout ;
+wire \datamem|ram~2878_q ;
+wire \datamem|ram~5428_combout ;
+wire \datamem|ram~2926_q ;
+wire \datamem|ram~2894feeder_combout ;
+wire \datamem|ram~2894_q ;
+wire \datamem|ram~2910feeder_combout ;
+wire \datamem|ram~2910_q ;
+wire \datamem|ram~2942_q ;
+wire \datamem|ram~5429_combout ;
+wire \datamem|ram~3038feeder_combout ;
+wire \datamem|ram~3038_q ;
+wire \datamem|ram~3022feeder_combout ;
+wire \datamem|ram~3022_q ;
+wire \datamem|ram~3054_q ;
+wire \datamem|ram~3070_q ;
+wire \datamem|ram~5431_combout ;
+wire \datamem|ram~5432_combout ;
+wire \datamem|ram~5433_combout ;
+wire \datamem|ram~94_q ;
+wire \datamem|ram~606feeder_combout ;
+wire \datamem|ram~606_q ;
+wire \datamem|ram~862feeder_combout ;
+wire \datamem|ram~862_q ;
+wire \datamem|ram~350_q ;
+wire \datamem|ram~5377_combout ;
+wire \datamem|ram~30_q ;
+wire \datamem|ram~542_q ;
+wire \datamem|ram~798_q ;
+wire \datamem|ram~286_q ;
+wire \datamem|ram~5376_combout ;
+wire \datamem|ram~734feeder_combout ;
+wire \datamem|ram~734_q ;
+wire \datamem|ram~222feeder_combout ;
+wire \datamem|ram~222_q ;
+wire \datamem|ram~478feeder_combout ;
+wire \datamem|ram~478_q ;
+wire \datamem|ram~990_q ;
+wire \datamem|ram~5379_combout ;
+wire \datamem|ram~670feeder_combout ;
+wire \datamem|ram~670_q ;
+wire \datamem|ram~158_q ;
+wire \datamem|ram~414_q ;
+wire \datamem|ram~926_q ;
+wire \datamem|ram~5378_combout ;
+wire \datamem|ram~5380_combout ;
+wire \datamem|ram~382feeder_combout ;
+wire \datamem|ram~382_q ;
+wire \datamem|ram~446_q ;
+wire \datamem|ram~318_q ;
+wire \datamem|ram~510_q ;
+wire \datamem|ram~5387_combout ;
+wire \datamem|ram~958_q ;
+wire \datamem|ram~830_q ;
+wire \datamem|ram~894feeder_combout ;
+wire \datamem|ram~894_q ;
+wire \datamem|ram~1022_q ;
+wire \datamem|ram~5389_combout ;
+wire \datamem|ram~126_q ;
+wire \datamem|ram~62feeder_combout ;
+wire \datamem|ram~62_q ;
+wire \datamem|ram~190_q ;
+wire \datamem|ram~254_q ;
+wire \datamem|ram~5386_combout ;
+wire \datamem|ram~702_q ;
+wire \datamem|ram~638_q ;
+wire \datamem|ram~574_q ;
+wire \datamem|ram~766_q ;
+wire \datamem|ram~5388_combout ;
+wire \datamem|ram~5390_combout ;
+wire \datamem|ram~238feeder_combout ;
+wire \datamem|ram~238_q ;
+wire \datamem|ram~750_q ;
+wire \datamem|ram~494_q ;
+wire \datamem|ram~1006_q ;
+wire \datamem|ram~5384_combout ;
+wire \datamem|ram~558_q ;
+wire \datamem|ram~814_q ;
+wire \datamem|ram~302_q ;
+wire \datamem|ram~46_q ;
+wire \datamem|ram~5381_combout ;
+wire \datamem|ram~686_q ;
+wire \datamem|ram~430_q ;
+wire \datamem|ram~942feeder_combout ;
+wire \datamem|ram~942_q ;
+wire \datamem|ram~174feeder_combout ;
+wire \datamem|ram~174_q ;
+wire \datamem|ram~5383_combout ;
+wire \datamem|ram~366_q ;
+wire \datamem|ram~622_q ;
+wire \datamem|ram~110feeder_combout ;
+wire \datamem|ram~110_q ;
+wire \datamem|ram~878_q ;
+wire \datamem|ram~5382_combout ;
+wire \datamem|ram~5385_combout ;
+wire \datamem|ram~910_q ;
+wire \datamem|ram~142_q ;
+wire \datamem|ram~398_q ;
+wire \datamem|ram~654_q ;
+wire \datamem|ram~5373_combout ;
+wire \datamem|ram~78_q ;
+wire \datamem|ram~846_q ;
+wire \datamem|ram~590feeder_combout ;
+wire \datamem|ram~590_q ;
+wire \datamem|ram~334_q ;
+wire \datamem|ram~5372_combout ;
+wire \datamem|ram~462_q ;
+wire \datamem|ram~206feeder_combout ;
+wire \datamem|ram~206_q ;
+wire \datamem|ram~718_q ;
+wire \datamem|ram~974_q ;
+wire \datamem|ram~5374_combout ;
+wire \datamem|ram~14_q ;
+wire \datamem|ram~526_q ;
+wire \datamem|ram~782_q ;
+wire \datamem|ram~270_q ;
+wire \datamem|ram~5371_combout ;
+wire \datamem|ram~5375_combout ;
+wire \datamem|ram~5391_combout ;
+wire \datamem|ram~3438_q ;
+wire \datamem|ram~3182feeder_combout ;
+wire \datamem|ram~3182_q ;
+wire \datamem|ram~3694_q ;
+wire \datamem|ram~3950_q ;
+wire \datamem|ram~5445_combout ;
+wire \datamem|ram~3246_q ;
+wire \datamem|ram~3502feeder_combout ;
+wire \datamem|ram~3502_q ;
+wire \datamem|ram~3758_q ;
+wire \datamem|ram~4014_q ;
+wire \datamem|ram~5446_combout ;
+wire \datamem|ram~3374_q ;
+wire \datamem|ram~3630_q ;
+wire \datamem|ram~3118feeder_combout ;
+wire \datamem|ram~3118_q ;
+wire \datamem|ram~3886_q ;
+wire \datamem|ram~5444_combout ;
+wire \datamem|ram~3822_q ;
+wire \datamem|ram~3310feeder_combout ;
+wire \datamem|ram~3310_q ;
+wire \datamem|ram~3566feeder_combout ;
+wire \datamem|ram~3566_q ;
+wire \datamem|ram~4078feeder_combout ;
+wire \datamem|ram~4078_q ;
+wire \datamem|ram~5447_combout ;
+wire \datamem|ram~5448_combout ;
+wire \datamem|ram~3790_q ;
+wire \datamem|ram~3278_q ;
+wire \datamem|ram~3534feeder_combout ;
+wire \datamem|ram~3534_q ;
+wire \datamem|ram~4046_q ;
+wire \datamem|ram~5437_combout ;
+wire \datamem|ram~3086_q ;
+wire \datamem|ram~3342feeder_combout ;
+wire \datamem|ram~3342_q ;
+wire \datamem|ram~3598feeder_combout ;
+wire \datamem|ram~3598_q ;
+wire \datamem|ram~3854_q ;
+wire \datamem|ram~5434_combout ;
+wire \datamem|ram~3726_q ;
+wire \datamem|ram~3214_q ;
+wire \datamem|ram~3470_q ;
+wire \datamem|ram~3982_q ;
+wire \datamem|ram~5436_combout ;
+wire \datamem|ram~3150_q ;
+wire \datamem|ram~3406_q ;
+wire \datamem|ram~3662_q ;
+wire \datamem|ram~3918_q ;
+wire \datamem|ram~5435_combout ;
+wire \datamem|ram~5438_combout ;
+wire \datamem|ram~3134feeder_combout ;
+wire \datamem|ram~3134_q ;
+wire \datamem|ram~3262feeder_combout ;
+wire \datamem|ram~3262_q ;
+wire \datamem|ram~3198_q ;
+wire \datamem|ram~3326_q ;
+wire \datamem|ram~5449_combout ;
+wire \datamem|ram~3518_q ;
+wire \datamem|ram~3454_q ;
+wire \datamem|ram~3390_q ;
+wire \datamem|ram~3582_q ;
+wire \datamem|ram~5450_combout ;
+wire \datamem|ram~3710_q ;
+wire \datamem|ram~3774_q ;
+wire \datamem|ram~3646_q ;
+wire \datamem|ram~3838_q ;
+wire \datamem|ram~5451_combout ;
+wire \datamem|ram~4094_q ;
+wire \datamem|ram~3966_q ;
+wire \datamem|ram~3902_q ;
+wire \datamem|ram~4030_q ;
+wire \datamem|ram~5452_combout ;
+wire \datamem|ram~5453_combout ;
+wire \datamem|ram~3486_q ;
+wire \datamem|ram~3742_q ;
+wire \datamem|ram~3230_q ;
+wire \datamem|ram~3998_q ;
+wire \datamem|ram~5441_combout ;
+wire \datamem|ram~3422_q ;
+wire \datamem|ram~3678feeder_combout ;
+wire \datamem|ram~3678_q ;
+wire \datamem|ram~3166_q ;
+wire \datamem|ram~3934_q ;
+wire \datamem|ram~5440_combout ;
+wire \datamem|ram~3550_q ;
+wire \datamem|ram~3806feeder_combout ;
+wire \datamem|ram~3806_q ;
+wire \datamem|ram~3294_q ;
+wire \datamem|ram~4062_q ;
+wire \datamem|ram~5442_combout ;
+wire \datamem|ram~3358_q ;
+wire \datamem|ram~3614feeder_combout ;
+wire \datamem|ram~3614_q ;
+wire \datamem|ram~3102_q ;
+wire \datamem|ram~3870_q ;
+wire \datamem|ram~5439_combout ;
+wire \datamem|ram~5443_combout ;
+wire \datamem|ram~5454_combout ;
+wire \datamem|ram~1502_q ;
+wire \datamem|ram~1518_q ;
+wire \datamem|ram~1486_q ;
+wire \datamem|ram~1534_q ;
+wire \datamem|ram~5408_combout ;
+wire \datamem|ram~2030_q ;
+wire \datamem|ram~2014feeder_combout ;
+wire \datamem|ram~2014_q ;
+wire \datamem|ram~1998_q ;
+wire \datamem|ram~2046_q ;
+wire \datamem|ram~5410_combout ;
+wire \datamem|ram~1742_q ;
+wire \datamem|ram~1758_q ;
+wire \datamem|ram~1774_q ;
+wire \datamem|ram~1790_q ;
+wire \datamem|ram~5409_combout ;
+wire \datamem|ram~1246feeder_combout ;
+wire \datamem|ram~1246_q ;
+wire \datamem|ram~1262_q ;
+wire \datamem|ram~1230feeder_combout ;
+wire \datamem|ram~1230_q ;
+wire \datamem|ram~1278_q ;
+wire \datamem|ram~5407_combout ;
+wire \datamem|ram~5411_combout ;
+wire \datamem|ram~1870_q ;
+wire \datamem|ram~1902_q ;
+wire \datamem|ram~1886_q ;
+wire \datamem|ram~1918_q ;
+wire \datamem|ram~5400_combout ;
+wire \datamem|ram~1630_q ;
+wire \datamem|ram~1614feeder_combout ;
+wire \datamem|ram~1614_q ;
+wire \datamem|ram~1646feeder_combout ;
+wire \datamem|ram~1646_q ;
+wire \datamem|ram~1662_q ;
+wire \datamem|ram~5399_combout ;
+wire \datamem|ram~1102_q ;
+wire \datamem|ram~1134feeder_combout ;
+wire \datamem|ram~1134_q ;
+wire \datamem|ram~1150feeder_combout ;
+wire \datamem|ram~1150_q ;
+wire \datamem|ram~1118_q ;
+wire \datamem|ram~5397_combout ;
+wire \datamem|ram~1358_q ;
+wire \datamem|ram~1390feeder_combout ;
+wire \datamem|ram~1390_q ;
+wire \datamem|ram~1406feeder_combout ;
+wire \datamem|ram~1406_q ;
+wire \datamem|ram~1374_q ;
+wire \datamem|ram~5398_combout ;
+wire \datamem|ram~5401_combout ;
+wire \datamem|ram~1294_q ;
+wire \datamem|ram~1342feeder_combout ;
+wire \datamem|ram~1342_q ;
+wire \datamem|ram~1326_q ;
+wire \datamem|ram~1310_q ;
+wire \datamem|ram~5393_combout ;
+wire \datamem|ram~1598feeder_combout ;
+wire \datamem|ram~1598_q ;
+wire \datamem|ram~1582feeder_combout ;
+wire \datamem|ram~1582_q ;
+wire \datamem|ram~1550feeder_combout ;
+wire \datamem|ram~1550_q ;
+wire \datamem|ram~1566_q ;
+wire \datamem|ram~5394_combout ;
+wire \datamem|ram~1806_q ;
+wire \datamem|ram~1838_q ;
+wire \datamem|ram~1822_q ;
+wire \datamem|ram~1854_q ;
+wire \datamem|ram~5395_combout ;
+wire \datamem|ram~1070_q ;
+wire \datamem|ram~1038_q ;
+wire \datamem|ram~1086feeder_combout ;
+wire \datamem|ram~1086_q ;
+wire \datamem|ram~1054_q ;
+wire \datamem|ram~5392_combout ;
+wire \datamem|ram~5396_combout ;
+wire \datamem|ram~1438_q ;
+wire \datamem|ram~1182_q ;
+wire \datamem|ram~1694_q ;
+wire \datamem|ram~1950_q ;
+wire \datamem|ram~5403_combout ;
+wire \datamem|ram~1678feeder_combout ;
+wire \datamem|ram~1678_q ;
+wire \datamem|ram~1166feeder_combout ;
+wire \datamem|ram~1166_q ;
+wire \datamem|ram~1422_q ;
+wire \datamem|ram~1934_q ;
+wire \datamem|ram~5402_combout ;
+wire \datamem|ram~1198feeder_combout ;
+wire \datamem|ram~1198_q ;
+wire \datamem|ram~1454_q ;
+wire \datamem|ram~1710feeder_combout ;
+wire \datamem|ram~1710_q ;
+wire \datamem|ram~1966_q ;
+wire \datamem|ram~5404_combout ;
+wire \datamem|ram~1214_q ;
+wire \datamem|ram~1726feeder_combout ;
+wire \datamem|ram~1726_q ;
+wire \datamem|ram~1470_q ;
+wire \datamem|ram~1982_q ;
+wire \datamem|ram~5405_combout ;
+wire \datamem|ram~5406_combout ;
+wire \datamem|ram~5412_combout ;
+wire \datamem|ram~5455_combout ;
+wire \reg_write_data[14]~17_combout ;
+wire \reg_file|reg_array[7][14]~q ;
+wire \reg_file|reg_array[4][14]~q ;
+wire \reg_file|reg_read_data_1[14]~28_combout ;
+wire \alu_unit|shifter_right|st2[1]~5_combout ;
+wire \alu_unit|shifter_right|st2[1]~6_combout ;
+wire \alu_unit|shifter_right|st2[9]~4_combout ;
+wire \alu_unit|Mux9~2_combout ;
+wire \alu_unit|Mux11~5_combout ;
+wire \alu_unit|Mux9~1_combout ;
+wire \alu_unit|Mux9~6_combout ;
+wire \alu_unit|Add0~21_sumout ;
+wire \alu_unit|Add1~21_sumout ;
+wire \alu_unit|Mux9~0_combout ;
+wire \alu_unit|Mult0~14 ;
+wire \alu_unit|Mux9~4_combout ;
+wire \datamem|ram~1334_q ;
+wire \datamem|ram~1526_q ;
+wire \datamem|ram~1462_q ;
+wire \datamem|ram~1398feeder_combout ;
+wire \datamem|ram~1398_q ;
+wire \datamem|ram~4983_combout ;
+wire \datamem|ram~1590_q ;
+wire \datamem|ram~1654feeder_combout ;
+wire \datamem|ram~1654_q ;
+wire \datamem|ram~1718_q ;
+wire \datamem|ram~1782_q ;
+wire \datamem|ram~4984_combout ;
+wire \datamem|ram~1078feeder_combout ;
+wire \datamem|ram~1078_q ;
+wire \datamem|ram~1142_q ;
+wire \datamem|ram~1206feeder_combout ;
+wire \datamem|ram~1206_q ;
+wire \datamem|ram~1270_q ;
+wire \datamem|ram~4982_combout ;
+wire \datamem|ram~1846_q ;
+wire \datamem|ram~1974_q ;
+wire \datamem|ram~1910_q ;
+wire \datamem|ram~2038_q ;
+wire \datamem|ram~4985_combout ;
+wire \datamem|ram~4986_combout ;
+wire \datamem|ram~1542feeder_combout ;
+wire \datamem|ram~1542_q ;
+wire \datamem|ram~1798feeder_combout ;
+wire \datamem|ram~1798_q ;
+wire \datamem|ram~1030feeder_combout ;
+wire \datamem|ram~1030_q ;
+wire \datamem|ram~1286_q ;
+wire \datamem|ram~4967_combout ;
+wire \datamem|ram~1222_q ;
+wire \datamem|ram~1734feeder_combout ;
+wire \datamem|ram~1734_q ;
+wire \datamem|ram~1478feeder_combout ;
+wire \datamem|ram~1478_q ;
+wire \datamem|ram~1990_q ;
+wire \datamem|ram~4970_combout ;
+wire \datamem|ram~1094feeder_combout ;
+wire \datamem|ram~1094_q ;
+wire \datamem|ram~1862_q ;
+wire \datamem|ram~1606_q ;
+wire \datamem|ram~1350_q ;
+wire \datamem|ram~4968_combout ;
+wire \datamem|ram~1414_q ;
+wire \datamem|ram~1158_q ;
+wire \datamem|ram~1670feeder_combout ;
+wire \datamem|ram~1670_q ;
+wire \datamem|ram~1926_q ;
+wire \datamem|ram~4969_combout ;
+wire \datamem|ram~4971_combout ;
+wire \datamem|ram~1750feeder_combout ;
+wire \datamem|ram~1750_q ;
+wire \datamem|ram~1238feeder_combout ;
+wire \datamem|ram~1238_q ;
+wire \datamem|ram~1494feeder_combout ;
+wire \datamem|ram~1494_q ;
+wire \datamem|ram~2006_q ;
+wire \datamem|ram~4975_combout ;
+wire \datamem|ram~1622_q ;
+wire \datamem|ram~1110feeder_combout ;
+wire \datamem|ram~1110_q ;
+wire \datamem|ram~1878_q ;
+wire \datamem|ram~1366_q ;
+wire \datamem|ram~4973_combout ;
+wire \datamem|ram~1046feeder_combout ;
+wire \datamem|ram~1046_q ;
+wire \datamem|ram~1814feeder_combout ;
+wire \datamem|ram~1814_q ;
+wire \datamem|ram~1558feeder_combout ;
+wire \datamem|ram~1558_q ;
+wire \datamem|ram~1302_q ;
+wire \datamem|ram~4972_combout ;
+wire \datamem|ram~1430feeder_combout ;
+wire \datamem|ram~1430_q ;
+wire \datamem|ram~1174feeder_combout ;
+wire \datamem|ram~1174_q ;
+wire \datamem|ram~1686_q ;
+wire \datamem|ram~1942_q ;
+wire \datamem|ram~4974_combout ;
+wire \datamem|ram~4976_combout ;
+wire \datamem|ram~1510feeder_combout ;
+wire \datamem|ram~1510_q ;
+wire \datamem|ram~1254feeder_combout ;
+wire \datamem|ram~1254_q ;
+wire \datamem|ram~1766_q ;
+wire \datamem|ram~2022_q ;
+wire \datamem|ram~4980_combout ;
+wire \datamem|ram~1446feeder_combout ;
+wire \datamem|ram~1446_q ;
+wire \datamem|ram~1702_q ;
+wire \datamem|ram~1190_q ;
+wire \datamem|ram~1958_q ;
+wire \datamem|ram~4979_combout ;
+wire \datamem|ram~1382_q ;
+wire \datamem|ram~1638_q ;
+wire \datamem|ram~1126feeder_combout ;
+wire \datamem|ram~1126_q ;
+wire \datamem|ram~1894_q ;
+wire \datamem|ram~4978_combout ;
+wire \datamem|ram~1062feeder_combout ;
+wire \datamem|ram~1062_q ;
+wire \datamem|ram~1574_q ;
+wire \datamem|ram~1318feeder_combout ;
+wire \datamem|ram~1318_q ;
+wire \datamem|ram~1830_q ;
+wire \datamem|ram~4977_combout ;
+wire \datamem|ram~4981_combout ;
+wire \datamem|ram~4987_combout ;
+wire \datamem|ram~3222feeder_combout ;
+wire \datamem|ram~3222_q ;
+wire \datamem|ram~3158feeder_combout ;
+wire \datamem|ram~3158_q ;
+wire \datamem|ram~3094_q ;
+wire \datamem|ram~3286_q ;
+wire \datamem|ram~5010_combout ;
+wire \datamem|ram~3110feeder_combout ;
+wire \datamem|ram~3110_q ;
+wire \datamem|ram~3238_q ;
+wire \datamem|ram~3174feeder_combout ;
+wire \datamem|ram~3174_q ;
+wire \datamem|ram~3302_q ;
+wire \datamem|ram~5011_combout ;
+wire \datamem|ram~3078feeder_combout ;
+wire \datamem|ram~3078_q ;
+wire \datamem|ram~3142feeder_combout ;
+wire \datamem|ram~3142_q ;
+wire \datamem|ram~3206feeder_combout ;
+wire \datamem|ram~3206_q ;
+wire \datamem|ram~3270_q ;
+wire \datamem|ram~5009_combout ;
+wire \datamem|ram~3126_q ;
+wire \datamem|ram~3254_q ;
+wire \datamem|ram~3190_q ;
+wire \datamem|ram~3318_q ;
+wire \datamem|ram~5012_combout ;
+wire \datamem|ram~5013_combout ;
+wire \datamem|ram~3414feeder_combout ;
+wire \datamem|ram~3414_q ;
+wire \datamem|ram~3478feeder_combout ;
+wire \datamem|ram~3478_q ;
+wire \datamem|ram~3350feeder_combout ;
+wire \datamem|ram~3350_q ;
+wire \datamem|ram~3542_q ;
+wire \datamem|ram~5015_combout ;
+wire \datamem|ram~3430feeder_combout ;
+wire \datamem|ram~3430_q ;
+wire \datamem|ram~3494_q ;
+wire \datamem|ram~3366_q ;
+wire \datamem|ram~3558_q ;
+wire \datamem|ram~5016_combout ;
+wire \datamem|ram~3462feeder_combout ;
+wire \datamem|ram~3462_q ;
+wire \datamem|ram~3398feeder_combout ;
+wire \datamem|ram~3398_q ;
+wire \datamem|ram~3334_q ;
+wire \datamem|ram~3526_q ;
+wire \datamem|ram~5014_combout ;
+wire \datamem|ram~3446feeder_combout ;
+wire \datamem|ram~3446_q ;
+wire \datamem|ram~3382_q ;
+wire \datamem|ram~3510feeder_combout ;
+wire \datamem|ram~3510_q ;
+wire \datamem|ram~3574_q ;
+wire \datamem|ram~5017_combout ;
+wire \datamem|ram~5018_combout ;
+wire \datamem|ram~3878_q ;
+wire \datamem|ram~3846feeder_combout ;
+wire \datamem|ram~3846_q ;
+wire \datamem|ram~3862_q ;
+wire \datamem|ram~3894_q ;
+wire \datamem|ram~5024_combout ;
+wire \datamem|ram~4006_q ;
+wire \datamem|ram~3974_q ;
+wire \datamem|ram~3990_q ;
+wire \datamem|ram~4022_q ;
+wire \datamem|ram~5026_combout ;
+wire \datamem|ram~3942feeder_combout ;
+wire \datamem|ram~3942_q ;
+wire \datamem|ram~3926_q ;
+wire \datamem|ram~3910_q ;
+wire \datamem|ram~3958_q ;
+wire \datamem|ram~5025_combout ;
+wire \datamem|ram~4038feeder_combout ;
+wire \datamem|ram~4038_q ;
+wire \datamem|ram~4070feeder_combout ;
+wire \datamem|ram~4070_q ;
+wire \datamem|ram~4054_q ;
+wire \datamem|ram~4086_q ;
+wire \datamem|ram~5027_combout ;
+wire \datamem|ram~5028_combout ;
+wire \datamem|ram~3622feeder_combout ;
+wire \datamem|ram~3622_q ;
+wire \datamem|ram~3750_q ;
+wire \datamem|ram~3686_q ;
+wire \datamem|ram~3814_q ;
+wire \datamem|ram~5021_combout ;
+wire \datamem|ram~3638_q ;
+wire \datamem|ram~3702_q ;
+wire \datamem|ram~3766feeder_combout ;
+wire \datamem|ram~3766_q ;
+wire \datamem|ram~3830_q ;
+wire \datamem|ram~5022_combout ;
+wire \datamem|ram~3654feeder_combout ;
+wire \datamem|ram~3654_q ;
+wire \datamem|ram~3590feeder_combout ;
+wire \datamem|ram~3590_q ;
+wire \datamem|ram~3718feeder_combout ;
+wire \datamem|ram~3718_q ;
+wire \datamem|ram~3782_q ;
+wire \datamem|ram~5019_combout ;
+wire \datamem|ram~3734feeder_combout ;
+wire \datamem|ram~3734_q ;
+wire \datamem|ram~3670_q ;
+wire \datamem|ram~3606feeder_combout ;
+wire \datamem|ram~3606_q ;
+wire \datamem|ram~3798_q ;
+wire \datamem|ram~5020_combout ;
+wire \datamem|ram~5023_combout ;
+wire \datamem|ram~5029_combout ;
+wire \datamem|ram~3014feeder_combout ;
+wire \datamem|ram~3014_q ;
+wire \datamem|ram~3030feeder_combout ;
+wire \datamem|ram~3030_q ;
+wire \datamem|ram~3046_q ;
+wire \datamem|ram~3062_q ;
+wire \datamem|ram~5006_combout ;
+wire \datamem|ram~2534feeder_combout ;
+wire \datamem|ram~2534_q ;
+wire \datamem|ram~2518_q ;
+wire \datamem|ram~2502_q ;
+wire \datamem|ram~2550_q ;
+wire \datamem|ram~5004_combout ;
+wire \datamem|ram~2774_q ;
+wire \datamem|ram~2790_q ;
+wire \datamem|ram~2758_q ;
+wire \datamem|ram~2806_q ;
+wire \datamem|ram~5005_combout ;
+wire \datamem|ram~2246_q ;
+wire \datamem|ram~2278feeder_combout ;
+wire \datamem|ram~2278_q ;
+wire \datamem|ram~2262_q ;
+wire \datamem|ram~2294_q ;
+wire \datamem|ram~5003_combout ;
+wire \datamem|ram~5007_combout ;
+wire \datamem|ram~2838_q ;
+wire \datamem|ram~2854feeder_combout ;
+wire \datamem|ram~2854_q ;
+wire \datamem|ram~2822feeder_combout ;
+wire \datamem|ram~2822_q ;
+wire \datamem|ram~2870_q ;
+wire \datamem|ram~4991_combout ;
+wire \datamem|ram~2358_q ;
+wire \datamem|ram~2342feeder_combout ;
+wire \datamem|ram~2342_q ;
+wire \datamem|ram~2326feeder_combout ;
+wire \datamem|ram~2326_q ;
+wire \datamem|ram~2310_q ;
+wire \datamem|ram~4989_combout ;
+wire \datamem|ram~2566_q ;
+wire \datamem|ram~2598_q ;
+wire \datamem|ram~2582_q ;
+wire \datamem|ram~2614_q ;
+wire \datamem|ram~4990_combout ;
+wire \datamem|ram~2054_q ;
+wire \datamem|ram~2070feeder_combout ;
+wire \datamem|ram~2070_q ;
+wire \datamem|ram~2086_q ;
+wire \datamem|ram~2102_q ;
+wire \datamem|ram~4988_combout ;
+wire \datamem|ram~4992_combout ;
+wire \datamem|ram~2198_q ;
+wire \datamem|ram~2966_q ;
+wire \datamem|ram~2454feeder_combout ;
+wire \datamem|ram~2454_q ;
+wire \datamem|ram~2710_q ;
+wire \datamem|ram~4999_combout ;
+wire \datamem|ram~2438feeder_combout ;
+wire \datamem|ram~2438_q ;
+wire \datamem|ram~2694feeder_combout ;
+wire \datamem|ram~2694_q ;
+wire \datamem|ram~2182_q ;
+wire \datamem|ram~2950_q ;
+wire \datamem|ram~4998_combout ;
+wire \datamem|ram~2742_q ;
+wire \datamem|ram~2230_q ;
+wire \datamem|ram~2486feeder_combout ;
+wire \datamem|ram~2486_q ;
+wire \datamem|ram~2998_q ;
+wire \datamem|ram~5001_combout ;
+wire \datamem|ram~2726_q ;
+wire \datamem|ram~2470feeder_combout ;
+wire \datamem|ram~2470_q ;
+wire \datamem|ram~2214_q ;
+wire \datamem|ram~2982_q ;
+wire \datamem|ram~5000_combout ;
+wire \datamem|ram~5002_combout ;
+wire \datamem|ram~2390_q ;
+wire \datamem|ram~2406_q ;
+wire \datamem|ram~2374feeder_combout ;
+wire \datamem|ram~2374_q ;
+wire \datamem|ram~2422_q ;
+wire \datamem|ram~4994_combout ;
+wire \datamem|ram~2150feeder_combout ;
+wire \datamem|ram~2150_q ;
+wire \datamem|ram~2134_q ;
+wire \datamem|ram~2118_q ;
+wire \datamem|ram~2166_q ;
+wire \datamem|ram~4993_combout ;
+wire \datamem|ram~2902feeder_combout ;
+wire \datamem|ram~2902_q ;
+wire \datamem|ram~2918_q ;
+wire \datamem|ram~2934_q ;
+wire \datamem|ram~2886_q ;
+wire \datamem|ram~4996_combout ;
+wire \datamem|ram~2630_q ;
+wire \datamem|ram~2646feeder_combout ;
+wire \datamem|ram~2646_q ;
+wire \datamem|ram~2662_q ;
+wire \datamem|ram~2678_q ;
+wire \datamem|ram~4995_combout ;
+wire \datamem|ram~4997_combout ;
+wire \datamem|ram~5008_combout ;
+wire \datamem|ram~38_q ;
+wire \datamem|ram~102feeder_combout ;
+wire \datamem|ram~102_q ;
+wire \datamem|ram~166_q ;
+wire \datamem|ram~230_q ;
+wire \datamem|ram~4948_combout ;
+wire \datamem|ram~54_q ;
+wire \datamem|ram~118feeder_combout ;
+wire \datamem|ram~118_q ;
+wire \datamem|ram~182feeder_combout ;
+wire \datamem|ram~182_q ;
+wire \datamem|ram~246_q ;
+wire \datamem|ram~4949_combout ;
+wire \datamem|ram~22_q ;
+wire \datamem|ram~214_q ;
+wire \datamem|ram~150_q ;
+wire \datamem|ram~86_q ;
+wire \datamem|ram~4947_combout ;
+wire \datamem|ram~134_q ;
+wire \datamem|ram~198feeder_combout ;
+wire \datamem|ram~198_q ;
+wire \datamem|ram~6feeder_combout ;
+wire \datamem|ram~6_q ;
+wire \datamem|ram~70_q ;
+wire \datamem|ram~4946_combout ;
+wire \datamem|ram~4950_combout ;
+wire \datamem|ram~358feeder_combout ;
+wire \datamem|ram~358_q ;
+wire \datamem|ram~294_q ;
+wire \datamem|ram~422feeder_combout ;
+wire \datamem|ram~422_q ;
+wire \datamem|ram~486_q ;
+wire \datamem|ram~4953_combout ;
+wire \datamem|ram~262_q ;
+wire \datamem|ram~390_q ;
+wire \datamem|ram~454_q ;
+wire \datamem|ram~326_q ;
+wire \datamem|ram~4951_combout ;
+wire \datamem|ram~406_q ;
+wire \datamem|ram~470_q ;
+wire \datamem|ram~278_q ;
+wire \datamem|ram~342_q ;
+wire \datamem|ram~4952_combout ;
+wire \datamem|ram~438_q ;
+wire \datamem|ram~374feeder_combout ;
+wire \datamem|ram~374_q ;
+wire \datamem|ram~310feeder_combout ;
+wire \datamem|ram~310_q ;
+wire \datamem|ram~502_q ;
+wire \datamem|ram~4954_combout ;
+wire \datamem|ram~4955_combout ;
+wire \datamem|ram~678_q ;
+wire \datamem|ram~614_q ;
+wire \datamem|ram~550_q ;
+wire \datamem|ram~742_q ;
+wire \datamem|ram~4958_combout ;
+wire \datamem|ram~566_q ;
+wire \datamem|ram~630_q ;
+wire \datamem|ram~694_q ;
+wire \datamem|ram~758_q ;
+wire \datamem|ram~4959_combout ;
+wire \datamem|ram~582feeder_combout ;
+wire \datamem|ram~582_q ;
+wire \datamem|ram~646feeder_combout ;
+wire \datamem|ram~646_q ;
+wire \datamem|ram~518_q ;
+wire \datamem|ram~710_q ;
+wire \datamem|ram~4956_combout ;
+wire \datamem|ram~534_q ;
+wire \datamem|ram~662_q ;
+wire \datamem|ram~598feeder_combout ;
+wire \datamem|ram~598_q ;
+wire \datamem|ram~726_q ;
+wire \datamem|ram~4957_combout ;
+wire \datamem|ram~4960_combout ;
+wire \datamem|ram~934_q ;
+wire \datamem|ram~918feeder_combout ;
+wire \datamem|ram~918_q ;
+wire \datamem|ram~902feeder_combout ;
+wire \datamem|ram~902_q ;
+wire \datamem|ram~950_q ;
+wire \datamem|ram~4963_combout ;
+wire \datamem|ram~998_q ;
+wire \datamem|ram~982_q ;
+wire \datamem|ram~966_q ;
+wire \datamem|ram~1014_q ;
+wire \datamem|ram~4964_combout ;
+wire \datamem|ram~870_q ;
+wire \datamem|ram~854_q ;
+wire \datamem|ram~838feeder_combout ;
+wire \datamem|ram~838_q ;
+wire \datamem|ram~886_q ;
+wire \datamem|ram~4962_combout ;
+wire \datamem|ram~774_q ;
+wire \datamem|ram~806_q ;
+wire \datamem|ram~790feeder_combout ;
+wire \datamem|ram~790_q ;
+wire \datamem|ram~822_q ;
+wire \datamem|ram~4961_combout ;
+wire \datamem|ram~4965_combout ;
+wire \datamem|ram~4966_combout ;
+wire \datamem|ram~5030_combout ;
+wire \reg_write_data[6]~12_combout ;
+wire \reg_file|reg_array[3][6]~q ;
+wire \reg_file|reg_array[4][6]~q ;
+wire \reg_file|reg_array[7][6]~q ;
+wire \reg_file|reg_read_data_1[6]~18_combout ;
+wire \reg_file|reg_read_data_1[6]~19_combout ;
+wire \alu_unit|shifter_right|st2[10]~1_combout ;
+wire \alu_unit|Mux14~1_combout ;
+wire \alu_unit|Mux14~2_combout ;
+wire \alu_unit|Add1~1_sumout ;
+wire \alu_unit|Add0~62 ;
+wire \alu_unit|Add0~1_sumout ;
+wire \alu_unit|Mux14~0_combout ;
+wire \alu_unit|Mux14~7_combout ;
+wire \alu_unit|Mult0~9 ;
+wire \alu_unit|Mux14~6_combout ;
+wire \datamem|ram~961feeder_combout ;
+wire \datamem|ram~961_q ;
+wire \datamem|ram~977_q ;
+wire \datamem|ram~993_q ;
+wire \datamem|ram~1009_q ;
+wire \datamem|ram~4114_combout ;
+wire \datamem|ram~721feeder_combout ;
+wire \datamem|ram~721_q ;
+wire \datamem|ram~737feeder_combout ;
+wire \datamem|ram~737_q ;
+wire \datamem|ram~705_q ;
+wire \datamem|ram~753_q ;
+wire \datamem|ram~4113_combout ;
+wire \datamem|ram~193_q ;
+wire \datamem|ram~209_q ;
+wire \datamem|ram~225_q ;
+wire \datamem|ram~241_q ;
+wire \datamem|ram~4111_combout ;
+wire \datamem|ram~449feeder_combout ;
+wire \datamem|ram~449_q ;
+wire \datamem|ram~481feeder_combout ;
+wire \datamem|ram~481_q ;
+wire \datamem|ram~465_q ;
+wire \datamem|ram~497_q ;
+wire \datamem|ram~4112_combout ;
+wire \datamem|ram~4115_combout ;
+wire \datamem|ram~321_q ;
+wire \datamem|ram~353_q ;
+wire \datamem|ram~369_q ;
+wire \datamem|ram~337_q ;
+wire \datamem|ram~4102_combout ;
+wire \datamem|ram~865_q ;
+wire \datamem|ram~849feeder_combout ;
+wire \datamem|ram~849_q ;
+wire \datamem|ram~833feeder_combout ;
+wire \datamem|ram~833_q ;
+wire \datamem|ram~881_q ;
+wire \datamem|ram~4104_combout ;
+wire \datamem|ram~97feeder_combout ;
+wire \datamem|ram~97_q ;
+wire \datamem|ram~113_q ;
+wire \datamem|ram~65_q ;
+wire \datamem|ram~81_q ;
+wire \datamem|ram~4101_combout ;
+wire \datamem|ram~593_q ;
+wire \datamem|ram~577feeder_combout ;
+wire \datamem|ram~577_q ;
+wire \datamem|ram~625_q ;
+wire \datamem|ram~609_q ;
+wire \datamem|ram~4103_combout ;
+wire \datamem|ram~4105_combout ;
+wire \datamem|ram~945_q ;
+wire \datamem|ram~689feeder_combout ;
+wire \datamem|ram~689_q ;
+wire \datamem|ram~433feeder_combout ;
+wire \datamem|ram~433_q ;
+wire \datamem|ram~177_q ;
+wire \datamem|ram~4109_combout ;
+wire \datamem|ram~913_q ;
+wire \datamem|ram~657_q ;
+wire \datamem|ram~145_q ;
+wire \datamem|ram~401_q ;
+wire \datamem|ram~4107_combout ;
+wire \datamem|ram~641_q ;
+wire \datamem|ram~129feeder_combout ;
+wire \datamem|ram~129_q ;
+wire \datamem|ram~385_q ;
+wire \datamem|ram~897_q ;
+wire \datamem|ram~4106_combout ;
+wire \datamem|ram~673_q ;
+wire \datamem|ram~929_q ;
+wire \datamem|ram~161_q ;
+wire \datamem|ram~417_q ;
+wire \datamem|ram~4108_combout ;
+wire \datamem|ram~4110_combout ;
+wire \datamem|ram~257feeder_combout ;
+wire \datamem|ram~257_q ;
+wire \datamem|ram~273_q ;
+wire \datamem|ram~305feeder_combout ;
+wire \datamem|ram~305_q ;
+wire \datamem|ram~289_q ;
+wire \datamem|ram~4097_combout ;
+wire \datamem|ram~529feeder_combout ;
+wire \datamem|ram~529_q ;
+wire \datamem|ram~545_q ;
+wire \datamem|ram~513_q ;
+wire \datamem|ram~561_q ;
+wire \datamem|ram~4098_combout ;
+wire \datamem|ram~1feeder_combout ;
+wire \datamem|ram~1_q ;
+wire \datamem|ram~33_q ;
+wire \datamem|ram~17_q ;
+wire \datamem|ram~49_q ;
+wire \datamem|ram~4096_combout ;
+wire \datamem|ram~785_q ;
+wire \datamem|ram~769_q ;
+wire \datamem|ram~801_q ;
+wire \datamem|ram~817_q ;
+wire \datamem|ram~4099_combout ;
+wire \datamem|ram~4100_combout ;
+wire \datamem|ram~4116_combout ;
+wire \datamem|ram~3137feeder_combout ;
+wire \datamem|ram~3137_q ;
+wire \datamem|ram~3153feeder_combout ;
+wire \datamem|ram~3153_q ;
+wire \datamem|ram~3169_q ;
+wire \datamem|ram~3185_q ;
+wire \datamem|ram~4164_combout ;
+wire \datamem|ram~3409_q ;
+wire \datamem|ram~3393_q ;
+wire \datamem|ram~3425_q ;
+wire \datamem|ram~3441feeder_combout ;
+wire \datamem|ram~3441_q ;
+wire \datamem|ram~4165_combout ;
+wire \datamem|ram~3681feeder_combout ;
+wire \datamem|ram~3681_q ;
+wire \datamem|ram~3649feeder_combout ;
+wire \datamem|ram~3649_q ;
+wire \datamem|ram~3665_q ;
+wire \datamem|ram~3697_q ;
+wire \datamem|ram~4166_combout ;
+wire \datamem|ram~3921_q ;
+wire \datamem|ram~3905_q ;
+wire \datamem|ram~3937feeder_combout ;
+wire \datamem|ram~3937_q ;
+wire \datamem|ram~3953_q ;
+wire \datamem|ram~4167_combout ;
+wire \datamem|ram~4168_combout ;
+wire \datamem|ram~3889_q ;
+wire \datamem|ram~3857feeder_combout ;
+wire \datamem|ram~3857_q ;
+wire \datamem|ram~3841feeder_combout ;
+wire \datamem|ram~3841_q ;
+wire \datamem|ram~3873_q ;
+wire \datamem|ram~4162_combout ;
+wire \datamem|ram~3601feeder_combout ;
+wire \datamem|ram~3601_q ;
+wire \datamem|ram~3633feeder_combout ;
+wire \datamem|ram~3633_q ;
+wire \datamem|ram~3617_q ;
+wire \datamem|ram~3585_q ;
+wire \datamem|ram~4161_combout ;
+wire \datamem|ram~3073_q ;
+wire \datamem|ram~3105_q ;
+wire \datamem|ram~3089feeder_combout ;
+wire \datamem|ram~3089_q ;
+wire \datamem|ram~3121_q ;
+wire \datamem|ram~4159_combout ;
+wire \datamem|ram~3361_q ;
+wire \datamem|ram~3345feeder_combout ;
+wire \datamem|ram~3345_q ;
+wire \datamem|ram~3329feeder_combout ;
+wire \datamem|ram~3329_q ;
+wire \datamem|ram~3377_q ;
+wire \datamem|ram~4160_combout ;
+wire \datamem|ram~4163_combout ;
+wire \datamem|ram~3265_q ;
+wire \datamem|ram~3297_q ;
+wire \datamem|ram~3281feeder_combout ;
+wire \datamem|ram~3281_q ;
+wire \datamem|ram~3313_q ;
+wire \datamem|ram~4174_combout ;
+wire \datamem|ram~3809_q ;
+wire \datamem|ram~3777feeder_combout ;
+wire \datamem|ram~3777_q ;
+wire \datamem|ram~3793feeder_combout ;
+wire \datamem|ram~3793_q ;
+wire \datamem|ram~3825_q ;
+wire \datamem|ram~4176_combout ;
+wire \datamem|ram~4033feeder_combout ;
+wire \datamem|ram~4033_q ;
+wire \datamem|ram~4065_q ;
+wire \datamem|ram~4049_q ;
+wire \datamem|ram~4081_q ;
+wire \datamem|ram~4177_combout ;
+wire \datamem|ram~3521_q ;
+wire \datamem|ram~3537feeder_combout ;
+wire \datamem|ram~3537_q ;
+wire \datamem|ram~3553_q ;
+wire \datamem|ram~3569_q ;
+wire \datamem|ram~4175_combout ;
+wire \datamem|ram~4178_combout ;
+wire \datamem|ram~3249_q ;
+wire \datamem|ram~3505_q ;
+wire \datamem|ram~4017_q ;
+wire \datamem|ram~3761_q ;
+wire \datamem|ram~4172_combout ;
+wire \datamem|ram~3489feeder_combout ;
+wire \datamem|ram~3489_q ;
+wire \datamem|ram~3233feeder_combout ;
+wire \datamem|ram~3233_q ;
+wire \datamem|ram~3745_q ;
+wire \datamem|ram~4001_q ;
+wire \datamem|ram~4171_combout ;
+wire \datamem|ram~3985_q ;
+wire \datamem|ram~3217_q ;
+wire \datamem|ram~3473_q ;
+wire \datamem|ram~3729feeder_combout ;
+wire \datamem|ram~3729_q ;
+wire \datamem|ram~4170_combout ;
+wire \datamem|ram~3713feeder_combout ;
+wire \datamem|ram~3713_q ;
+wire \datamem|ram~3457_q ;
+wire \datamem|ram~3201feeder_combout ;
+wire \datamem|ram~3201_q ;
+wire \datamem|ram~3969_q ;
+wire \datamem|ram~4169_combout ;
+wire \datamem|ram~4173_combout ;
+wire \datamem|ram~4179_combout ;
+wire \datamem|ram~2225_q ;
+wire \datamem|ram~2161_q ;
+wire \datamem|ram~2097feeder_combout ;
+wire \datamem|ram~2097_q ;
+wire \datamem|ram~2289_q ;
+wire \datamem|ram~4153_combout ;
+wire \datamem|ram~2353feeder_combout ;
+wire \datamem|ram~2353_q ;
+wire \datamem|ram~2417feeder_combout ;
+wire \datamem|ram~2417_q ;
+wire \datamem|ram~2481_q ;
+wire \datamem|ram~2545_q ;
+wire \datamem|ram~4154_combout ;
+wire \datamem|ram~2737_q ;
+wire \datamem|ram~2673_q ;
+wire \datamem|ram~2609_q ;
+wire \datamem|ram~2801_q ;
+wire \datamem|ram~4155_combout ;
+wire \datamem|ram~2993_q ;
+wire \datamem|ram~2929_q ;
+wire \datamem|ram~2865_q ;
+wire \datamem|ram~3057_q ;
+wire \datamem|ram~4156_combout ;
+wire \datamem|ram~4157_combout ;
+wire \datamem|ram~2065_q ;
+wire \datamem|ram~2577feeder_combout ;
+wire \datamem|ram~2577_q ;
+wire \datamem|ram~2321feeder_combout ;
+wire \datamem|ram~2321_q ;
+wire \datamem|ram~2833_q ;
+wire \datamem|ram~4143_combout ;
+wire \datamem|ram~3025_q ;
+wire \datamem|ram~2769_q ;
+wire \datamem|ram~2257_q ;
+wire \datamem|ram~2513feeder_combout ;
+wire \datamem|ram~2513_q ;
+wire \datamem|ram~4146_combout ;
+wire \datamem|ram~2449_q ;
+wire \datamem|ram~2193_q ;
+wire \datamem|ram~2705_q ;
+wire \datamem|ram~2961_q ;
+wire \datamem|ram~4145_combout ;
+wire \datamem|ram~2385feeder_combout ;
+wire \datamem|ram~2385_q ;
+wire \datamem|ram~2641_q ;
+wire \datamem|ram~2129feeder_combout ;
+wire \datamem|ram~2129_q ;
+wire \datamem|ram~2897_q ;
+wire \datamem|ram~4144_combout ;
+wire \datamem|ram~4147_combout ;
+wire \datamem|ram~2241_q ;
+wire \datamem|ram~2753feeder_combout ;
+wire \datamem|ram~2753_q ;
+wire \datamem|ram~2497_q ;
+wire \datamem|ram~3009_q ;
+wire \datamem|ram~4141_combout ;
+wire \datamem|ram~2625_q ;
+wire \datamem|ram~2369_q ;
+wire \datamem|ram~2113_q ;
+wire \datamem|ram~2881_q ;
+wire \datamem|ram~4139_combout ;
+wire \datamem|ram~2177_q ;
+wire \datamem|ram~2689feeder_combout ;
+wire \datamem|ram~2689_q ;
+wire \datamem|ram~2433feeder_combout ;
+wire \datamem|ram~2433_q ;
+wire \datamem|ram~2945_q ;
+wire \datamem|ram~4140_combout ;
+wire \datamem|ram~2305_q ;
+wire \datamem|ram~2049feeder_combout ;
+wire \datamem|ram~2049_q ;
+wire \datamem|ram~2561_q ;
+wire \datamem|ram~2817_q ;
+wire \datamem|ram~4138_combout ;
+wire \datamem|ram~4142_combout ;
+wire \datamem|ram~2465feeder_combout ;
+wire \datamem|ram~2465_q ;
+wire \datamem|ram~2209feeder_combout ;
+wire \datamem|ram~2209_q ;
+wire \datamem|ram~2721feeder_combout ;
+wire \datamem|ram~2721_q ;
+wire \datamem|ram~2977_q ;
+wire \datamem|ram~4150_combout ;
+wire \datamem|ram~2401_q ;
+wire \datamem|ram~2913_q ;
+wire \datamem|ram~2145feeder_combout ;
+wire \datamem|ram~2145_q ;
+wire \datamem|ram~2657_q ;
+wire \datamem|ram~4149_combout ;
+wire \datamem|ram~2081_q ;
+wire \datamem|ram~2337_q ;
+wire \datamem|ram~2593_q ;
+wire \datamem|ram~2849_q ;
+wire \datamem|ram~4148_combout ;
+wire \datamem|ram~2273feeder_combout ;
+wire \datamem|ram~2273_q ;
+wire \datamem|ram~2785_q ;
+wire \datamem|ram~2529feeder_combout ;
+wire \datamem|ram~2529_q ;
+wire \datamem|ram~3041_q ;
+wire \datamem|ram~4151_combout ;
+wire \datamem|ram~4152_combout ;
+wire \datamem|ram~4158_combout ;
+wire \datamem|ram~1425feeder_combout ;
+wire \datamem|ram~1425_q ;
+wire \datamem|ram~1489feeder_combout ;
+wire \datamem|ram~1489_q ;
+wire \datamem|ram~1297_q ;
+wire \datamem|ram~1361_q ;
+wire \datamem|ram~4123_combout ;
+wire \datamem|ram~1313feeder_combout ;
+wire \datamem|ram~1313_q ;
+wire \datamem|ram~1441feeder_combout ;
+wire \datamem|ram~1441_q ;
+wire \datamem|ram~1377_q ;
+wire \datamem|ram~1505_q ;
+wire \datamem|ram~4124_combout ;
+wire \datamem|ram~1473_q ;
+wire \datamem|ram~1281_q ;
+wire \datamem|ram~1409_q ;
+wire \datamem|ram~1345_q ;
+wire \datamem|ram~4122_combout ;
+wire \datamem|ram~1329feeder_combout ;
+wire \datamem|ram~1329_q ;
+wire \datamem|ram~1393feeder_combout ;
+wire \datamem|ram~1393_q ;
+wire \datamem|ram~1521feeder_combout ;
+wire \datamem|ram~1521_q ;
+wire \datamem|ram~1457_q ;
+wire \datamem|ram~4125_combout ;
+wire \datamem|ram~4126_combout ;
+wire \datamem|ram~1233_q ;
+wire \datamem|ram~1041_q ;
+wire \datamem|ram~1105_q ;
+wire \datamem|ram~1169_q ;
+wire \datamem|ram~4118_combout ;
+wire \datamem|ram~1137_q ;
+wire \datamem|ram~1201_q ;
+wire \datamem|ram~1073_q ;
+wire \datamem|ram~1265_q ;
+wire \datamem|ram~4120_combout ;
+wire \datamem|ram~1217feeder_combout ;
+wire \datamem|ram~1217_q ;
+wire \datamem|ram~1089_q ;
+wire \datamem|ram~1025_q ;
+wire \datamem|ram~1153feeder_combout ;
+wire \datamem|ram~1153_q ;
+wire \datamem|ram~4117_combout ;
+wire \datamem|ram~1185_q ;
+wire \datamem|ram~1121feeder_combout ;
+wire \datamem|ram~1121_q ;
+wire \datamem|ram~1057feeder_combout ;
+wire \datamem|ram~1057_q ;
+wire \datamem|ram~1249_q ;
+wire \datamem|ram~4119_combout ;
+wire \datamem|ram~4121_combout ;
+wire \datamem|ram~1873_q ;
+wire \datamem|ram~1905_q ;
+wire \datamem|ram~1857_q ;
+wire \datamem|ram~1889_q ;
+wire \datamem|ram~4133_combout ;
+wire \datamem|ram~1841_q ;
+wire \datamem|ram~1793_q ;
+wire \datamem|ram~1809_q ;
+wire \datamem|ram~1825_q ;
+wire \datamem|ram~4132_combout ;
+wire \datamem|ram~2033feeder_combout ;
+wire \datamem|ram~2033_q ;
+wire \datamem|ram~1985feeder_combout ;
+wire \datamem|ram~1985_q ;
+wire \datamem|ram~2001_q ;
+wire \datamem|ram~2017_q ;
+wire \datamem|ram~4135_combout ;
+wire \datamem|ram~1953feeder_combout ;
+wire \datamem|ram~1953_q ;
+wire \datamem|ram~1921_q ;
+wire \datamem|ram~1937_q ;
+wire \datamem|ram~1969_q ;
+wire \datamem|ram~4134_combout ;
+wire \datamem|ram~4136_combout ;
+wire \datamem|ram~1537_q ;
+wire \datamem|ram~1665feeder_combout ;
+wire \datamem|ram~1665_q ;
+wire \datamem|ram~1601_q ;
+wire \datamem|ram~1729_q ;
+wire \datamem|ram~4127_combout ;
+wire \datamem|ram~1569feeder_combout ;
+wire \datamem|ram~1569_q ;
+wire \datamem|ram~1633_q ;
+wire \datamem|ram~1697feeder_combout ;
+wire \datamem|ram~1697_q ;
+wire \datamem|ram~1761_q ;
+wire \datamem|ram~4129_combout ;
+wire \datamem|ram~1681_q ;
+wire \datamem|ram~1553_q ;
+wire \datamem|ram~1617feeder_combout ;
+wire \datamem|ram~1617_q ;
+wire \datamem|ram~1745_q ;
+wire \datamem|ram~4128_combout ;
+wire \datamem|ram~1585feeder_combout ;
+wire \datamem|ram~1585_q ;
+wire \datamem|ram~1713feeder_combout ;
+wire \datamem|ram~1713_q ;
+wire \datamem|ram~1649_q ;
+wire \datamem|ram~1777_q ;
+wire \datamem|ram~4130_combout ;
+wire \datamem|ram~4131_combout ;
+wire \datamem|ram~4137_combout ;
+wire \datamem|ram~4180_combout ;
+wire \reg_write_data[1]~2_combout ;
+wire \reg_file|reg_array[0][1]~feeder_combout ;
+wire \reg_file|reg_array[0][1]~q ;
+wire \reg_file|reg_array[1][1]~q ;
+wire \reg_file|reg_array[2][1]~q ;
+wire \reg_file|reg_read_data_2[1]~0_combout ;
+wire \read_data2[1]~0_combout ;
+wire \alu_unit|Mux13~2_combout ;
+wire \alu_unit|Mux13~3_combout ;
+wire \alu_unit|Add1~5_sumout ;
+wire \alu_unit|Add0~2 ;
+wire \alu_unit|Add0~5_sumout ;
+wire \alu_unit|Mux13~1_combout ;
+wire \alu_unit|Mux13~6_combout ;
+wire \alu_unit|Mult0~10 ;
+wire \alu_unit|Mux13~4_combout ;
+wire \datamem|ram~2754_q ;
+wire \datamem|ram~2626_q ;
+wire \datamem|ram~2690_q ;
+wire \datamem|ram~2562_q ;
+wire \datamem|ram~4233_combout ;
+wire \datamem|ram~2674_q ;
+wire \datamem|ram~2738_q ;
+wire \datamem|ram~2610feeder_combout ;
+wire \datamem|ram~2610_q ;
+wire \datamem|ram~2802_q ;
+wire \datamem|ram~4236_combout ;
+wire \datamem|ram~2658_q ;
+wire \datamem|ram~2786_q ;
+wire \datamem|ram~2594_q ;
+wire \datamem|ram~2722_q ;
+wire \datamem|ram~4235_combout ;
+wire \datamem|ram~2706feeder_combout ;
+wire \datamem|ram~2706_q ;
+wire \datamem|ram~2578_q ;
+wire \datamem|ram~2642feeder_combout ;
+wire \datamem|ram~2642_q ;
+wire \datamem|ram~2770_q ;
+wire \datamem|ram~4234_combout ;
+wire \datamem|ram~4237_combout ;
+wire \datamem|ram~2402feeder_combout ;
+wire \datamem|ram~2402_q ;
+wire \datamem|ram~2466_q ;
+wire \datamem|ram~2338feeder_combout ;
+wire \datamem|ram~2338_q ;
+wire \datamem|ram~2530_q ;
+wire \datamem|ram~4230_combout ;
+wire \datamem|ram~2434_q ;
+wire \datamem|ram~2370feeder_combout ;
+wire \datamem|ram~2370_q ;
+wire \datamem|ram~2306feeder_combout ;
+wire \datamem|ram~2306_q ;
+wire \datamem|ram~2498_q ;
+wire \datamem|ram~4228_combout ;
+wire \datamem|ram~2386feeder_combout ;
+wire \datamem|ram~2386_q ;
+wire \datamem|ram~2450_q ;
+wire \datamem|ram~2322_q ;
+wire \datamem|ram~2514_q ;
+wire \datamem|ram~4229_combout ;
+wire \datamem|ram~2354feeder_combout ;
+wire \datamem|ram~2354_q ;
+wire \datamem|ram~2482_q ;
+wire \datamem|ram~2418_q ;
+wire \datamem|ram~2546_q ;
+wire \datamem|ram~4231_combout ;
+wire \datamem|ram~4232_combout ;
+wire \datamem|ram~2210feeder_combout ;
+wire \datamem|ram~2210_q ;
+wire \datamem|ram~2082_q ;
+wire \datamem|ram~2146_q ;
+wire \datamem|ram~2274_q ;
+wire \datamem|ram~4225_combout ;
+wire \datamem|ram~2130feeder_combout ;
+wire \datamem|ram~2130_q ;
+wire \datamem|ram~2194feeder_combout ;
+wire \datamem|ram~2194_q ;
+wire \datamem|ram~2066feeder_combout ;
+wire \datamem|ram~2066_q ;
+wire \datamem|ram~2258_q ;
+wire \datamem|ram~4224_combout ;
+wire \datamem|ram~2162_q ;
+wire \datamem|ram~2098_q ;
+wire \datamem|ram~2226feeder_combout ;
+wire \datamem|ram~2226_q ;
+wire \datamem|ram~2290_q ;
+wire \datamem|ram~4226_combout ;
+wire \datamem|ram~2178_q ;
+wire \datamem|ram~2114feeder_combout ;
+wire \datamem|ram~2114_q ;
+wire \datamem|ram~2050feeder_combout ;
+wire \datamem|ram~2050_q ;
+wire \datamem|ram~2242_q ;
+wire \datamem|ram~4223_combout ;
+wire \datamem|ram~4227_combout ;
+wire \datamem|ram~2914_q ;
+wire \datamem|ram~2898_q ;
+wire \datamem|ram~2882_q ;
+wire \datamem|ram~2930_q ;
+wire \datamem|ram~4239_combout ;
+wire \datamem|ram~2866feeder_combout ;
+wire \datamem|ram~2866_q ;
+wire \datamem|ram~2850_q ;
+wire \datamem|ram~2834_q ;
+wire \datamem|ram~2818_q ;
+wire \datamem|ram~4238_combout ;
+wire \datamem|ram~2978feeder_combout ;
+wire \datamem|ram~2978_q ;
+wire \datamem|ram~2962_q ;
+wire \datamem|ram~2946_q ;
+wire \datamem|ram~2994_q ;
+wire \datamem|ram~4240_combout ;
+wire \datamem|ram~3010_q ;
+wire \datamem|ram~3026_q ;
+wire \datamem|ram~3042_q ;
+wire \datamem|ram~3058_q ;
+wire \datamem|ram~4241_combout ;
+wire \datamem|ram~4242_combout ;
+wire \datamem|ram~4243_combout ;
+wire \datamem|ram~4066_q ;
+wire \datamem|ram~3554_q ;
+wire \datamem|ram~3810_q ;
+wire \datamem|ram~3298_q ;
+wire \datamem|ram~4257_combout ;
+wire \datamem|ram~3426feeder_combout ;
+wire \datamem|ram~3426_q ;
+wire \datamem|ram~3682feeder_combout ;
+wire \datamem|ram~3682_q ;
+wire \datamem|ram~3170_q ;
+wire \datamem|ram~3938_q ;
+wire \datamem|ram~4255_combout ;
+wire \datamem|ram~3234feeder_combout ;
+wire \datamem|ram~3234_q ;
+wire \datamem|ram~3746feeder_combout ;
+wire \datamem|ram~3746_q ;
+wire \datamem|ram~4002feeder_combout ;
+wire \datamem|ram~4002_q ;
+wire \datamem|ram~3490_q ;
+wire \datamem|ram~4256_combout ;
+wire \datamem|ram~3106_q ;
+wire \datamem|ram~3618_q ;
+wire \datamem|ram~3362_q ;
+wire \datamem|ram~3874_q ;
+wire \datamem|ram~4254_combout ;
+wire \datamem|ram~4258_combout ;
+wire \datamem|ram~3762feeder_combout ;
+wire \datamem|ram~3762_q ;
+wire \datamem|ram~3698_q ;
+wire \datamem|ram~3634feeder_combout ;
+wire \datamem|ram~3634_q ;
+wire \datamem|ram~3826_q ;
+wire \datamem|ram~4261_combout ;
+wire \datamem|ram~3122feeder_combout ;
+wire \datamem|ram~3122_q ;
+wire \datamem|ram~3186_q ;
+wire \datamem|ram~3250_q ;
+wire \datamem|ram~3314_q ;
+wire \datamem|ram~4259_combout ;
+wire \datamem|ram~3890feeder_combout ;
+wire \datamem|ram~3890_q ;
+wire \datamem|ram~3954_q ;
+wire \datamem|ram~4018feeder_combout ;
+wire \datamem|ram~4018_q ;
+wire \datamem|ram~4082feeder_combout ;
+wire \datamem|ram~4082_q ;
+wire \datamem|ram~4262_combout ;
+wire \datamem|ram~3378_q ;
+wire \datamem|ram~3442_q ;
+wire \datamem|ram~3506_q ;
+wire \datamem|ram~3570_q ;
+wire \datamem|ram~4260_combout ;
+wire \datamem|ram~4263_combout ;
+wire \datamem|ram~3410feeder_combout ;
+wire \datamem|ram~3410_q ;
+wire \datamem|ram~3154_q ;
+wire \datamem|ram~3666feeder_combout ;
+wire \datamem|ram~3666_q ;
+wire \datamem|ram~3922_q ;
+wire \datamem|ram~4250_combout ;
+wire \datamem|ram~3730_q ;
+wire \datamem|ram~3218feeder_combout ;
+wire \datamem|ram~3218_q ;
+wire \datamem|ram~3474_q ;
+wire \datamem|ram~3986_q ;
+wire \datamem|ram~4251_combout ;
+wire \datamem|ram~3794_q ;
+wire \datamem|ram~3538_q ;
+wire \datamem|ram~3282_q ;
+wire \datamem|ram~4050_q ;
+wire \datamem|ram~4252_combout ;
+wire \datamem|ram~3346feeder_combout ;
+wire \datamem|ram~3346_q ;
+wire \datamem|ram~3090_q ;
+wire \datamem|ram~3602_q ;
+wire \datamem|ram~3858_q ;
+wire \datamem|ram~4249_combout ;
+wire \datamem|ram~4253_combout ;
+wire \datamem|ram~3202_q ;
+wire \datamem|ram~3714_q ;
+wire \datamem|ram~3458feeder_combout ;
+wire \datamem|ram~3458_q ;
+wire \datamem|ram~3970_q ;
+wire \datamem|ram~4246_combout ;
+wire \datamem|ram~3074feeder_combout ;
+wire \datamem|ram~3074_q ;
+wire \datamem|ram~3586_q ;
+wire \datamem|ram~3330feeder_combout ;
+wire \datamem|ram~3330_q ;
+wire \datamem|ram~3842_q ;
+wire \datamem|ram~4244_combout ;
+wire \datamem|ram~3522_q ;
+wire \datamem|ram~3266_q ;
+wire \datamem|ram~3778_q ;
+wire \datamem|ram~4034_q ;
+wire \datamem|ram~4247_combout ;
+wire \datamem|ram~3138feeder_combout ;
+wire \datamem|ram~3138_q ;
+wire \datamem|ram~3650_q ;
+wire \datamem|ram~3394_q ;
+wire \datamem|ram~3906_q ;
+wire \datamem|ram~4245_combout ;
+wire \datamem|ram~4248_combout ;
+wire \datamem|ram~4264_combout ;
+wire \datamem|ram~1122_q ;
+wire \datamem|ram~1090_q ;
+wire \datamem|ram~1138feeder_combout ;
+wire \datamem|ram~1138_q ;
+wire \datamem|ram~1106_q ;
+wire \datamem|ram~4207_combout ;
+wire \datamem|ram~1858_q ;
+wire \datamem|ram~1874_q ;
+wire \datamem|ram~1890_q ;
+wire \datamem|ram~1906_q ;
+wire \datamem|ram~4210_combout ;
+wire \datamem|ram~1378feeder_combout ;
+wire \datamem|ram~1378_q ;
+wire \datamem|ram~1346_q ;
+wire \datamem|ram~1394_q ;
+wire \datamem|ram~1362_q ;
+wire \datamem|ram~4208_combout ;
+wire \datamem|ram~1634feeder_combout ;
+wire \datamem|ram~1634_q ;
+wire \datamem|ram~1618_q ;
+wire \datamem|ram~1602feeder_combout ;
+wire \datamem|ram~1602_q ;
+wire \datamem|ram~1650_q ;
+wire \datamem|ram~4209_combout ;
+wire \datamem|ram~4211_combout ;
+wire \datamem|ram~1186feeder_combout ;
+wire \datamem|ram~1186_q ;
+wire \datamem|ram~1442feeder_combout ;
+wire \datamem|ram~1442_q ;
+wire \datamem|ram~1698feeder_combout ;
+wire \datamem|ram~1698_q ;
+wire \datamem|ram~1954_q ;
+wire \datamem|ram~4214_combout ;
+wire \datamem|ram~1714_q ;
+wire \datamem|ram~1970feeder_combout ;
+wire \datamem|ram~1970_q ;
+wire \datamem|ram~1202_q ;
+wire \datamem|ram~1458feeder_combout ;
+wire \datamem|ram~1458_q ;
+wire \datamem|ram~4215_combout ;
+wire \datamem|ram~1682feeder_combout ;
+wire \datamem|ram~1682_q ;
+wire \datamem|ram~1426_q ;
+wire \datamem|ram~1170_q ;
+wire \datamem|ram~1938_q ;
+wire \datamem|ram~4213_combout ;
+wire \datamem|ram~1410feeder_combout ;
+wire \datamem|ram~1410_q ;
+wire \datamem|ram~1666_q ;
+wire \datamem|ram~1154_q ;
+wire \datamem|ram~1922_q ;
+wire \datamem|ram~4212_combout ;
+wire \datamem|ram~4216_combout ;
+wire \datamem|ram~1506_q ;
+wire \datamem|ram~1474_q ;
+wire \datamem|ram~1490feeder_combout ;
+wire \datamem|ram~1490_q ;
+wire \datamem|ram~1522_q ;
+wire \datamem|ram~4218_combout ;
+wire \datamem|ram~2018_q ;
+wire \datamem|ram~1986_q ;
+wire \datamem|ram~2002_q ;
+wire \datamem|ram~2034_q ;
+wire \datamem|ram~4220_combout ;
+wire \datamem|ram~1266_q ;
+wire \datamem|ram~1250_q ;
+wire \datamem|ram~1234_q ;
+wire \datamem|ram~1218_q ;
+wire \datamem|ram~4217_combout ;
+wire \datamem|ram~1746_q ;
+wire \datamem|ram~1730_q ;
+wire \datamem|ram~1762_q ;
+wire \datamem|ram~1778_q ;
+wire \datamem|ram~4219_combout ;
+wire \datamem|ram~4221_combout ;
+wire \datamem|ram~1314_q ;
+wire \datamem|ram~1282_q ;
+wire \datamem|ram~1330feeder_combout ;
+wire \datamem|ram~1330_q ;
+wire \datamem|ram~1298_q ;
+wire \datamem|ram~4203_combout ;
+wire \datamem|ram~1810_q ;
+wire \datamem|ram~1826feeder_combout ;
+wire \datamem|ram~1826_q ;
+wire \datamem|ram~1794feeder_combout ;
+wire \datamem|ram~1794_q ;
+wire \datamem|ram~1842_q ;
+wire \datamem|ram~4205_combout ;
+wire \datamem|ram~1074_q ;
+wire \datamem|ram~1058feeder_combout ;
+wire \datamem|ram~1058_q ;
+wire \datamem|ram~1026feeder_combout ;
+wire \datamem|ram~1026_q ;
+wire \datamem|ram~1042_q ;
+wire \datamem|ram~4202_combout ;
+wire \datamem|ram~1570feeder_combout ;
+wire \datamem|ram~1570_q ;
+wire \datamem|ram~1538feeder_combout ;
+wire \datamem|ram~1538_q ;
+wire \datamem|ram~1554_q ;
+wire \datamem|ram~1586_q ;
+wire \datamem|ram~4204_combout ;
+wire \datamem|ram~4206_combout ;
+wire \datamem|ram~4222_combout ;
+wire \datamem|ram~946_q ;
+wire \datamem|ram~882_q ;
+wire \datamem|ram~818feeder_combout ;
+wire \datamem|ram~818_q ;
+wire \datamem|ram~1010_q ;
+wire \datamem|ram~4199_combout ;
+wire \datamem|ram~50_q ;
+wire \datamem|ram~178_q ;
+wire \datamem|ram~114_q ;
+wire \datamem|ram~242_q ;
+wire \datamem|ram~4196_combout ;
+wire \datamem|ram~626_q ;
+wire \datamem|ram~562_q ;
+wire \datamem|ram~690_q ;
+wire \datamem|ram~754_q ;
+wire \datamem|ram~4198_combout ;
+wire \datamem|ram~370_q ;
+wire \datamem|ram~434_q ;
+wire \datamem|ram~306feeder_combout ;
+wire \datamem|ram~306_q ;
+wire \datamem|ram~498_q ;
+wire \datamem|ram~4197_combout ;
+wire \datamem|ram~4200_combout ;
+wire \datamem|ram~962_q ;
+wire \datamem|ram~194feeder_combout ;
+wire \datamem|ram~194_q ;
+wire \datamem|ram~450_q ;
+wire \datamem|ram~706feeder_combout ;
+wire \datamem|ram~706_q ;
+wire \datamem|ram~4184_combout ;
+wire \datamem|ram~386_q ;
+wire \datamem|ram~642feeder_combout ;
+wire \datamem|ram~642_q ;
+wire \datamem|ram~130feeder_combout ;
+wire \datamem|ram~130_q ;
+wire \datamem|ram~898_q ;
+wire \datamem|ram~4183_combout ;
+wire \datamem|ram~514_q ;
+wire \datamem|ram~770feeder_combout ;
+wire \datamem|ram~770_q ;
+wire \datamem|ram~2feeder_combout ;
+wire \datamem|ram~2_q ;
+wire \datamem|ram~258_q ;
+wire \datamem|ram~4181_combout ;
+wire \datamem|ram~834feeder_combout ;
+wire \datamem|ram~834_q ;
+wire \datamem|ram~66_q ;
+wire \datamem|ram~578feeder_combout ;
+wire \datamem|ram~578_q ;
+wire \datamem|ram~322_q ;
+wire \datamem|ram~4182_combout ;
+wire \datamem|ram~4185_combout ;
+wire \datamem|ram~226_q ;
+wire \datamem|ram~994_q ;
+wire \datamem|ram~738_q ;
+wire \datamem|ram~482_q ;
+wire \datamem|ram~4194_combout ;
+wire \datamem|ram~674_q ;
+wire \datamem|ram~162_q ;
+wire \datamem|ram~418feeder_combout ;
+wire \datamem|ram~418_q ;
+wire \datamem|ram~930_q ;
+wire \datamem|ram~4193_combout ;
+wire \datamem|ram~98feeder_combout ;
+wire \datamem|ram~98_q ;
+wire \datamem|ram~354_q ;
+wire \datamem|ram~610_q ;
+wire \datamem|ram~866_q ;
+wire \datamem|ram~4192_combout ;
+wire \datamem|ram~546feeder_combout ;
+wire \datamem|ram~546_q ;
+wire \datamem|ram~34feeder_combout ;
+wire \datamem|ram~34_q ;
+wire \datamem|ram~290_q ;
+wire \datamem|ram~802_q ;
+wire \datamem|ram~4191_combout ;
+wire \datamem|ram~4195_combout ;
+wire \datamem|ram~146_q ;
+wire \datamem|ram~402_q ;
+wire \datamem|ram~658_q ;
+wire \datamem|ram~914_q ;
+wire \datamem|ram~4188_combout ;
+wire \datamem|ram~850_q ;
+wire \datamem|ram~82_q ;
+wire \datamem|ram~594_q ;
+wire \datamem|ram~338_q ;
+wire \datamem|ram~4187_combout ;
+wire \datamem|ram~210_q ;
+wire \datamem|ram~722feeder_combout ;
+wire \datamem|ram~722_q ;
+wire \datamem|ram~466feeder_combout ;
+wire \datamem|ram~466_q ;
+wire \datamem|ram~978_q ;
+wire \datamem|ram~4189_combout ;
+wire \datamem|ram~786feeder_combout ;
+wire \datamem|ram~786_q ;
+wire \datamem|ram~18_q ;
+wire \datamem|ram~530_q ;
+wire \datamem|ram~274_q ;
+wire \datamem|ram~4186_combout ;
+wire \datamem|ram~4190_combout ;
+wire \datamem|ram~4201_combout ;
+wire \datamem|ram~4265_combout ;
+wire \reg_write_data[2]~3_combout ;
+wire \reg_file|reg_array[0][2]~q ;
+wire \reg_file|reg_array[2][2]~q ;
+wire \reg_file|reg_array[1][2]~q ;
+wire \reg_file|reg_read_data_2[2]~3_combout ;
+wire \read_data2[2]~3_combout ;
+wire \alu_unit|shifter_left|op[0]~0_combout ;
+wire \alu_unit|shifter_right|st2[15]~14_combout ;
+wire \alu_unit|shifter_right|st2[11]~11_combout ;
+wire \alu_unit|shifter_right|op[15]~0_combout ;
+wire \alu_unit|LessThan0~13_combout ;
+wire \alu_unit|LessThan0~14_combout ;
+wire \alu_unit|LessThan0~9_combout ;
+wire \alu_unit|LessThan0~8_combout ;
+wire \alu_unit|LessThan0~7_combout ;
+wire \alu_unit|LessThan0~10_combout ;
+wire \alu_unit|LessThan0~11_combout ;
+wire \alu_unit|LessThan0~15_combout ;
+wire \alu_unit|LessThan0~16_combout ;
+wire \alu_unit|LessThan0~17_combout ;
+wire \alu_unit|LessThan0~12_combout ;
+wire \reg_file|reg_array[2][15]~q ;
+wire \reg_file|reg_array[0][15]~q ;
+wire \reg_file|reg_array[1][15]~q ;
+wire \reg_file|reg_array[3][15]~feeder_combout ;
+wire \reg_file|reg_array[3][15]~q ;
+wire \reg_file|reg_read_data_2[15]~15_combout ;
+wire \read_data2[15]~15_combout ;
+wire \alu_unit|LessThan0~3_combout ;
+wire \alu_unit|LessThan0~5_combout ;
+wire \alu_unit|LessThan0~6_combout ;
+wire \alu_unit|LessThan0~0_combout ;
+wire \alu_unit|LessThan0~1_combout ;
+wire \alu_unit|LessThan0~2_combout ;
+wire \alu_unit|LessThan0~4_combout ;
+wire \alu_unit|LessThan0~18_combout ;
+wire \alu_unit|result~0_combout ;
+wire \alu_unit|result~1_combout ;
+wire \alu_unit|Add0~61_sumout ;
+wire \alu_unit|Add1~61_sumout ;
+wire \alu_unit|Mux15~4_combout ;
+wire \alu_unit|Mult0~8_resulta ;
+wire \alu_unit|Mux15~0_combout ;
+wire \datamem|ram~3088_q ;
+wire \datamem|ram~3152feeder_combout ;
+wire \datamem|ram~3152_q ;
+wire \datamem|ram~3216feeder_combout ;
+wire \datamem|ram~3216_q ;
+wire \datamem|ram~3280_q ;
+wire \datamem|ram~4500_combout ;
+wire \datamem|ram~3248feeder_combout ;
+wire \datamem|ram~3248_q ;
+wire \datamem|ram~3184_q ;
+wire \datamem|ram~3312feeder_combout ;
+wire \datamem|ram~3312_q ;
+wire \datamem|ram~3120_q ;
+wire \datamem|ram~4502_combout ;
+wire \datamem|ram~3136_q ;
+wire \datamem|ram~3200_q ;
+wire \datamem|ram~3072_q ;
+wire \datamem|ram~3264_q ;
+wire \datamem|ram~4499_combout ;
+wire \datamem|ram~3104feeder_combout ;
+wire \datamem|ram~3104_q ;
+wire \datamem|ram~3168feeder_combout ;
+wire \datamem|ram~3168_q ;
+wire \datamem|ram~3232feeder_combout ;
+wire \datamem|ram~3232_q ;
+wire \datamem|ram~3296_q ;
+wire \datamem|ram~4501_combout ;
+wire \datamem|ram~4503_combout ;
+wire \datamem|ram~3440feeder_combout ;
+wire \datamem|ram~3440_q ;
+wire \datamem|ram~3376feeder_combout ;
+wire \datamem|ram~3376_q ;
+wire \datamem|ram~3504feeder_combout ;
+wire \datamem|ram~3504_q ;
+wire \datamem|ram~3568_q ;
+wire \datamem|ram~4507_combout ;
+wire \datamem|ram~3392feeder_combout ;
+wire \datamem|ram~3392_q ;
+wire \datamem|ram~3456feeder_combout ;
+wire \datamem|ram~3456_q ;
+wire \datamem|ram~3328feeder_combout ;
+wire \datamem|ram~3328_q ;
+wire \datamem|ram~3520_q ;
+wire \datamem|ram~4504_combout ;
+wire \datamem|ram~3344_q ;
+wire \datamem|ram~3472feeder_combout ;
+wire \datamem|ram~3472_q ;
+wire \datamem|ram~3408_q ;
+wire \datamem|ram~3536_q ;
+wire \datamem|ram~4505_combout ;
+wire \datamem|ram~3360_q ;
+wire \datamem|ram~3424_q ;
+wire \datamem|ram~3488feeder_combout ;
+wire \datamem|ram~3488_q ;
+wire \datamem|ram~3552_q ;
+wire \datamem|ram~4506_combout ;
+wire \datamem|ram~4508_combout ;
+wire \datamem|ram~3600_q ;
+wire \datamem|ram~3664_q ;
+wire \datamem|ram~3728feeder_combout ;
+wire \datamem|ram~3728_q ;
+wire \datamem|ram~3792_q ;
+wire \datamem|ram~4510_combout ;
+wire \datamem|ram~3712_q ;
+wire \datamem|ram~3584_q ;
+wire \datamem|ram~3648_q ;
+wire \datamem|ram~3776_q ;
+wire \datamem|ram~4509_combout ;
+wire \datamem|ram~3760feeder_combout ;
+wire \datamem|ram~3760_q ;
+wire \datamem|ram~3824feeder_combout ;
+wire \datamem|ram~3824_q ;
+wire \datamem|ram~3632feeder_combout ;
+wire \datamem|ram~3632_q ;
+wire \datamem|ram~3696feeder_combout ;
+wire \datamem|ram~3696_q ;
+wire \datamem|ram~4512_combout ;
+wire \datamem|ram~3616feeder_combout ;
+wire \datamem|ram~3616_q ;
+wire \datamem|ram~3744feeder_combout ;
+wire \datamem|ram~3744_q ;
+wire \datamem|ram~3680_q ;
+wire \datamem|ram~3808_q ;
+wire \datamem|ram~4511_combout ;
+wire \datamem|ram~4513_combout ;
+wire \datamem|ram~4064feeder_combout ;
+wire \datamem|ram~4064_q ;
+wire \datamem|ram~4048_q ;
+wire \datamem|ram~4032feeder_combout ;
+wire \datamem|ram~4032_q ;
+wire \datamem|ram~4080_q ;
+wire \datamem|ram~4517_combout ;
+wire \datamem|ram~3872feeder_combout ;
+wire \datamem|ram~3872_q ;
+wire \datamem|ram~3856feeder_combout ;
+wire \datamem|ram~3856_q ;
+wire \datamem|ram~3840_q ;
+wire \datamem|ram~3888_q ;
+wire \datamem|ram~4514_combout ;
+wire \datamem|ram~4000_q ;
+wire \datamem|ram~3968feeder_combout ;
+wire \datamem|ram~3968_q ;
+wire \datamem|ram~3984_q ;
+wire \datamem|ram~4016_q ;
+wire \datamem|ram~4516_combout ;
+wire \datamem|ram~3920feeder_combout ;
+wire \datamem|ram~3920_q ;
+wire \datamem|ram~3936_q ;
+wire \datamem|ram~3904_q ;
+wire \datamem|ram~3952_q ;
+wire \datamem|ram~4515_combout ;
+wire \datamem|ram~4518_combout ;
+wire \datamem|ram~4519_combout ;
+wire \datamem|ram~400_q ;
+wire \datamem|ram~272feeder_combout ;
+wire \datamem|ram~272_q ;
+wire \datamem|ram~336feeder_combout ;
+wire \datamem|ram~336_q ;
+wire \datamem|ram~464feeder_combout ;
+wire \datamem|ram~464_q ;
+wire \datamem|ram~4442_combout ;
+wire \datamem|ram~368_q ;
+wire \datamem|ram~304_q ;
+wire \datamem|ram~432_q ;
+wire \datamem|ram~496_q ;
+wire \datamem|ram~4444_combout ;
+wire \datamem|ram~416_q ;
+wire \datamem|ram~352_q ;
+wire \datamem|ram~288_q ;
+wire \datamem|ram~480_q ;
+wire \datamem|ram~4443_combout ;
+wire \datamem|ram~448feeder_combout ;
+wire \datamem|ram~448_q ;
+wire \datamem|ram~384_q ;
+wire \datamem|ram~256feeder_combout ;
+wire \datamem|ram~256_q ;
+wire \datamem|ram~320_q ;
+wire \datamem|ram~4441_combout ;
+wire \datamem|ram~4445_combout ;
+wire \datamem|ram~224feeder_combout ;
+wire \datamem|ram~224_q ;
+wire \datamem|ram~160feeder_combout ;
+wire \datamem|ram~160_q ;
+wire \datamem|ram~32feeder_combout ;
+wire \datamem|ram~32_q ;
+wire \datamem|ram~96feeder_combout ;
+wire \datamem|ram~96_q ;
+wire \datamem|ram~4438_combout ;
+wire \datamem|ram~112_q ;
+wire \datamem|ram~48feeder_combout ;
+wire \datamem|ram~48_q ;
+wire \datamem|ram~176_q ;
+wire \datamem|ram~240_q ;
+wire \datamem|ram~4439_combout ;
+wire \datamem|ram~16feeder_combout ;
+wire \datamem|ram~16_q ;
+wire \datamem|ram~208feeder_combout ;
+wire \datamem|ram~208_q ;
+wire \datamem|ram~144feeder_combout ;
+wire \datamem|ram~144_q ;
+wire \datamem|ram~80_q ;
+wire \datamem|ram~4437_combout ;
+wire \datamem|ram~128_q ;
+wire \datamem|ram~0feeder_combout ;
+wire \datamem|ram~0_q ;
+wire \datamem|ram~192feeder_combout ;
+wire \datamem|ram~192_q ;
+wire \datamem|ram~64_q ;
+wire \datamem|ram~4436_combout ;
+wire \datamem|ram~4440_combout ;
+wire \datamem|ram~688_q ;
+wire \datamem|ram~624feeder_combout ;
+wire \datamem|ram~624_q ;
+wire \datamem|ram~560_q ;
+wire \datamem|ram~752_q ;
+wire \datamem|ram~4449_combout ;
+wire \datamem|ram~544feeder_combout ;
+wire \datamem|ram~544_q ;
+wire \datamem|ram~608feeder_combout ;
+wire \datamem|ram~608_q ;
+wire \datamem|ram~672feeder_combout ;
+wire \datamem|ram~672_q ;
+wire \datamem|ram~736_q ;
+wire \datamem|ram~4448_combout ;
+wire \datamem|ram~640feeder_combout ;
+wire \datamem|ram~640_q ;
+wire \datamem|ram~576feeder_combout ;
+wire \datamem|ram~576_q ;
+wire \datamem|ram~512_q ;
+wire \datamem|ram~704_q ;
+wire \datamem|ram~4446_combout ;
+wire \datamem|ram~528feeder_combout ;
+wire \datamem|ram~528_q ;
+wire \datamem|ram~592feeder_combout ;
+wire \datamem|ram~592_q ;
+wire \datamem|ram~656feeder_combout ;
+wire \datamem|ram~656_q ;
+wire \datamem|ram~720_q ;
+wire \datamem|ram~4447_combout ;
+wire \datamem|ram~4450_combout ;
+wire \datamem|ram~912_q ;
+wire \datamem|ram~896_q ;
+wire \datamem|ram~928feeder_combout ;
+wire \datamem|ram~928_q ;
+wire \datamem|ram~944_q ;
+wire \datamem|ram~4453_combout ;
+wire \datamem|ram~960_q ;
+wire \datamem|ram~976_q ;
+wire \datamem|ram~992_q ;
+wire \datamem|ram~1008_q ;
+wire \datamem|ram~4454_combout ;
+wire \datamem|ram~800_q ;
+wire \datamem|ram~784_q ;
+wire \datamem|ram~768feeder_combout ;
+wire \datamem|ram~768_q ;
+wire \datamem|ram~816_q ;
+wire \datamem|ram~4451_combout ;
+wire \datamem|ram~864_q ;
+wire \datamem|ram~848feeder_combout ;
+wire \datamem|ram~848_q ;
+wire \datamem|ram~832feeder_combout ;
+wire \datamem|ram~832_q ;
+wire \datamem|ram~880_q ;
+wire \datamem|ram~4452_combout ;
+wire \datamem|ram~4455_combout ;
+wire \datamem|ram~4456_combout ;
+wire \datamem|ram~1136feeder_combout ;
+wire \datamem|ram~1136_q ;
+wire \datamem|ram~1072_q ;
+wire \datamem|ram~1264feeder_combout ;
+wire \datamem|ram~1264_q ;
+wire \datamem|ram~1200_q ;
+wire \datamem|ram~4472_combout ;
+wire \datamem|ram~1904feeder_combout ;
+wire \datamem|ram~1904_q ;
+wire \datamem|ram~1840_q ;
+wire \datamem|ram~1968feeder_combout ;
+wire \datamem|ram~1968_q ;
+wire \datamem|ram~2032_q ;
+wire \datamem|ram~4475_combout ;
+wire \datamem|ram~1328feeder_combout ;
+wire \datamem|ram~1328_q ;
+wire \datamem|ram~1392_q ;
+wire \datamem|ram~1456_q ;
+wire \datamem|ram~1520_q ;
+wire \datamem|ram~4473_combout ;
+wire \datamem|ram~1648_q ;
+wire \datamem|ram~1584_q ;
+wire \datamem|ram~1712feeder_combout ;
+wire \datamem|ram~1712_q ;
+wire \datamem|ram~1776_q ;
+wire \datamem|ram~4474_combout ;
+wire \datamem|ram~4476_combout ;
+wire \datamem|ram~1760feeder_combout ;
+wire \datamem|ram~1760_q ;
+wire \datamem|ram~1504_q ;
+wire \datamem|ram~1248_q ;
+wire \datamem|ram~2016_q ;
+wire \datamem|ram~4470_combout ;
+wire \datamem|ram~1056_q ;
+wire \datamem|ram~1568feeder_combout ;
+wire \datamem|ram~1568_q ;
+wire \datamem|ram~1312_q ;
+wire \datamem|ram~1824_q ;
+wire \datamem|ram~4467_combout ;
+wire \datamem|ram~1440feeder_combout ;
+wire \datamem|ram~1440_q ;
+wire \datamem|ram~1696_q ;
+wire \datamem|ram~1184feeder_combout ;
+wire \datamem|ram~1184_q ;
+wire \datamem|ram~1952_q ;
+wire \datamem|ram~4469_combout ;
+wire \datamem|ram~1632_q ;
+wire \datamem|ram~1376_q ;
+wire \datamem|ram~1120feeder_combout ;
+wire \datamem|ram~1120_q ;
+wire \datamem|ram~1888_q ;
+wire \datamem|ram~4468_combout ;
+wire \datamem|ram~4471_combout ;
+wire \datamem|ram~1744_q ;
+wire \datamem|ram~1488_q ;
+wire \datamem|ram~1232_q ;
+wire \datamem|ram~2000_q ;
+wire \datamem|ram~4465_combout ;
+wire \datamem|ram~1104feeder_combout ;
+wire \datamem|ram~1104_q ;
+wire \datamem|ram~1616feeder_combout ;
+wire \datamem|ram~1616_q ;
+wire \datamem|ram~1872feeder_combout ;
+wire \datamem|ram~1872_q ;
+wire \datamem|ram~1360_q ;
+wire \datamem|ram~4463_combout ;
+wire \datamem|ram~1040_q ;
+wire \datamem|ram~1808_q ;
+wire \datamem|ram~1552feeder_combout ;
+wire \datamem|ram~1552_q ;
+wire \datamem|ram~1296_q ;
+wire \datamem|ram~4462_combout ;
+wire \datamem|ram~1168feeder_combout ;
+wire \datamem|ram~1168_q ;
+wire \datamem|ram~1680feeder_combout ;
+wire \datamem|ram~1680_q ;
+wire \datamem|ram~1424feeder_combout ;
+wire \datamem|ram~1424_q ;
+wire \datamem|ram~1936_q ;
+wire \datamem|ram~4464_combout ;
+wire \datamem|ram~4466_combout ;
+wire \datamem|ram~1024feeder_combout ;
+wire \datamem|ram~1024_q ;
+wire \datamem|ram~1536_q ;
+wire \datamem|ram~1280feeder_combout ;
+wire \datamem|ram~1280_q ;
+wire \datamem|ram~1792_q ;
+wire \datamem|ram~4457_combout ;
+wire \datamem|ram~1472_q ;
+wire \datamem|ram~1728_q ;
+wire \datamem|ram~1216_q ;
+wire \datamem|ram~1984_q ;
+wire \datamem|ram~4460_combout ;
+wire \datamem|ram~1408_q ;
+wire \datamem|ram~1664_q ;
+wire \datamem|ram~1152_q ;
+wire \datamem|ram~1920_q ;
+wire \datamem|ram~4459_combout ;
+wire \datamem|ram~1600feeder_combout ;
+wire \datamem|ram~1600_q ;
+wire \datamem|ram~1856feeder_combout ;
+wire \datamem|ram~1856_q ;
+wire \datamem|ram~1088feeder_combout ;
+wire \datamem|ram~1088_q ;
+wire \datamem|ram~1344_q ;
+wire \datamem|ram~4458_combout ;
+wire \datamem|ram~4461_combout ;
+wire \datamem|ram~4477_combout ;
+wire \datamem|ram~3024feeder_combout ;
+wire \datamem|ram~3024_q ;
+wire \datamem|ram~3040feeder_combout ;
+wire \datamem|ram~3040_q ;
+wire \datamem|ram~3008_q ;
+wire \datamem|ram~3056_q ;
+wire \datamem|ram~4496_combout ;
+wire \datamem|ram~2272feeder_combout ;
+wire \datamem|ram~2272_q ;
+wire \datamem|ram~2240_q ;
+wire \datamem|ram~2256_q ;
+wire \datamem|ram~2288_q ;
+wire \datamem|ram~4493_combout ;
+wire \datamem|ram~2496_q ;
+wire \datamem|ram~2512_q ;
+wire \datamem|ram~2544feeder_combout ;
+wire \datamem|ram~2544_q ;
+wire \datamem|ram~2528feeder_combout ;
+wire \datamem|ram~2528_q ;
+wire \datamem|ram~4494_combout ;
+wire \datamem|ram~2768_q ;
+wire \datamem|ram~2752_q ;
+wire \datamem|ram~2784_q ;
+wire \datamem|ram~2800_q ;
+wire \datamem|ram~4495_combout ;
+wire \datamem|ram~4497_combout ;
+wire \datamem|ram~2624feeder_combout ;
+wire \datamem|ram~2624_q ;
+wire \datamem|ram~2656_q ;
+wire \datamem|ram~2640feeder_combout ;
+wire \datamem|ram~2640_q ;
+wire \datamem|ram~2672_q ;
+wire \datamem|ram~4485_combout ;
+wire \datamem|ram~2112_q ;
+wire \datamem|ram~2128_q ;
+wire \datamem|ram~2144_q ;
+wire \datamem|ram~2160_q ;
+wire \datamem|ram~4483_combout ;
+wire \datamem|ram~2400feeder_combout ;
+wire \datamem|ram~2400_q ;
+wire \datamem|ram~2368_q ;
+wire \datamem|ram~2384_q ;
+wire \datamem|ram~2416_q ;
+wire \datamem|ram~4484_combout ;
+wire \datamem|ram~2912_q ;
+wire \datamem|ram~2880_q ;
+wire \datamem|ram~2928_q ;
+wire \datamem|ram~2896_q ;
+wire \datamem|ram~4486_combout ;
+wire \datamem|ram~4487_combout ;
+wire \datamem|ram~2304feeder_combout ;
+wire \datamem|ram~2304_q ;
+wire \datamem|ram~2320_q ;
+wire \datamem|ram~2336_q ;
+wire \datamem|ram~2352_q ;
+wire \datamem|ram~4479_combout ;
+wire \datamem|ram~2080_q ;
+wire \datamem|ram~2064_q ;
+wire \datamem|ram~2048_q ;
+wire \datamem|ram~2096_q ;
+wire \datamem|ram~4478_combout ;
+wire \datamem|ram~2848_q ;
+wire \datamem|ram~2832feeder_combout ;
+wire \datamem|ram~2832_q ;
+wire \datamem|ram~2816_q ;
+wire \datamem|ram~2864_q ;
+wire \datamem|ram~4481_combout ;
+wire \datamem|ram~2576_q ;
+wire \datamem|ram~2560_q ;
+wire \datamem|ram~2592feeder_combout ;
+wire \datamem|ram~2592_q ;
+wire \datamem|ram~2608_q ;
+wire \datamem|ram~4480_combout ;
+wire \datamem|ram~4482_combout ;
+wire \datamem|ram~2464feeder_combout ;
+wire \datamem|ram~2464_q ;
+wire \datamem|ram~2720_q ;
+wire \datamem|ram~2208feeder_combout ;
+wire \datamem|ram~2208_q ;
+wire \datamem|ram~2976_q ;
+wire \datamem|ram~4490_combout ;
+wire \datamem|ram~2704feeder_combout ;
+wire \datamem|ram~2704_q ;
+wire \datamem|ram~2192feeder_combout ;
+wire \datamem|ram~2192_q ;
+wire \datamem|ram~2448feeder_combout ;
+wire \datamem|ram~2448_q ;
+wire \datamem|ram~2960_q ;
+wire \datamem|ram~4489_combout ;
+wire \datamem|ram~2432feeder_combout ;
+wire \datamem|ram~2432_q ;
+wire \datamem|ram~2176feeder_combout ;
+wire \datamem|ram~2176_q ;
+wire \datamem|ram~2688_q ;
+wire \datamem|ram~2944_q ;
+wire \datamem|ram~4488_combout ;
+wire \datamem|ram~2224_q ;
+wire \datamem|ram~2736feeder_combout ;
+wire \datamem|ram~2736_q ;
+wire \datamem|ram~2480feeder_combout ;
+wire \datamem|ram~2480_q ;
+wire \datamem|ram~2992_q ;
+wire \datamem|ram~4491_combout ;
+wire \datamem|ram~4492_combout ;
+wire \datamem|ram~4498_combout ;
+wire \datamem|ram~4520_combout ;
+wire \reg_write_data[0]~6_combout ;
+wire \reg_file|reg_array[3][0]~feeder_combout ;
+wire \reg_file|reg_array[3][0]~q ;
+wire \reg_file|reg_array[7][0]~q ;
+wire \reg_file|reg_array[4][0]~q ;
+wire \reg_file|reg_read_data_1[0]~30_combout ;
+wire \reg_file|reg_read_data_1[0]~31_combout ;
+wire \alu_unit|shifter_left|st2[3]~3_combout ;
+wire \alu_unit|Mux12~0_combout ;
+wire \alu_unit|Mux12~1_combout ;
+wire \alu_unit|Mux12~4_combout ;
+wire \alu_unit|Add0~6 ;
+wire \alu_unit|Add0~9_sumout ;
+wire \alu_unit|Add1~9_sumout ;
+wire \alu_unit|Mux12~3_combout ;
+wire \alu_unit|Mult0~11 ;
+wire \alu_unit|Mux12~2_combout ;
+wire \datamem|ram~4067_q ;
+wire \datamem|ram~4035_q ;
+wire \datamem|ram~4051feeder_combout ;
+wire \datamem|ram~4051_q ;
+wire \datamem|ram~4083_q ;
+wire \datamem|ram~4347_combout ;
+wire \datamem|ram~3875feeder_combout ;
+wire \datamem|ram~3875_q ;
+wire \datamem|ram~3859feeder_combout ;
+wire \datamem|ram~3859_q ;
+wire \datamem|ram~3843_q ;
+wire \datamem|ram~3891_q ;
+wire \datamem|ram~4344_combout ;
+wire \datamem|ram~4003_q ;
+wire \datamem|ram~3987_q ;
+wire \datamem|ram~3971feeder_combout ;
+wire \datamem|ram~3971_q ;
+wire \datamem|ram~4019_q ;
+wire \datamem|ram~4346_combout ;
+wire \datamem|ram~3923_q ;
+wire \datamem|ram~3939_q ;
+wire \datamem|ram~3907_q ;
+wire \datamem|ram~3955_q ;
+wire \datamem|ram~4345_combout ;
+wire \datamem|ram~4348_combout ;
+wire \datamem|ram~3475feeder_combout ;
+wire \datamem|ram~3475_q ;
+wire \datamem|ram~3411_q ;
+wire \datamem|ram~3347feeder_combout ;
+wire \datamem|ram~3347_q ;
+wire \datamem|ram~3539_q ;
+wire \datamem|ram~4335_combout ;
+wire \datamem|ram~3507_q ;
+wire \datamem|ram~3379feeder_combout ;
+wire \datamem|ram~3379_q ;
+wire \datamem|ram~3443_q ;
+wire \datamem|ram~3571_q ;
+wire \datamem|ram~4337_combout ;
+wire \datamem|ram~3363feeder_combout ;
+wire \datamem|ram~3363_q ;
+wire \datamem|ram~3427feeder_combout ;
+wire \datamem|ram~3427_q ;
+wire \datamem|ram~3491feeder_combout ;
+wire \datamem|ram~3491_q ;
+wire \datamem|ram~3555_q ;
+wire \datamem|ram~4336_combout ;
+wire \datamem|ram~3395_q ;
+wire \datamem|ram~3459_q ;
+wire \datamem|ram~3331feeder_combout ;
+wire \datamem|ram~3331_q ;
+wire \datamem|ram~3523_q ;
+wire \datamem|ram~4334_combout ;
+wire \datamem|ram~4338_combout ;
+wire \datamem|ram~3251feeder_combout ;
+wire \datamem|ram~3251_q ;
+wire \datamem|ram~3123feeder_combout ;
+wire \datamem|ram~3123_q ;
+wire \datamem|ram~3187_q ;
+wire \datamem|ram~3315_q ;
+wire \datamem|ram~4332_combout ;
+wire \datamem|ram~3155feeder_combout ;
+wire \datamem|ram~3155_q ;
+wire \datamem|ram~3091feeder_combout ;
+wire \datamem|ram~3091_q ;
+wire \datamem|ram~3283_q ;
+wire \datamem|ram~3219feeder_combout ;
+wire \datamem|ram~3219_q ;
+wire \datamem|ram~4330_combout ;
+wire \datamem|ram~3139feeder_combout ;
+wire \datamem|ram~3139_q ;
+wire \datamem|ram~3075feeder_combout ;
+wire \datamem|ram~3075_q ;
+wire \datamem|ram~3203_q ;
+wire \datamem|ram~3267_q ;
+wire \datamem|ram~4329_combout ;
+wire \datamem|ram~3107_q ;
+wire \datamem|ram~3235feeder_combout ;
+wire \datamem|ram~3235_q ;
+wire \datamem|ram~3171_q ;
+wire \datamem|ram~3299_q ;
+wire \datamem|ram~4331_combout ;
+wire \datamem|ram~4333_combout ;
+wire \datamem|ram~3763feeder_combout ;
+wire \datamem|ram~3763_q ;
+wire \datamem|ram~3699feeder_combout ;
+wire \datamem|ram~3699_q ;
+wire \datamem|ram~3635_q ;
+wire \datamem|ram~3827_q ;
+wire \datamem|ram~4342_combout ;
+wire \datamem|ram~3683feeder_combout ;
+wire \datamem|ram~3683_q ;
+wire \datamem|ram~3619feeder_combout ;
+wire \datamem|ram~3619_q ;
+wire \datamem|ram~3747feeder_combout ;
+wire \datamem|ram~3747_q ;
+wire \datamem|ram~3811_q ;
+wire \datamem|ram~4341_combout ;
+wire \datamem|ram~3667feeder_combout ;
+wire \datamem|ram~3667_q ;
+wire \datamem|ram~3603_q ;
+wire \datamem|ram~3731feeder_combout ;
+wire \datamem|ram~3731_q ;
+wire \datamem|ram~3795_q ;
+wire \datamem|ram~4340_combout ;
+wire \datamem|ram~3715feeder_combout ;
+wire \datamem|ram~3715_q ;
+wire \datamem|ram~3587feeder_combout ;
+wire \datamem|ram~3587_q ;
+wire \datamem|ram~3651feeder_combout ;
+wire \datamem|ram~3651_q ;
+wire \datamem|ram~3779_q ;
+wire \datamem|ram~4339_combout ;
+wire \datamem|ram~4343_combout ;
+wire \datamem|ram~4349_combout ;
+wire \datamem|ram~1043feeder_combout ;
+wire \datamem|ram~1043_q ;
+wire \datamem|ram~1555_q ;
+wire \datamem|ram~1811feeder_combout ;
+wire \datamem|ram~1811_q ;
+wire \datamem|ram~1299_q ;
+wire \datamem|ram~4292_combout ;
+wire \datamem|ram~1683feeder_combout ;
+wire \datamem|ram~1683_q ;
+wire \datamem|ram~1171feeder_combout ;
+wire \datamem|ram~1171_q ;
+wire \datamem|ram~1427feeder_combout ;
+wire \datamem|ram~1427_q ;
+wire \datamem|ram~1939_q ;
+wire \datamem|ram~4294_combout ;
+wire \datamem|ram~2003_q ;
+wire \datamem|ram~1491_q ;
+wire \datamem|ram~1235_q ;
+wire \datamem|ram~1747_q ;
+wire \datamem|ram~4295_combout ;
+wire \datamem|ram~1107_q ;
+wire \datamem|ram~1619feeder_combout ;
+wire \datamem|ram~1619_q ;
+wire \datamem|ram~1875feeder_combout ;
+wire \datamem|ram~1875_q ;
+wire \datamem|ram~1363_q ;
+wire \datamem|ram~4293_combout ;
+wire \datamem|ram~4296_combout ;
+wire \datamem|ram~1795feeder_combout ;
+wire \datamem|ram~1795_q ;
+wire \datamem|ram~1539feeder_combout ;
+wire \datamem|ram~1539_q ;
+wire \datamem|ram~1027_q ;
+wire \datamem|ram~1283_q ;
+wire \datamem|ram~4287_combout ;
+wire \datamem|ram~1219_q ;
+wire \datamem|ram~1731_q ;
+wire \datamem|ram~1475feeder_combout ;
+wire \datamem|ram~1475_q ;
+wire \datamem|ram~1987_q ;
+wire \datamem|ram~4290_combout ;
+wire \datamem|ram~1411feeder_combout ;
+wire \datamem|ram~1411_q ;
+wire \datamem|ram~1155feeder_combout ;
+wire \datamem|ram~1155_q ;
+wire \datamem|ram~1667feeder_combout ;
+wire \datamem|ram~1667_q ;
+wire \datamem|ram~1923_q ;
+wire \datamem|ram~4289_combout ;
+wire \datamem|ram~1603_q ;
+wire \datamem|ram~1091_q ;
+wire \datamem|ram~1859feeder_combout ;
+wire \datamem|ram~1859_q ;
+wire \datamem|ram~1347_q ;
+wire \datamem|ram~4288_combout ;
+wire \datamem|ram~4291_combout ;
+wire \datamem|ram~1635feeder_combout ;
+wire \datamem|ram~1635_q ;
+wire \datamem|ram~1379_q ;
+wire \datamem|ram~1123feeder_combout ;
+wire \datamem|ram~1123_q ;
+wire \datamem|ram~1891_q ;
+wire \datamem|ram~4298_combout ;
+wire \datamem|ram~1251_q ;
+wire \datamem|ram~1763_q ;
+wire \datamem|ram~1507_q ;
+wire \datamem|ram~2019_q ;
+wire \datamem|ram~4300_combout ;
+wire \datamem|ram~1571_q ;
+wire \datamem|ram~1059_q ;
+wire \datamem|ram~1315_q ;
+wire \datamem|ram~1827_q ;
+wire \datamem|ram~4297_combout ;
+wire \datamem|ram~1443feeder_combout ;
+wire \datamem|ram~1443_q ;
+wire \datamem|ram~1699feeder_combout ;
+wire \datamem|ram~1699_q ;
+wire \datamem|ram~1187feeder_combout ;
+wire \datamem|ram~1187_q ;
+wire \datamem|ram~1955_q ;
+wire \datamem|ram~4299_combout ;
+wire \datamem|ram~4301_combout ;
+wire \datamem|ram~1075_q ;
+wire \datamem|ram~1203_q ;
+wire \datamem|ram~1139feeder_combout ;
+wire \datamem|ram~1139_q ;
+wire \datamem|ram~1267_q ;
+wire \datamem|ram~4302_combout ;
+wire \datamem|ram~1331feeder_combout ;
+wire \datamem|ram~1331_q ;
+wire \datamem|ram~1395_q ;
+wire \datamem|ram~1459_q ;
+wire \datamem|ram~1523_q ;
+wire \datamem|ram~4303_combout ;
+wire \datamem|ram~1907_q ;
+wire \datamem|ram~1843_q ;
+wire \datamem|ram~1971feeder_combout ;
+wire \datamem|ram~1971_q ;
+wire \datamem|ram~2035_q ;
+wire \datamem|ram~4305_combout ;
+wire \datamem|ram~1587feeder_combout ;
+wire \datamem|ram~1587_q ;
+wire \datamem|ram~1651feeder_combout ;
+wire \datamem|ram~1651_q ;
+wire \datamem|ram~1715feeder_combout ;
+wire \datamem|ram~1715_q ;
+wire \datamem|ram~1779_q ;
+wire \datamem|ram~4304_combout ;
+wire \datamem|ram~4306_combout ;
+wire \datamem|ram~4307_combout ;
+wire \datamem|ram~2339_q ;
+wire \datamem|ram~2323feeder_combout ;
+wire \datamem|ram~2323_q ;
+wire \datamem|ram~2307_q ;
+wire \datamem|ram~2355_q ;
+wire \datamem|ram~4309_combout ;
+wire \datamem|ram~2563feeder_combout ;
+wire \datamem|ram~2563_q ;
+wire \datamem|ram~2595feeder_combout ;
+wire \datamem|ram~2595_q ;
+wire \datamem|ram~2579feeder_combout ;
+wire \datamem|ram~2579_q ;
+wire \datamem|ram~2611_q ;
+wire \datamem|ram~4310_combout ;
+wire \datamem|ram~2835_q ;
+wire \datamem|ram~2851_q ;
+wire \datamem|ram~2819_q ;
+wire \datamem|ram~2867_q ;
+wire \datamem|ram~4311_combout ;
+wire \datamem|ram~2051_q ;
+wire \datamem|ram~2083feeder_combout ;
+wire \datamem|ram~2083_q ;
+wire \datamem|ram~2067_q ;
+wire \datamem|ram~2099_q ;
+wire \datamem|ram~4308_combout ;
+wire \datamem|ram~4312_combout ;
+wire \datamem|ram~2691_q ;
+wire \datamem|ram~2435_q ;
+wire \datamem|ram~2179_q ;
+wire \datamem|ram~2947_q ;
+wire \datamem|ram~4318_combout ;
+wire \datamem|ram~2211feeder_combout ;
+wire \datamem|ram~2211_q ;
+wire \datamem|ram~2723_q ;
+wire \datamem|ram~2467feeder_combout ;
+wire \datamem|ram~2467_q ;
+wire \datamem|ram~2979_q ;
+wire \datamem|ram~4320_combout ;
+wire \datamem|ram~2451feeder_combout ;
+wire \datamem|ram~2451_q ;
+wire \datamem|ram~2195feeder_combout ;
+wire \datamem|ram~2195_q ;
+wire \datamem|ram~2707_q ;
+wire \datamem|ram~2963_q ;
+wire \datamem|ram~4319_combout ;
+wire \datamem|ram~2483feeder_combout ;
+wire \datamem|ram~2483_q ;
+wire \datamem|ram~2227_q ;
+wire \datamem|ram~2739_q ;
+wire \datamem|ram~2995_q ;
+wire \datamem|ram~4321_combout ;
+wire \datamem|ram~4322_combout ;
+wire \datamem|ram~2275_q ;
+wire \datamem|ram~2243_q ;
+wire \datamem|ram~2259_q ;
+wire \datamem|ram~2291_q ;
+wire \datamem|ram~4323_combout ;
+wire \datamem|ram~2771_q ;
+wire \datamem|ram~2787_q ;
+wire \datamem|ram~2755feeder_combout ;
+wire \datamem|ram~2755_q ;
+wire \datamem|ram~2803_q ;
+wire \datamem|ram~4325_combout ;
+wire \datamem|ram~2515feeder_combout ;
+wire \datamem|ram~2515_q ;
+wire \datamem|ram~2499feeder_combout ;
+wire \datamem|ram~2499_q ;
+wire \datamem|ram~2531_q ;
+wire \datamem|ram~2547_q ;
+wire \datamem|ram~4324_combout ;
+wire \datamem|ram~3027feeder_combout ;
+wire \datamem|ram~3027_q ;
+wire \datamem|ram~3011feeder_combout ;
+wire \datamem|ram~3011_q ;
+wire \datamem|ram~3043feeder_combout ;
+wire \datamem|ram~3043_q ;
+wire \datamem|ram~3059_q ;
+wire \datamem|ram~4326_combout ;
+wire \datamem|ram~4327_combout ;
+wire \datamem|ram~2403_q ;
+wire \datamem|ram~2371_q ;
+wire \datamem|ram~2387feeder_combout ;
+wire \datamem|ram~2387_q ;
+wire \datamem|ram~2419_q ;
+wire \datamem|ram~4314_combout ;
+wire \datamem|ram~2659feeder_combout ;
+wire \datamem|ram~2659_q ;
+wire \datamem|ram~2643_q ;
+wire \datamem|ram~2627feeder_combout ;
+wire \datamem|ram~2627_q ;
+wire \datamem|ram~2675_q ;
+wire \datamem|ram~4315_combout ;
+wire \datamem|ram~2147feeder_combout ;
+wire \datamem|ram~2147_q ;
+wire \datamem|ram~2131_q ;
+wire \datamem|ram~2115feeder_combout ;
+wire \datamem|ram~2115_q ;
+wire \datamem|ram~2163_q ;
+wire \datamem|ram~4313_combout ;
+wire \datamem|ram~2883_q ;
+wire \datamem|ram~2899_q ;
+wire \datamem|ram~2915_q ;
+wire \datamem|ram~2931_q ;
+wire \datamem|ram~4316_combout ;
+wire \datamem|ram~4317_combout ;
+wire \datamem|ram~4328_combout ;
+wire \datamem|ram~35feeder_combout ;
+wire \datamem|ram~35_q ;
+wire \datamem|ram~163feeder_combout ;
+wire \datamem|ram~163_q ;
+wire \datamem|ram~99_q ;
+wire \datamem|ram~227_q ;
+wire \datamem|ram~4268_combout ;
+wire \datamem|ram~211feeder_combout ;
+wire \datamem|ram~211_q ;
+wire \datamem|ram~83_q ;
+wire \datamem|ram~147feeder_combout ;
+wire \datamem|ram~147_q ;
+wire \datamem|ram~19_q ;
+wire \datamem|ram~4267_combout ;
+wire \datamem|ram~3_q ;
+wire \datamem|ram~131feeder_combout ;
+wire \datamem|ram~131_q ;
+wire \datamem|ram~195feeder_combout ;
+wire \datamem|ram~195_q ;
+wire \datamem|ram~67_q ;
+wire \datamem|ram~4266_combout ;
+wire \datamem|ram~51feeder_combout ;
+wire \datamem|ram~51_q ;
+wire \datamem|ram~115feeder_combout ;
+wire \datamem|ram~115_q ;
+wire \datamem|ram~179_q ;
+wire \datamem|ram~243_q ;
+wire \datamem|ram~4269_combout ;
+wire \datamem|ram~4270_combout ;
+wire \datamem|ram~291_q ;
+wire \datamem|ram~419_q ;
+wire \datamem|ram~355_q ;
+wire \datamem|ram~483_q ;
+wire \datamem|ram~4273_combout ;
+wire \datamem|ram~387_q ;
+wire \datamem|ram~323feeder_combout ;
+wire \datamem|ram~323_q ;
+wire \datamem|ram~259feeder_combout ;
+wire \datamem|ram~259_q ;
+wire \datamem|ram~451_q ;
+wire \datamem|ram~4271_combout ;
+wire \datamem|ram~435_q ;
+wire \datamem|ram~307_q ;
+wire \datamem|ram~371_q ;
+wire \datamem|ram~499_q ;
+wire \datamem|ram~4274_combout ;
+wire \datamem|ram~339_q ;
+wire \datamem|ram~403_q ;
+wire \datamem|ram~275_q ;
+wire \datamem|ram~467_q ;
+wire \datamem|ram~4272_combout ;
+wire \datamem|ram~4275_combout ;
+wire \datamem|ram~643feeder_combout ;
+wire \datamem|ram~643_q ;
+wire \datamem|ram~579feeder_combout ;
+wire \datamem|ram~579_q ;
+wire \datamem|ram~515_q ;
+wire \datamem|ram~707_q ;
+wire \datamem|ram~4276_combout ;
+wire \datamem|ram~563feeder_combout ;
+wire \datamem|ram~563_q ;
+wire \datamem|ram~691_q ;
+wire \datamem|ram~627feeder_combout ;
+wire \datamem|ram~627_q ;
+wire \datamem|ram~755_q ;
+wire \datamem|ram~4279_combout ;
+wire \datamem|ram~547feeder_combout ;
+wire \datamem|ram~547_q ;
+wire \datamem|ram~675feeder_combout ;
+wire \datamem|ram~675_q ;
+wire \datamem|ram~611_q ;
+wire \datamem|ram~739_q ;
+wire \datamem|ram~4278_combout ;
+wire \datamem|ram~659feeder_combout ;
+wire \datamem|ram~659_q ;
+wire \datamem|ram~531feeder_combout ;
+wire \datamem|ram~531_q ;
+wire \datamem|ram~595feeder_combout ;
+wire \datamem|ram~595_q ;
+wire \datamem|ram~723_q ;
+wire \datamem|ram~4277_combout ;
+wire \datamem|ram~4280_combout ;
+wire \datamem|ram~915_q ;
+wire \datamem|ram~899feeder_combout ;
+wire \datamem|ram~899_q ;
+wire \datamem|ram~931_q ;
+wire \datamem|ram~947_q ;
+wire \datamem|ram~4283_combout ;
+wire \datamem|ram~787_q ;
+wire \datamem|ram~771feeder_combout ;
+wire \datamem|ram~771_q ;
+wire \datamem|ram~803feeder_combout ;
+wire \datamem|ram~803_q ;
+wire \datamem|ram~819_q ;
+wire \datamem|ram~4281_combout ;
+wire \datamem|ram~867_q ;
+wire \datamem|ram~835_q ;
+wire \datamem|ram~851_q ;
+wire \datamem|ram~883_q ;
+wire \datamem|ram~4282_combout ;
+wire \datamem|ram~979_q ;
+wire \datamem|ram~963feeder_combout ;
+wire \datamem|ram~963_q ;
+wire \datamem|ram~995_q ;
+wire \datamem|ram~1011_q ;
+wire \datamem|ram~4284_combout ;
+wire \datamem|ram~4285_combout ;
+wire \datamem|ram~4286_combout ;
+wire \datamem|ram~4350_combout ;
+wire \reg_write_data[3]~4_combout ;
+wire \reg_file|reg_array[3][3]~feeder_combout ;
+wire \reg_file|reg_array[3][3]~q ;
+wire \reg_file|reg_array[2][3]~q ;
+wire \reg_file|reg_array[1][3]~q ;
+wire \reg_file|reg_read_data_2[3]~2_combout ;
+wire \read_data2[3]~2_combout ;
+wire \alu_unit|Add0~10 ;
+wire \alu_unit|Add0~13_sumout ;
+wire \alu_unit|Add1~13_sumout ;
+wire \alu_unit|Mux11~6_combout ;
+wire \alu_unit|Mux11~0_combout ;
+wire \alu_unit|Mux11~2_combout ;
+wire \alu_unit|Mux11~7_combout ;
+wire \alu_unit|Mult0~12 ;
+wire \alu_unit|Mux11~4_combout ;
+wire \datamem|ram~1924_q ;
+wire \datamem|ram~1956feeder_combout ;
+wire \datamem|ram~1956_q ;
+wire \datamem|ram~1940_q ;
+wire \datamem|ram~1972_q ;
+wire \datamem|ram~4389_combout ;
+wire \datamem|ram~1828_q ;
+wire \datamem|ram~1812feeder_combout ;
+wire \datamem|ram~1812_q ;
+wire \datamem|ram~1796_q ;
+wire \datamem|ram~1844_q ;
+wire \datamem|ram~4387_combout ;
+wire \datamem|ram~2004feeder_combout ;
+wire \datamem|ram~2004_q ;
+wire \datamem|ram~2020feeder_combout ;
+wire \datamem|ram~2020_q ;
+wire \datamem|ram~1988_q ;
+wire \datamem|ram~2036_q ;
+wire \datamem|ram~4390_combout ;
+wire \datamem|ram~1876_q ;
+wire \datamem|ram~1860_q ;
+wire \datamem|ram~1892feeder_combout ;
+wire \datamem|ram~1892_q ;
+wire \datamem|ram~1908_q ;
+wire \datamem|ram~4388_combout ;
+wire \datamem|ram~4391_combout ;
+wire \datamem|ram~1716_q ;
+wire \datamem|ram~1588_q ;
+wire \datamem|ram~1652feeder_combout ;
+wire \datamem|ram~1652_q ;
+wire \datamem|ram~1780_q ;
+wire \datamem|ram~4385_combout ;
+wire \datamem|ram~1620_q ;
+wire \datamem|ram~1748feeder_combout ;
+wire \datamem|ram~1748_q ;
+wire \datamem|ram~1684feeder_combout ;
+wire \datamem|ram~1684_q ;
+wire \datamem|ram~1556feeder_combout ;
+wire \datamem|ram~1556_q ;
+wire \datamem|ram~4383_combout ;
+wire \datamem|ram~1700feeder_combout ;
+wire \datamem|ram~1700_q ;
+wire \datamem|ram~1636feeder_combout ;
+wire \datamem|ram~1636_q ;
+wire \datamem|ram~1572_q ;
+wire \datamem|ram~1764_q ;
+wire \datamem|ram~4384_combout ;
+wire \datamem|ram~1668feeder_combout ;
+wire \datamem|ram~1668_q ;
+wire \datamem|ram~1604_q ;
+wire \datamem|ram~1540_q ;
+wire \datamem|ram~1732_q ;
+wire \datamem|ram~4382_combout ;
+wire \datamem|ram~4386_combout ;
+wire \datamem|ram~1236feeder_combout ;
+wire \datamem|ram~1236_q ;
+wire \datamem|ram~1044_q ;
+wire \datamem|ram~1172_q ;
+wire \datamem|ram~1108_q ;
+wire \datamem|ram~4373_combout ;
+wire \datamem|ram~1076_q ;
+wire \datamem|ram~1140feeder_combout ;
+wire \datamem|ram~1140_q ;
+wire \datamem|ram~1204_q ;
+wire \datamem|ram~1268_q ;
+wire \datamem|ram~4375_combout ;
+wire \datamem|ram~1124feeder_combout ;
+wire \datamem|ram~1124_q ;
+wire \datamem|ram~1188feeder_combout ;
+wire \datamem|ram~1188_q ;
+wire \datamem|ram~1060_q ;
+wire \datamem|ram~1252_q ;
+wire \datamem|ram~4374_combout ;
+wire \datamem|ram~1028feeder_combout ;
+wire \datamem|ram~1028_q ;
+wire \datamem|ram~1220feeder_combout ;
+wire \datamem|ram~1220_q ;
+wire \datamem|ram~1156feeder_combout ;
+wire \datamem|ram~1156_q ;
+wire \datamem|ram~1092_q ;
+wire \datamem|ram~4372_combout ;
+wire \datamem|ram~4376_combout ;
+wire \datamem|ram~1300feeder_combout ;
+wire \datamem|ram~1300_q ;
+wire \datamem|ram~1492feeder_combout ;
+wire \datamem|ram~1492_q ;
+wire \datamem|ram~1428feeder_combout ;
+wire \datamem|ram~1428_q ;
+wire \datamem|ram~1364_q ;
+wire \datamem|ram~4378_combout ;
+wire \datamem|ram~1380_q ;
+wire \datamem|ram~1316feeder_combout ;
+wire \datamem|ram~1316_q ;
+wire \datamem|ram~1444_q ;
+wire \datamem|ram~1508_q ;
+wire \datamem|ram~4379_combout ;
+wire \datamem|ram~1332feeder_combout ;
+wire \datamem|ram~1332_q ;
+wire \datamem|ram~1396feeder_combout ;
+wire \datamem|ram~1396_q ;
+wire \datamem|ram~1460_q ;
+wire \datamem|ram~1524_q ;
+wire \datamem|ram~4380_combout ;
+wire \datamem|ram~1284_q ;
+wire \datamem|ram~1476_q ;
+wire \datamem|ram~1412feeder_combout ;
+wire \datamem|ram~1412_q ;
+wire \datamem|ram~1348_q ;
+wire \datamem|ram~4377_combout ;
+wire \datamem|ram~4381_combout ;
+wire \datamem|ram~4392_combout ;
+wire \datamem|ram~2724feeder_combout ;
+wire \datamem|ram~2724_q ;
+wire \datamem|ram~2980feeder_combout ;
+wire \datamem|ram~2980_q ;
+wire \datamem|ram~2468_q ;
+wire \datamem|ram~2212_q ;
+wire \datamem|ram~4405_combout ;
+wire \datamem|ram~2596feeder_combout ;
+wire \datamem|ram~2596_q ;
+wire \datamem|ram~2852_q ;
+wire \datamem|ram~2340feeder_combout ;
+wire \datamem|ram~2340_q ;
+wire \datamem|ram~2084feeder_combout ;
+wire \datamem|ram~2084_q ;
+wire \datamem|ram~4403_combout ;
+wire \datamem|ram~2532_q ;
+wire \datamem|ram~2788_q ;
+wire \datamem|ram~2276feeder_combout ;
+wire \datamem|ram~2276_q ;
+wire \datamem|ram~3044_q ;
+wire \datamem|ram~4406_combout ;
+wire \datamem|ram~2660_q ;
+wire \datamem|ram~2148feeder_combout ;
+wire \datamem|ram~2148_q ;
+wire \datamem|ram~2404_q ;
+wire \datamem|ram~2916_q ;
+wire \datamem|ram~4404_combout ;
+wire \datamem|ram~4407_combout ;
+wire \datamem|ram~2372feeder_combout ;
+wire \datamem|ram~2372_q ;
+wire \datamem|ram~2116feeder_combout ;
+wire \datamem|ram~2116_q ;
+wire \datamem|ram~2628feeder_combout ;
+wire \datamem|ram~2628_q ;
+wire \datamem|ram~2884_q ;
+wire \datamem|ram~4394_combout ;
+wire \datamem|ram~2564_q ;
+wire \datamem|ram~2052_q ;
+wire \datamem|ram~2308feeder_combout ;
+wire \datamem|ram~2308_q ;
+wire \datamem|ram~2820_q ;
+wire \datamem|ram~4393_combout ;
+wire \datamem|ram~2500_q ;
+wire \datamem|ram~2244feeder_combout ;
+wire \datamem|ram~2244_q ;
+wire \datamem|ram~2756_q ;
+wire \datamem|ram~3012_q ;
+wire \datamem|ram~4396_combout ;
+wire \datamem|ram~2180_q ;
+wire \datamem|ram~2436feeder_combout ;
+wire \datamem|ram~2436_q ;
+wire \datamem|ram~2692_q ;
+wire \datamem|ram~2948_q ;
+wire \datamem|ram~4395_combout ;
+wire \datamem|ram~4397_combout ;
+wire \datamem|ram~2580_q ;
+wire \datamem|ram~2068_q ;
+wire \datamem|ram~2324feeder_combout ;
+wire \datamem|ram~2324_q ;
+wire \datamem|ram~2836_q ;
+wire \datamem|ram~4398_combout ;
+wire \datamem|ram~2260feeder_combout ;
+wire \datamem|ram~2260_q ;
+wire \datamem|ram~2516_q ;
+wire \datamem|ram~2772feeder_combout ;
+wire \datamem|ram~2772_q ;
+wire \datamem|ram~3028_q ;
+wire \datamem|ram~4401_combout ;
+wire \datamem|ram~2132feeder_combout ;
+wire \datamem|ram~2132_q ;
+wire \datamem|ram~2388feeder_combout ;
+wire \datamem|ram~2388_q ;
+wire \datamem|ram~2644_q ;
+wire \datamem|ram~2900_q ;
+wire \datamem|ram~4399_combout ;
+wire \datamem|ram~2708feeder_combout ;
+wire \datamem|ram~2708_q ;
+wire \datamem|ram~2964_q ;
+wire \datamem|ram~2196feeder_combout ;
+wire \datamem|ram~2196_q ;
+wire \datamem|ram~2452_q ;
+wire \datamem|ram~4400_combout ;
+wire \datamem|ram~4402_combout ;
+wire \datamem|ram~2100_q ;
+wire \datamem|ram~2164_q ;
+wire \datamem|ram~2228feeder_combout ;
+wire \datamem|ram~2228_q ;
+wire \datamem|ram~2292_q ;
+wire \datamem|ram~4408_combout ;
+wire \datamem|ram~2420_q ;
+wire \datamem|ram~2484_q ;
+wire \datamem|ram~2356feeder_combout ;
+wire \datamem|ram~2356_q ;
+wire \datamem|ram~2548_q ;
+wire \datamem|ram~4409_combout ;
+wire \datamem|ram~2740feeder_combout ;
+wire \datamem|ram~2740_q ;
+wire \datamem|ram~2612_q ;
+wire \datamem|ram~2676feeder_combout ;
+wire \datamem|ram~2676_q ;
+wire \datamem|ram~2804_q ;
+wire \datamem|ram~4410_combout ;
+wire \datamem|ram~2932_q ;
+wire \datamem|ram~2996feeder_combout ;
+wire \datamem|ram~2996_q ;
+wire \datamem|ram~2868feeder_combout ;
+wire \datamem|ram~2868_q ;
+wire \datamem|ram~3060_q ;
+wire \datamem|ram~4411_combout ;
+wire \datamem|ram~4412_combout ;
+wire \datamem|ram~4413_combout ;
+wire \datamem|ram~548feeder_combout ;
+wire \datamem|ram~548_q ;
+wire \datamem|ram~516feeder_combout ;
+wire \datamem|ram~516_q ;
+wire \datamem|ram~532feeder_combout ;
+wire \datamem|ram~532_q ;
+wire \datamem|ram~564_q ;
+wire \datamem|ram~4353_combout ;
+wire \datamem|ram~788feeder_combout ;
+wire \datamem|ram~788_q ;
+wire \datamem|ram~772feeder_combout ;
+wire \datamem|ram~772_q ;
+wire \datamem|ram~804feeder_combout ;
+wire \datamem|ram~804_q ;
+wire \datamem|ram~820_q ;
+wire \datamem|ram~4354_combout ;
+wire \datamem|ram~52_q ;
+wire \datamem|ram~4_q ;
+wire \datamem|ram~36_q ;
+wire \datamem|ram~20_q ;
+wire \datamem|ram~4351_combout ;
+wire \datamem|ram~260feeder_combout ;
+wire \datamem|ram~260_q ;
+wire \datamem|ram~292_q ;
+wire \datamem|ram~308_q ;
+wire \datamem|ram~276_q ;
+wire \datamem|ram~4352_combout ;
+wire \datamem|ram~4355_combout ;
+wire \datamem|ram~996feeder_combout ;
+wire \datamem|ram~996_q ;
+wire \datamem|ram~964_q ;
+wire \datamem|ram~1012_q ;
+wire \datamem|ram~980_q ;
+wire \datamem|ram~4369_combout ;
+wire \datamem|ram~452feeder_combout ;
+wire \datamem|ram~452_q ;
+wire \datamem|ram~468_q ;
+wire \datamem|ram~484_q ;
+wire \datamem|ram~500_q ;
+wire \datamem|ram~4367_combout ;
+wire \datamem|ram~708_q ;
+wire \datamem|ram~740_q ;
+wire \datamem|ram~724feeder_combout ;
+wire \datamem|ram~724_q ;
+wire \datamem|ram~756_q ;
+wire \datamem|ram~4368_combout ;
+wire \datamem|ram~212feeder_combout ;
+wire \datamem|ram~212_q ;
+wire \datamem|ram~196_q ;
+wire \datamem|ram~228feeder_combout ;
+wire \datamem|ram~228_q ;
+wire \datamem|ram~244_q ;
+wire \datamem|ram~4366_combout ;
+wire \datamem|ram~4370_combout ;
+wire \datamem|ram~164feeder_combout ;
+wire \datamem|ram~164_q ;
+wire \datamem|ram~676feeder_combout ;
+wire \datamem|ram~676_q ;
+wire \datamem|ram~420_q ;
+wire \datamem|ram~932feeder_combout ;
+wire \datamem|ram~932_q ;
+wire \datamem|ram~4363_combout ;
+wire \datamem|ram~180feeder_combout ;
+wire \datamem|ram~180_q ;
+wire \datamem|ram~692feeder_combout ;
+wire \datamem|ram~692_q ;
+wire \datamem|ram~436feeder_combout ;
+wire \datamem|ram~436_q ;
+wire \datamem|ram~948_q ;
+wire \datamem|ram~4364_combout ;
+wire \datamem|ram~404_q ;
+wire \datamem|ram~660feeder_combout ;
+wire \datamem|ram~660_q ;
+wire \datamem|ram~148_q ;
+wire \datamem|ram~916_q ;
+wire \datamem|ram~4362_combout ;
+wire \datamem|ram~644_q ;
+wire \datamem|ram~388_q ;
+wire \datamem|ram~132_q ;
+wire \datamem|ram~900_q ;
+wire \datamem|ram~4361_combout ;
+wire \datamem|ram~4365_combout ;
+wire \datamem|ram~836_q ;
+wire \datamem|ram~852_q ;
+wire \datamem|ram~868feeder_combout ;
+wire \datamem|ram~868_q ;
+wire \datamem|ram~884_q ;
+wire \datamem|ram~4359_combout ;
+wire \datamem|ram~324_q ;
+wire \datamem|ram~372_q ;
+wire \datamem|ram~356_q ;
+wire \datamem|ram~340_q ;
+wire \datamem|ram~4357_combout ;
+wire \datamem|ram~116feeder_combout ;
+wire \datamem|ram~116_q ;
+wire \datamem|ram~100feeder_combout ;
+wire \datamem|ram~100_q ;
+wire \datamem|ram~68_q ;
+wire \datamem|ram~84_q ;
+wire \datamem|ram~4356_combout ;
+wire \datamem|ram~580feeder_combout ;
+wire \datamem|ram~580_q ;
+wire \datamem|ram~612feeder_combout ;
+wire \datamem|ram~612_q ;
+wire \datamem|ram~596_q ;
+wire \datamem|ram~628_q ;
+wire \datamem|ram~4358_combout ;
+wire \datamem|ram~4360_combout ;
+wire \datamem|ram~4371_combout ;
+wire \datamem|ram~3108feeder_combout ;
+wire \datamem|ram~3108_q ;
+wire \datamem|ram~3092feeder_combout ;
+wire \datamem|ram~3092_q ;
+wire \datamem|ram~3076_q ;
+wire \datamem|ram~3124_q ;
+wire \datamem|ram~4414_combout ;
+wire \datamem|ram~3876feeder_combout ;
+wire \datamem|ram~3876_q ;
+wire \datamem|ram~3844_q ;
+wire \datamem|ram~3860_q ;
+wire \datamem|ram~3892feeder_combout ;
+wire \datamem|ram~3892_q ;
+wire \datamem|ram~4417_combout ;
+wire \datamem|ram~3364feeder_combout ;
+wire \datamem|ram~3364_q ;
+wire \datamem|ram~3348feeder_combout ;
+wire \datamem|ram~3348_q ;
+wire \datamem|ram~3332feeder_combout ;
+wire \datamem|ram~3332_q ;
+wire \datamem|ram~3380_q ;
+wire \datamem|ram~4415_combout ;
+wire \datamem|ram~3620feeder_combout ;
+wire \datamem|ram~3620_q ;
+wire \datamem|ram~3604feeder_combout ;
+wire \datamem|ram~3604_q ;
+wire \datamem|ram~3588_q ;
+wire \datamem|ram~3636_q ;
+wire \datamem|ram~4416_combout ;
+wire \datamem|ram~4418_combout ;
+wire \datamem|ram~3684feeder_combout ;
+wire \datamem|ram~3684_q ;
+wire \datamem|ram~3668feeder_combout ;
+wire \datamem|ram~3668_q ;
+wire \datamem|ram~3652feeder_combout ;
+wire \datamem|ram~3652_q ;
+wire \datamem|ram~3700_q ;
+wire \datamem|ram~4421_combout ;
+wire \datamem|ram~3908_q ;
+wire \datamem|ram~3924_q ;
+wire \datamem|ram~3940_q ;
+wire \datamem|ram~3956_q ;
+wire \datamem|ram~4422_combout ;
+wire \datamem|ram~3188_q ;
+wire \datamem|ram~3156_q ;
+wire \datamem|ram~3172_q ;
+wire \datamem|ram~3140feeder_combout ;
+wire \datamem|ram~3140_q ;
+wire \datamem|ram~4419_combout ;
+wire \datamem|ram~3412feeder_combout ;
+wire \datamem|ram~3412_q ;
+wire \datamem|ram~3396_q ;
+wire \datamem|ram~3428feeder_combout ;
+wire \datamem|ram~3428_q ;
+wire \datamem|ram~3444_q ;
+wire \datamem|ram~4420_combout ;
+wire \datamem|ram~4423_combout ;
+wire \datamem|ram~3524_q ;
+wire \datamem|ram~3540_q ;
+wire \datamem|ram~3556_q ;
+wire \datamem|ram~3572_q ;
+wire \datamem|ram~4430_combout ;
+wire \datamem|ram~3780_q ;
+wire \datamem|ram~3796_q ;
+wire \datamem|ram~3812_q ;
+wire \datamem|ram~3828_q ;
+wire \datamem|ram~4431_combout ;
+wire \datamem|ram~4052feeder_combout ;
+wire \datamem|ram~4052_q ;
+wire \datamem|ram~4068feeder_combout ;
+wire \datamem|ram~4068_q ;
+wire \datamem|ram~4036feeder_combout ;
+wire \datamem|ram~4036_q ;
+wire \datamem|ram~4084_q ;
+wire \datamem|ram~4432_combout ;
+wire \datamem|ram~3268_q ;
+wire \datamem|ram~3300_q ;
+wire \datamem|ram~3284_q ;
+wire \datamem|ram~3316_q ;
+wire \datamem|ram~4429_combout ;
+wire \datamem|ram~4433_combout ;
+wire \datamem|ram~3492_q ;
+wire \datamem|ram~3748feeder_combout ;
+wire \datamem|ram~3748_q ;
+wire \datamem|ram~3236_q ;
+wire \datamem|ram~4004_q ;
+wire \datamem|ram~4426_combout ;
+wire \datamem|ram~3732feeder_combout ;
+wire \datamem|ram~3732_q ;
+wire \datamem|ram~3220_q ;
+wire \datamem|ram~3476feeder_combout ;
+wire \datamem|ram~3476_q ;
+wire \datamem|ram~3988_q ;
+wire \datamem|ram~4425_combout ;
+wire \datamem|ram~3508feeder_combout ;
+wire \datamem|ram~3508_q ;
+wire \datamem|ram~3252feeder_combout ;
+wire \datamem|ram~3252_q ;
+wire \datamem|ram~3764_q ;
+wire \datamem|ram~4020_q ;
+wire \datamem|ram~4427_combout ;
+wire \datamem|ram~3716feeder_combout ;
+wire \datamem|ram~3716_q ;
+wire \datamem|ram~3460feeder_combout ;
+wire \datamem|ram~3460_q ;
+wire \datamem|ram~3204feeder_combout ;
+wire \datamem|ram~3204_q ;
+wire \datamem|ram~3972_q ;
+wire \datamem|ram~4424_combout ;
+wire \datamem|ram~4428_combout ;
+wire \datamem|ram~4434_combout ;
+wire \datamem|ram~4435_combout ;
+wire \reg_write_data[4]~5_combout ;
+wire \reg_file|reg_array[0][4]~feeder_combout ;
+wire \reg_file|reg_array[0][4]~q ;
+wire \reg_file|reg_array[4][4]~q ;
+wire \reg_file|reg_array[7][4]~q ;
+wire \reg_file|reg_read_data_1[4]~6_combout ;
+wire \alu_unit|Add0~14 ;
+wire \alu_unit|Add0~17_sumout ;
+wire \alu_unit|Add1~17_sumout ;
+wire \alu_unit|Mux10~2_combout ;
+wire \alu_unit|Mux10~4_combout ;
+wire \alu_unit|Mux10~3_combout ;
+wire \alu_unit|Mux10~9_combout ;
+wire \alu_unit|Mult0~13 ;
+wire \alu_unit|Mux10~6_combout ;
+wire \datamem|ram~677_q ;
+wire \datamem|ram~421_q ;
+wire \datamem|ram~165_q ;
+wire \datamem|ram~933_q ;
+wire \datamem|ram~4873_combout ;
+wire \datamem|ram~741_q ;
+wire \datamem|ram~485_q ;
+wire \datamem|ram~229_q ;
+wire \datamem|ram~997_q ;
+wire \datamem|ram~4874_combout ;
+wire \datamem|ram~613_q ;
+wire \datamem|ram~357_q ;
+wire \datamem|ram~101feeder_combout ;
+wire \datamem|ram~101_q ;
+wire \datamem|ram~869_q ;
+wire \datamem|ram~4872_combout ;
+wire \datamem|ram~37feeder_combout ;
+wire \datamem|ram~37_q ;
+wire \datamem|ram~549feeder_combout ;
+wire \datamem|ram~549_q ;
+wire \datamem|ram~293feeder_combout ;
+wire \datamem|ram~293_q ;
+wire \datamem|ram~805_q ;
+wire \datamem|ram~4871_combout ;
+wire \datamem|ram~4875_combout ;
+wire \datamem|ram~853feeder_combout ;
+wire \datamem|ram~853_q ;
+wire \datamem|ram~597feeder_combout ;
+wire \datamem|ram~597_q ;
+wire \datamem|ram~85_q ;
+wire \datamem|ram~341_q ;
+wire \datamem|ram~4867_combout ;
+wire \datamem|ram~405feeder_combout ;
+wire \datamem|ram~405_q ;
+wire \datamem|ram~149_q ;
+wire \datamem|ram~661feeder_combout ;
+wire \datamem|ram~661_q ;
+wire \datamem|ram~917_q ;
+wire \datamem|ram~4868_combout ;
+wire \datamem|ram~213feeder_combout ;
+wire \datamem|ram~213_q ;
+wire \datamem|ram~725_q ;
+wire \datamem|ram~469feeder_combout ;
+wire \datamem|ram~469_q ;
+wire \datamem|ram~981_q ;
+wire \datamem|ram~4869_combout ;
+wire \datamem|ram~533_q ;
+wire \datamem|ram~21_q ;
+wire \datamem|ram~789feeder_combout ;
+wire \datamem|ram~789_q ;
+wire \datamem|ram~277_q ;
+wire \datamem|ram~4866_combout ;
+wire \datamem|ram~4870_combout ;
+wire \datamem|ram~773feeder_combout ;
+wire \datamem|ram~773_q ;
+wire \datamem|ram~517feeder_combout ;
+wire \datamem|ram~517_q ;
+wire \datamem|ram~5feeder_combout ;
+wire \datamem|ram~5_q ;
+wire \datamem|ram~261_q ;
+wire \datamem|ram~4861_combout ;
+wire \datamem|ram~645feeder_combout ;
+wire \datamem|ram~645_q ;
+wire \datamem|ram~133_q ;
+wire \datamem|ram~389_q ;
+wire \datamem|ram~901_q ;
+wire \datamem|ram~4863_combout ;
+wire \datamem|ram~453feeder_combout ;
+wire \datamem|ram~453_q ;
+wire \datamem|ram~709feeder_combout ;
+wire \datamem|ram~709_q ;
+wire \datamem|ram~197_q ;
+wire \datamem|ram~965_q ;
+wire \datamem|ram~4864_combout ;
+wire \datamem|ram~581feeder_combout ;
+wire \datamem|ram~581_q ;
+wire \datamem|ram~69feeder_combout ;
+wire \datamem|ram~69_q ;
+wire \datamem|ram~837feeder_combout ;
+wire \datamem|ram~837_q ;
+wire \datamem|ram~325_q ;
+wire \datamem|ram~4862_combout ;
+wire \datamem|ram~4865_combout ;
+wire \datamem|ram~629_q ;
+wire \datamem|ram~693_q ;
+wire \datamem|ram~565feeder_combout ;
+wire \datamem|ram~565_q ;
+wire \datamem|ram~757_q ;
+wire \datamem|ram~4878_combout ;
+wire \datamem|ram~309feeder_combout ;
+wire \datamem|ram~309_q ;
+wire \datamem|ram~373_q ;
+wire \datamem|ram~437_q ;
+wire \datamem|ram~501_q ;
+wire \datamem|ram~4877_combout ;
+wire \datamem|ram~117_q ;
+wire \datamem|ram~181feeder_combout ;
+wire \datamem|ram~181_q ;
+wire \datamem|ram~53_q ;
+wire \datamem|ram~245_q ;
+wire \datamem|ram~4876_combout ;
+wire \datamem|ram~885_q ;
+wire \datamem|ram~821_q ;
+wire \datamem|ram~949_q ;
+wire \datamem|ram~1013_q ;
+wire \datamem|ram~4879_combout ;
+wire \datamem|ram~4880_combout ;
+wire \datamem|ram~4881_combout ;
+wire \datamem|ram~3685feeder_combout ;
+wire \datamem|ram~3685_q ;
+wire \datamem|ram~3173feeder_combout ;
+wire \datamem|ram~3173_q ;
+wire \datamem|ram~3429_q ;
+wire \datamem|ram~3941_q ;
+wire \datamem|ram~4935_combout ;
+wire \datamem|ram~3621feeder_combout ;
+wire \datamem|ram~3621_q ;
+wire \datamem|ram~3365feeder_combout ;
+wire \datamem|ram~3365_q ;
+wire \datamem|ram~3109feeder_combout ;
+wire \datamem|ram~3109_q ;
+wire \datamem|ram~3877feeder_combout ;
+wire \datamem|ram~3877_q ;
+wire \datamem|ram~4934_combout ;
+wire \datamem|ram~3749_q ;
+wire \datamem|ram~3237feeder_combout ;
+wire \datamem|ram~3237_q ;
+wire \datamem|ram~3493_q ;
+wire \datamem|ram~4005_q ;
+wire \datamem|ram~4936_combout ;
+wire \datamem|ram~3557_q ;
+wire \datamem|ram~3301_q ;
+wire \datamem|ram~3813_q ;
+wire \datamem|ram~4069_q ;
+wire \datamem|ram~4937_combout ;
+wire \datamem|ram~4938_combout ;
+wire \datamem|ram~3781_q ;
+wire \datamem|ram~3525_q ;
+wire \datamem|ram~3269feeder_combout ;
+wire \datamem|ram~3269_q ;
+wire \datamem|ram~4037_q ;
+wire \datamem|ram~4927_combout ;
+wire \datamem|ram~3845feeder_combout ;
+wire \datamem|ram~3845_q ;
+wire \datamem|ram~3077feeder_combout ;
+wire \datamem|ram~3077_q ;
+wire \datamem|ram~3589feeder_combout ;
+wire \datamem|ram~3589_q ;
+wire \datamem|ram~3333feeder_combout ;
+wire \datamem|ram~3333_q ;
+wire \datamem|ram~4924_combout ;
+wire \datamem|ram~3973feeder_combout ;
+wire \datamem|ram~3973_q ;
+wire \datamem|ram~3461feeder_combout ;
+wire \datamem|ram~3461_q ;
+wire \datamem|ram~3205_q ;
+wire \datamem|ram~3717_q ;
+wire \datamem|ram~4926_combout ;
+wire \datamem|ram~3397feeder_combout ;
+wire \datamem|ram~3397_q ;
+wire \datamem|ram~3909feeder_combout ;
+wire \datamem|ram~3909_q ;
+wire \datamem|ram~3141_q ;
+wire \datamem|ram~3653_q ;
+wire \datamem|ram~4925_combout ;
+wire \datamem|ram~4928_combout ;
+wire \datamem|ram~3253_q ;
+wire \datamem|ram~3189_q ;
+wire \datamem|ram~3125_q ;
+wire \datamem|ram~3317_q ;
+wire \datamem|ram~4939_combout ;
+wire \datamem|ram~3701feeder_combout ;
+wire \datamem|ram~3701_q ;
+wire \datamem|ram~3637feeder_combout ;
+wire \datamem|ram~3637_q ;
+wire \datamem|ram~3765_q ;
+wire \datamem|ram~3829_q ;
+wire \datamem|ram~4941_combout ;
+wire \datamem|ram~3893_q ;
+wire \datamem|ram~4021_q ;
+wire \datamem|ram~3957_q ;
+wire \datamem|ram~4085_q ;
+wire \datamem|ram~4942_combout ;
+wire \datamem|ram~3509feeder_combout ;
+wire \datamem|ram~3509_q ;
+wire \datamem|ram~3381feeder_combout ;
+wire \datamem|ram~3381_q ;
+wire \datamem|ram~3445feeder_combout ;
+wire \datamem|ram~3445_q ;
+wire \datamem|ram~3573_q ;
+wire \datamem|ram~4940_combout ;
+wire \datamem|ram~4943_combout ;
+wire \datamem|ram~3157_q ;
+wire \datamem|ram~3669feeder_combout ;
+wire \datamem|ram~3669_q ;
+wire \datamem|ram~3413feeder_combout ;
+wire \datamem|ram~3413_q ;
+wire \datamem|ram~3925_q ;
+wire \datamem|ram~4930_combout ;
+wire \datamem|ram~3349_q ;
+wire \datamem|ram~3605feeder_combout ;
+wire \datamem|ram~3605_q ;
+wire \datamem|ram~3861feeder_combout ;
+wire \datamem|ram~3861_q ;
+wire \datamem|ram~3093feeder_combout ;
+wire \datamem|ram~3093_q ;
+wire \datamem|ram~4929_combout ;
+wire \datamem|ram~3541_q ;
+wire \datamem|ram~3797_q ;
+wire \datamem|ram~3285_q ;
+wire \datamem|ram~4053_q ;
+wire \datamem|ram~4932_combout ;
+wire \datamem|ram~3477feeder_combout ;
+wire \datamem|ram~3477_q ;
+wire \datamem|ram~3733feeder_combout ;
+wire \datamem|ram~3733_q ;
+wire \datamem|ram~3221_q ;
+wire \datamem|ram~3989_q ;
+wire \datamem|ram~4931_combout ;
+wire \datamem|ram~4933_combout ;
+wire \datamem|ram~4944_combout ;
+wire \datamem|ram~2197feeder_combout ;
+wire \datamem|ram~2197_q ;
+wire \datamem|ram~2069feeder_combout ;
+wire \datamem|ram~2069_q ;
+wire \datamem|ram~2133feeder_combout ;
+wire \datamem|ram~2133_q ;
+wire \datamem|ram~2261_q ;
+wire \datamem|ram~4904_combout ;
+wire \datamem|ram~2149feeder_combout ;
+wire \datamem|ram~2149_q ;
+wire \datamem|ram~2085feeder_combout ;
+wire \datamem|ram~2085_q ;
+wire \datamem|ram~2213feeder_combout ;
+wire \datamem|ram~2213_q ;
+wire \datamem|ram~2277_q ;
+wire \datamem|ram~4905_combout ;
+wire \datamem|ram~2165feeder_combout ;
+wire \datamem|ram~2165_q ;
+wire \datamem|ram~2229_q ;
+wire \datamem|ram~2101_q ;
+wire \datamem|ram~2293_q ;
+wire \datamem|ram~4906_combout ;
+wire \datamem|ram~2117_q ;
+wire \datamem|ram~2053_q ;
+wire \datamem|ram~2181_q ;
+wire \datamem|ram~2245_q ;
+wire \datamem|ram~4903_combout ;
+wire \datamem|ram~4907_combout ;
+wire \datamem|ram~2917_q ;
+wire \datamem|ram~2901_q ;
+wire \datamem|ram~2885_q ;
+wire \datamem|ram~2933_q ;
+wire \datamem|ram~4919_combout ;
+wire \datamem|ram~3029feeder_combout ;
+wire \datamem|ram~3029_q ;
+wire \datamem|ram~3045feeder_combout ;
+wire \datamem|ram~3045_q ;
+wire \datamem|ram~3013_q ;
+wire \datamem|ram~3061_q ;
+wire \datamem|ram~4921_combout ;
+wire \datamem|ram~2837_q ;
+wire \datamem|ram~2853feeder_combout ;
+wire \datamem|ram~2853_q ;
+wire \datamem|ram~2821feeder_combout ;
+wire \datamem|ram~2821_q ;
+wire \datamem|ram~2869_q ;
+wire \datamem|ram~4918_combout ;
+wire \datamem|ram~2981_q ;
+wire \datamem|ram~2965_q ;
+wire \datamem|ram~2949_q ;
+wire \datamem|ram~2997_q ;
+wire \datamem|ram~4920_combout ;
+wire \datamem|ram~4922_combout ;
+wire \datamem|ram~2645_q ;
+wire \datamem|ram~2773_q ;
+wire \datamem|ram~2581feeder_combout ;
+wire \datamem|ram~2581_q ;
+wire \datamem|ram~2709feeder_combout ;
+wire \datamem|ram~2709_q ;
+wire \datamem|ram~4914_combout ;
+wire \datamem|ram~2629_q ;
+wire \datamem|ram~2565_q ;
+wire \datamem|ram~2693_q ;
+wire \datamem|ram~2757_q ;
+wire \datamem|ram~4913_combout ;
+wire \datamem|ram~2725_q ;
+wire \datamem|ram~2661feeder_combout ;
+wire \datamem|ram~2661_q ;
+wire \datamem|ram~2597feeder_combout ;
+wire \datamem|ram~2597_q ;
+wire \datamem|ram~2789_q ;
+wire \datamem|ram~4915_combout ;
+wire \datamem|ram~2741_q ;
+wire \datamem|ram~2677feeder_combout ;
+wire \datamem|ram~2677_q ;
+wire \datamem|ram~2613_q ;
+wire \datamem|ram~2805_q ;
+wire \datamem|ram~4916_combout ;
+wire \datamem|ram~4917_combout ;
+wire \datamem|ram~2549_q ;
+wire \datamem|ram~2357feeder_combout ;
+wire \datamem|ram~2357_q ;
+wire \datamem|ram~2485_q ;
+wire \datamem|ram~2421_q ;
+wire \datamem|ram~4911_combout ;
+wire \datamem|ram~2405feeder_combout ;
+wire \datamem|ram~2405_q ;
+wire \datamem|ram~2341_q ;
+wire \datamem|ram~2469_q ;
+wire \datamem|ram~2533_q ;
+wire \datamem|ram~4910_combout ;
+wire \datamem|ram~2373feeder_combout ;
+wire \datamem|ram~2373_q ;
+wire \datamem|ram~2309feeder_combout ;
+wire \datamem|ram~2309_q ;
+wire \datamem|ram~2437feeder_combout ;
+wire \datamem|ram~2437_q ;
+wire \datamem|ram~2501_q ;
+wire \datamem|ram~4908_combout ;
+wire \datamem|ram~2325feeder_combout ;
+wire \datamem|ram~2325_q ;
+wire \datamem|ram~2453feeder_combout ;
+wire \datamem|ram~2453_q ;
+wire \datamem|ram~2389feeder_combout ;
+wire \datamem|ram~2389_q ;
+wire \datamem|ram~2517_q ;
+wire \datamem|ram~4909_combout ;
+wire \datamem|ram~4912_combout ;
+wire \datamem|ram~4923_combout ;
+wire \datamem|ram~1861_q ;
+wire \datamem|ram~1893_q ;
+wire \datamem|ram~1909_q ;
+wire \datamem|ram~1877_q ;
+wire \datamem|ram~4890_combout ;
+wire \datamem|ram~1621_q ;
+wire \datamem|ram~1637_q ;
+wire \datamem|ram~1605_q ;
+wire \datamem|ram~1653_q ;
+wire \datamem|ram~4889_combout ;
+wire \datamem|ram~1093_q ;
+wire \datamem|ram~1125feeder_combout ;
+wire \datamem|ram~1125_q ;
+wire \datamem|ram~1141feeder_combout ;
+wire \datamem|ram~1141_q ;
+wire \datamem|ram~1109_q ;
+wire \datamem|ram~4887_combout ;
+wire \datamem|ram~1349_q ;
+wire \datamem|ram~1397_q ;
+wire \datamem|ram~1381_q ;
+wire \datamem|ram~1365_q ;
+wire \datamem|ram~4888_combout ;
+wire \datamem|ram~4891_combout ;
+wire \datamem|ram~1829_q ;
+wire \datamem|ram~1797_q ;
+wire \datamem|ram~1813_q ;
+wire \datamem|ram~1845_q ;
+wire \datamem|ram~4885_combout ;
+wire \datamem|ram~1317_q ;
+wire \datamem|ram~1285feeder_combout ;
+wire \datamem|ram~1285_q ;
+wire \datamem|ram~1333feeder_combout ;
+wire \datamem|ram~1333_q ;
+wire \datamem|ram~1301_q ;
+wire \datamem|ram~4883_combout ;
+wire \datamem|ram~1541_q ;
+wire \datamem|ram~1573feeder_combout ;
+wire \datamem|ram~1573_q ;
+wire \datamem|ram~1557_q ;
+wire \datamem|ram~1589_q ;
+wire \datamem|ram~4884_combout ;
+wire \datamem|ram~1029feeder_combout ;
+wire \datamem|ram~1029_q ;
+wire \datamem|ram~1061feeder_combout ;
+wire \datamem|ram~1061_q ;
+wire \datamem|ram~1077feeder_combout ;
+wire \datamem|ram~1077_q ;
+wire \datamem|ram~1045_q ;
+wire \datamem|ram~4882_combout ;
+wire \datamem|ram~4886_combout ;
+wire \datamem|ram~1989_q ;
+wire \datamem|ram~2005_q ;
+wire \datamem|ram~2021_q ;
+wire \datamem|ram~2037_q ;
+wire \datamem|ram~4900_combout ;
+wire \datamem|ram~1509_q ;
+wire \datamem|ram~1477_q ;
+wire \datamem|ram~1493_q ;
+wire \datamem|ram~1525_q ;
+wire \datamem|ram~4898_combout ;
+wire \datamem|ram~1781feeder_combout ;
+wire \datamem|ram~1781_q ;
+wire \datamem|ram~1733_q ;
+wire \datamem|ram~1749_q ;
+wire \datamem|ram~1765_q ;
+wire \datamem|ram~4899_combout ;
+wire \datamem|ram~1221_q ;
+wire \datamem|ram~1253_q ;
+wire \datamem|ram~1237feeder_combout ;
+wire \datamem|ram~1237_q ;
+wire \datamem|ram~1269_q ;
+wire \datamem|ram~4897_combout ;
+wire \datamem|ram~4901_combout ;
+wire \datamem|ram~1173feeder_combout ;
+wire \datamem|ram~1173_q ;
+wire \datamem|ram~1429_q ;
+wire \datamem|ram~1685feeder_combout ;
+wire \datamem|ram~1685_q ;
+wire \datamem|ram~1941_q ;
+wire \datamem|ram~4893_combout ;
+wire \datamem|ram~1701feeder_combout ;
+wire \datamem|ram~1701_q ;
+wire \datamem|ram~1189feeder_combout ;
+wire \datamem|ram~1189_q ;
+wire \datamem|ram~1445feeder_combout ;
+wire \datamem|ram~1445_q ;
+wire \datamem|ram~1957_q ;
+wire \datamem|ram~4894_combout ;
+wire \datamem|ram~1413feeder_combout ;
+wire \datamem|ram~1413_q ;
+wire \datamem|ram~1157_q ;
+wire \datamem|ram~1669feeder_combout ;
+wire \datamem|ram~1669_q ;
+wire \datamem|ram~1925_q ;
+wire \datamem|ram~4892_combout ;
+wire \datamem|ram~1717feeder_combout ;
+wire \datamem|ram~1717_q ;
+wire \datamem|ram~1205feeder_combout ;
+wire \datamem|ram~1205_q ;
+wire \datamem|ram~1461feeder_combout ;
+wire \datamem|ram~1461_q ;
+wire \datamem|ram~1973_q ;
+wire \datamem|ram~4895_combout ;
+wire \datamem|ram~4896_combout ;
+wire \datamem|ram~4902_combout ;
+wire \datamem|ram~4945_combout ;
+wire \reg_write_data[5]~11_combout ;
+wire \reg_file|reg_array[0][5]~feeder_combout ;
+wire \reg_file|reg_array[0][5]~q ;
+wire \reg_file|reg_array[4][5]~q ;
+wire \reg_file|reg_array[7][5]~q ;
+wire \reg_file|reg_read_data_1[5]~16_combout ;
+wire \reg_file|reg_read_data_1[5]~17_combout ;
+wire \Add0~18 ;
+wire \Add0~22 ;
+wire \Add0~25_sumout ;
+wire \Add2~29_sumout ;
+wire \Add3~25_sumout ;
+wire \pc_next[7]~6_combout ;
+wire \Add0~26 ;
+wire \Add0~29_sumout ;
+wire \Add3~29_sumout ;
+wire \Add2~33_sumout ;
+wire \pc_next[8]~7_combout ;
+wire \Add0~30 ;
+wire \Add0~33_sumout ;
+wire \Add2~38 ;
+wire \Add2~42 ;
+wire \Add2~46 ;
+wire \Add2~49_sumout ;
+wire \Add3~45_sumout ;
+wire \pc_next[12]~11_combout ;
+wire \Add0~45_sumout ;
+wire \alu_unit|Mux3~1_combout ;
+wire \alu_unit|Mux3~2_combout ;
+wire \alu_unit|Mult0~20 ;
+wire \alu_unit|Mux3~3_combout ;
+wire \alu_unit|Mux3~4_combout ;
+wire \datamem|ram~3452feeder_combout ;
+wire \datamem|ram~3452_q ;
+wire \datamem|ram~3516feeder_combout ;
+wire \datamem|ram~3516_q ;
+wire \datamem|ram~3388feeder_combout ;
+wire \datamem|ram~3388_q ;
+wire \datamem|ram~3580_q ;
+wire \datamem|ram~4847_combout ;
+wire \datamem|ram~3468feeder_combout ;
+wire \datamem|ram~3468_q ;
+wire \datamem|ram~3340_q ;
+wire \datamem|ram~3404feeder_combout ;
+wire \datamem|ram~3404_q ;
+wire \datamem|ram~3532_q ;
+wire \datamem|ram~4844_combout ;
+wire \datamem|ram~3372feeder_combout ;
+wire \datamem|ram~3372_q ;
+wire \datamem|ram~3564feeder_combout ;
+wire \datamem|ram~3564_q ;
+wire \datamem|ram~3436feeder_combout ;
+wire \datamem|ram~3436_q ;
+wire \datamem|ram~3500feeder_combout ;
+wire \datamem|ram~3500_q ;
+wire \datamem|ram~4846_combout ;
+wire \datamem|ram~3356_q ;
+wire \datamem|ram~3420feeder_combout ;
+wire \datamem|ram~3420_q ;
+wire \datamem|ram~3484feeder_combout ;
+wire \datamem|ram~3484_q ;
+wire \datamem|ram~3548_q ;
+wire \datamem|ram~4845_combout ;
+wire \datamem|ram~4848_combout ;
+wire \datamem|ram~3980feeder_combout ;
+wire \datamem|ram~3980_q ;
+wire \datamem|ram~4012_q ;
+wire \datamem|ram~3996_q ;
+wire \datamem|ram~4028_q ;
+wire \datamem|ram~4856_combout ;
+wire \datamem|ram~3916feeder_combout ;
+wire \datamem|ram~3916_q ;
+wire \datamem|ram~3932_q ;
+wire \datamem|ram~3948_q ;
+wire \datamem|ram~3964_q ;
+wire \datamem|ram~4855_combout ;
+wire \datamem|ram~4092_q ;
+wire \datamem|ram~4044_q ;
+wire \datamem|ram~4060_q ;
+wire \datamem|ram~4076_q ;
+wire \datamem|ram~4857_combout ;
+wire \datamem|ram~3868_q ;
+wire \datamem|ram~3884_q ;
+wire \datamem|ram~3852_q ;
+wire \datamem|ram~3900_q ;
+wire \datamem|ram~4854_combout ;
+wire \datamem|ram~4858_combout ;
+wire \datamem|ram~3180_q ;
+wire \datamem|ram~3308_q ;
+wire \datamem|ram~3244feeder_combout ;
+wire \datamem|ram~3244_q ;
+wire \datamem|ram~3116_q ;
+wire \datamem|ram~4841_combout ;
+wire \datamem|ram~3228feeder_combout ;
+wire \datamem|ram~3228_q ;
+wire \datamem|ram~3100_q ;
+wire \datamem|ram~3164feeder_combout ;
+wire \datamem|ram~3164_q ;
+wire \datamem|ram~3292_q ;
+wire \datamem|ram~4840_combout ;
+wire \datamem|ram~3084feeder_combout ;
+wire \datamem|ram~3084_q ;
+wire \datamem|ram~3212feeder_combout ;
+wire \datamem|ram~3212_q ;
+wire \datamem|ram~3148_q ;
+wire \datamem|ram~3276_q ;
+wire \datamem|ram~4839_combout ;
+wire \datamem|ram~3196_q ;
+wire \datamem|ram~3260_q ;
+wire \datamem|ram~3132_q ;
+wire \datamem|ram~3324_q ;
+wire \datamem|ram~4842_combout ;
+wire \datamem|ram~4843_combout ;
+wire \datamem|ram~3596feeder_combout ;
+wire \datamem|ram~3596_q ;
+wire \datamem|ram~3660feeder_combout ;
+wire \datamem|ram~3660_q ;
+wire \datamem|ram~3724feeder_combout ;
+wire \datamem|ram~3724_q ;
+wire \datamem|ram~3788_q ;
+wire \datamem|ram~4849_combout ;
+wire \datamem|ram~3708_q ;
+wire \datamem|ram~3644_q ;
+wire \datamem|ram~3772feeder_combout ;
+wire \datamem|ram~3772_q ;
+wire \datamem|ram~3836_q ;
+wire \datamem|ram~4852_combout ;
+wire \datamem|ram~3692_q ;
+wire \datamem|ram~3628_q ;
+wire \datamem|ram~3756_q ;
+wire \datamem|ram~3820_q ;
+wire \datamem|ram~4851_combout ;
+wire \datamem|ram~3740_q ;
+wire \datamem|ram~3676feeder_combout ;
+wire \datamem|ram~3676_q ;
+wire \datamem|ram~3612feeder_combout ;
+wire \datamem|ram~3612_q ;
+wire \datamem|ram~3804_q ;
+wire \datamem|ram~4850_combout ;
+wire \datamem|ram~4853_combout ;
+wire \datamem|ram~4859_combout ;
+wire \datamem|ram~2204_q ;
+wire \datamem|ram~2460_q ;
+wire \datamem|ram~2716_q ;
+wire \datamem|ram~2972_q ;
+wire \datamem|ram~4829_combout ;
+wire \datamem|ram~2220_q ;
+wire \datamem|ram~2476_q ;
+wire \datamem|ram~2988_q ;
+wire \datamem|ram~2732_q ;
+wire \datamem|ram~4830_combout ;
+wire \datamem|ram~2492feeder_combout ;
+wire \datamem|ram~2492_q ;
+wire \datamem|ram~2748feeder_combout ;
+wire \datamem|ram~2748_q ;
+wire \datamem|ram~2236feeder_combout ;
+wire \datamem|ram~2236_q ;
+wire \datamem|ram~3004_q ;
+wire \datamem|ram~4831_combout ;
+wire \datamem|ram~2188_q ;
+wire \datamem|ram~2700feeder_combout ;
+wire \datamem|ram~2700_q ;
+wire \datamem|ram~2444_q ;
+wire \datamem|ram~2956_q ;
+wire \datamem|ram~4828_combout ;
+wire \datamem|ram~4832_combout ;
+wire \datamem|ram~2156feeder_combout ;
+wire \datamem|ram~2156_q ;
+wire \datamem|ram~2124feeder_combout ;
+wire \datamem|ram~2124_q ;
+wire \datamem|ram~2140feeder_combout ;
+wire \datamem|ram~2140_q ;
+wire \datamem|ram~2172_q ;
+wire \datamem|ram~4823_combout ;
+wire \datamem|ram~2924_q ;
+wire \datamem|ram~2908feeder_combout ;
+wire \datamem|ram~2908_q ;
+wire \datamem|ram~2892_q ;
+wire \datamem|ram~2940_q ;
+wire \datamem|ram~4826_combout ;
+wire \datamem|ram~2652_q ;
+wire \datamem|ram~2668feeder_combout ;
+wire \datamem|ram~2668_q ;
+wire \datamem|ram~2636_q ;
+wire \datamem|ram~2684_q ;
+wire \datamem|ram~4825_combout ;
+wire \datamem|ram~2412feeder_combout ;
+wire \datamem|ram~2412_q ;
+wire \datamem|ram~2380_q ;
+wire \datamem|ram~2396_q ;
+wire \datamem|ram~2428_q ;
+wire \datamem|ram~4824_combout ;
+wire \datamem|ram~4827_combout ;
+wire \datamem|ram~2508_q ;
+wire \datamem|ram~2540_q ;
+wire \datamem|ram~2524_q ;
+wire \datamem|ram~2556_q ;
+wire \datamem|ram~4834_combout ;
+wire \datamem|ram~2268feeder_combout ;
+wire \datamem|ram~2268_q ;
+wire \datamem|ram~2300feeder_combout ;
+wire \datamem|ram~2300_q ;
+wire \datamem|ram~2252_q ;
+wire \datamem|ram~2284_q ;
+wire \datamem|ram~4833_combout ;
+wire \datamem|ram~3036_q ;
+wire \datamem|ram~3020_q ;
+wire \datamem|ram~3052_q ;
+wire \datamem|ram~3068_q ;
+wire \datamem|ram~4836_combout ;
+wire \datamem|ram~2764_q ;
+wire \datamem|ram~2812feeder_combout ;
+wire \datamem|ram~2812_q ;
+wire \datamem|ram~2780_q ;
+wire \datamem|ram~2796_q ;
+wire \datamem|ram~4835_combout ;
+wire \datamem|ram~4837_combout ;
+wire \datamem|ram~2060feeder_combout ;
+wire \datamem|ram~2060_q ;
+wire \datamem|ram~2076feeder_combout ;
+wire \datamem|ram~2076_q ;
+wire \datamem|ram~2092_q ;
+wire \datamem|ram~2108_q ;
+wire \datamem|ram~4818_combout ;
+wire \datamem|ram~2332_q ;
+wire \datamem|ram~2348feeder_combout ;
+wire \datamem|ram~2348_q ;
+wire \datamem|ram~2316feeder_combout ;
+wire \datamem|ram~2316_q ;
+wire \datamem|ram~2364_q ;
+wire \datamem|ram~4819_combout ;
+wire \datamem|ram~2588feeder_combout ;
+wire \datamem|ram~2588_q ;
+wire \datamem|ram~2604feeder_combout ;
+wire \datamem|ram~2604_q ;
+wire \datamem|ram~2572feeder_combout ;
+wire \datamem|ram~2572_q ;
+wire \datamem|ram~2620_q ;
+wire \datamem|ram~4820_combout ;
+wire \datamem|ram~2828feeder_combout ;
+wire \datamem|ram~2828_q ;
+wire \datamem|ram~2844feeder_combout ;
+wire \datamem|ram~2844_q ;
+wire \datamem|ram~2860feeder_combout ;
+wire \datamem|ram~2860_q ;
+wire \datamem|ram~2876_q ;
+wire \datamem|ram~4821_combout ;
+wire \datamem|ram~4822_combout ;
+wire \datamem|ram~4838_combout ;
+wire \datamem|ram~908feeder_combout ;
+wire \datamem|ram~908_q ;
+wire \datamem|ram~940feeder_combout ;
+wire \datamem|ram~940_q ;
+wire \datamem|ram~924_q ;
+wire \datamem|ram~956_q ;
+wire \datamem|ram~4793_combout ;
+wire \datamem|ram~780feeder_combout ;
+wire \datamem|ram~780_q ;
+wire \datamem|ram~812feeder_combout ;
+wire \datamem|ram~812_q ;
+wire \datamem|ram~796feeder_combout ;
+wire \datamem|ram~796_q ;
+wire \datamem|ram~828_q ;
+wire \datamem|ram~4791_combout ;
+wire \datamem|ram~876feeder_combout ;
+wire \datamem|ram~876_q ;
+wire \datamem|ram~860_q ;
+wire \datamem|ram~844feeder_combout ;
+wire \datamem|ram~844_q ;
+wire \datamem|ram~892_q ;
+wire \datamem|ram~4792_combout ;
+wire \datamem|ram~1004_q ;
+wire \datamem|ram~972feeder_combout ;
+wire \datamem|ram~972_q ;
+wire \datamem|ram~988_q ;
+wire \datamem|ram~1020_q ;
+wire \datamem|ram~4794_combout ;
+wire \datamem|ram~4795_combout ;
+wire \datamem|ram~476feeder_combout ;
+wire \datamem|ram~476_q ;
+wire \datamem|ram~348feeder_combout ;
+wire \datamem|ram~348_q ;
+wire \datamem|ram~284feeder_combout ;
+wire \datamem|ram~284_q ;
+wire \datamem|ram~412_q ;
+wire \datamem|ram~4782_combout ;
+wire \datamem|ram~460_q ;
+wire \datamem|ram~268feeder_combout ;
+wire \datamem|ram~268_q ;
+wire \datamem|ram~396_q ;
+wire \datamem|ram~332_q ;
+wire \datamem|ram~4781_combout ;
+wire \datamem|ram~428_q ;
+wire \datamem|ram~300_q ;
+wire \datamem|ram~364_q ;
+wire \datamem|ram~492_q ;
+wire \datamem|ram~4783_combout ;
+wire \datamem|ram~380_q ;
+wire \datamem|ram~444_q ;
+wire \datamem|ram~316_q ;
+wire \datamem|ram~508_q ;
+wire \datamem|ram~4784_combout ;
+wire \datamem|ram~4785_combout ;
+wire \datamem|ram~572_q ;
+wire \datamem|ram~700_q ;
+wire \datamem|ram~636_q ;
+wire \datamem|ram~764_q ;
+wire \datamem|ram~4789_combout ;
+wire \datamem|ram~620_q ;
+wire \datamem|ram~684_q ;
+wire \datamem|ram~556feeder_combout ;
+wire \datamem|ram~556_q ;
+wire \datamem|ram~748_q ;
+wire \datamem|ram~4788_combout ;
+wire \datamem|ram~540_q ;
+wire \datamem|ram~668_q ;
+wire \datamem|ram~604_q ;
+wire \datamem|ram~732_q ;
+wire \datamem|ram~4787_combout ;
+wire \datamem|ram~652_q ;
+wire \datamem|ram~524_q ;
+wire \datamem|ram~588_q ;
+wire \datamem|ram~716_q ;
+wire \datamem|ram~4786_combout ;
+wire \datamem|ram~4790_combout ;
+wire \datamem|ram~44_q ;
+wire \datamem|ram~108_q ;
+wire \datamem|ram~172_q ;
+wire \datamem|ram~236_q ;
+wire \datamem|ram~4778_combout ;
+wire \datamem|ram~188feeder_combout ;
+wire \datamem|ram~188_q ;
+wire \datamem|ram~124_q ;
+wire \datamem|ram~60_q ;
+wire \datamem|ram~252_q ;
+wire \datamem|ram~4779_combout ;
+wire \datamem|ram~156feeder_combout ;
+wire \datamem|ram~156_q ;
+wire \datamem|ram~220feeder_combout ;
+wire \datamem|ram~220_q ;
+wire \datamem|ram~28_q ;
+wire \datamem|ram~92_q ;
+wire \datamem|ram~4777_combout ;
+wire \datamem|ram~204feeder_combout ;
+wire \datamem|ram~204_q ;
+wire \datamem|ram~140feeder_combout ;
+wire \datamem|ram~140_q ;
+wire \datamem|ram~12feeder_combout ;
+wire \datamem|ram~12_q ;
+wire \datamem|ram~76_q ;
+wire \datamem|ram~4776_combout ;
+wire \datamem|ram~4780_combout ;
+wire \datamem|ram~4796_combout ;
+wire \datamem|ram~1628_q ;
+wire \datamem|ram~1884feeder_combout ;
+wire \datamem|ram~1884_q ;
+wire \datamem|ram~1116feeder_combout ;
+wire \datamem|ram~1116_q ;
+wire \datamem|ram~1372_q ;
+wire \datamem|ram~4803_combout ;
+wire \datamem|ram~1756feeder_combout ;
+wire \datamem|ram~1756_q ;
+wire \datamem|ram~1500_q ;
+wire \datamem|ram~1244_q ;
+wire \datamem|ram~2012_q ;
+wire \datamem|ram~4805_combout ;
+wire \datamem|ram~1308_q ;
+wire \datamem|ram~1052_q ;
+wire \datamem|ram~1564_q ;
+wire \datamem|ram~1820_q ;
+wire \datamem|ram~4802_combout ;
+wire \datamem|ram~1180_q ;
+wire \datamem|ram~1692_q ;
+wire \datamem|ram~1436_q ;
+wire \datamem|ram~1948_q ;
+wire \datamem|ram~4804_combout ;
+wire \datamem|ram~4806_combout ;
+wire \datamem|ram~1420feeder_combout ;
+wire \datamem|ram~1420_q ;
+wire \datamem|ram~1932feeder_combout ;
+wire \datamem|ram~1932_q ;
+wire \datamem|ram~1676feeder_combout ;
+wire \datamem|ram~1676_q ;
+wire \datamem|ram~1164feeder_combout ;
+wire \datamem|ram~1164_q ;
+wire \datamem|ram~4799_combout ;
+wire \datamem|ram~1612_q ;
+wire \datamem|ram~1868_q ;
+wire \datamem|ram~1100feeder_combout ;
+wire \datamem|ram~1100_q ;
+wire \datamem|ram~1356_q ;
+wire \datamem|ram~4798_combout ;
+wire \datamem|ram~1548feeder_combout ;
+wire \datamem|ram~1548_q ;
+wire \datamem|ram~1804feeder_combout ;
+wire \datamem|ram~1804_q ;
+wire \datamem|ram~1036feeder_combout ;
+wire \datamem|ram~1036_q ;
+wire \datamem|ram~1292_q ;
+wire \datamem|ram~4797_combout ;
+wire \datamem|ram~1228feeder_combout ;
+wire \datamem|ram~1228_q ;
+wire \datamem|ram~1740feeder_combout ;
+wire \datamem|ram~1740_q ;
+wire \datamem|ram~1484feeder_combout ;
+wire \datamem|ram~1484_q ;
+wire \datamem|ram~1996_q ;
+wire \datamem|ram~4800_combout ;
+wire \datamem|ram~4801_combout ;
+wire \datamem|ram~1516feeder_combout ;
+wire \datamem|ram~1516_q ;
+wire \datamem|ram~1772_q ;
+wire \datamem|ram~1260_q ;
+wire \datamem|ram~2028_q ;
+wire \datamem|ram~4810_combout ;
+wire \datamem|ram~1068feeder_combout ;
+wire \datamem|ram~1068_q ;
+wire \datamem|ram~1324feeder_combout ;
+wire \datamem|ram~1324_q ;
+wire \datamem|ram~1580feeder_combout ;
+wire \datamem|ram~1580_q ;
+wire \datamem|ram~1836_q ;
+wire \datamem|ram~4807_combout ;
+wire \datamem|ram~1708feeder_combout ;
+wire \datamem|ram~1708_q ;
+wire \datamem|ram~1196_q ;
+wire \datamem|ram~1452feeder_combout ;
+wire \datamem|ram~1452_q ;
+wire \datamem|ram~1964_q ;
+wire \datamem|ram~4809_combout ;
+wire \datamem|ram~1132_q ;
+wire \datamem|ram~1644_q ;
+wire \datamem|ram~1388_q ;
+wire \datamem|ram~1900_q ;
+wire \datamem|ram~4808_combout ;
+wire \datamem|ram~4811_combout ;
+wire \datamem|ram~1404_q ;
+wire \datamem|ram~1468feeder_combout ;
+wire \datamem|ram~1468_q ;
+wire \datamem|ram~1340_q ;
+wire \datamem|ram~1532_q ;
+wire \datamem|ram~4813_combout ;
+wire \datamem|ram~1084feeder_combout ;
+wire \datamem|ram~1084_q ;
+wire \datamem|ram~1212_q ;
+wire \datamem|ram~1148feeder_combout ;
+wire \datamem|ram~1148_q ;
+wire \datamem|ram~1276_q ;
+wire \datamem|ram~4812_combout ;
+wire \datamem|ram~1596feeder_combout ;
+wire \datamem|ram~1596_q ;
+wire \datamem|ram~1660_q ;
+wire \datamem|ram~1724feeder_combout ;
+wire \datamem|ram~1724_q ;
+wire \datamem|ram~1788_q ;
+wire \datamem|ram~4814_combout ;
+wire \datamem|ram~1916feeder_combout ;
+wire \datamem|ram~1916_q ;
+wire \datamem|ram~1980_q ;
+wire \datamem|ram~1852_q ;
+wire \datamem|ram~2044_q ;
+wire \datamem|ram~4815_combout ;
+wire \datamem|ram~4816_combout ;
+wire \datamem|ram~4817_combout ;
+wire \datamem|ram~4860_combout ;
+wire \reg_write_data[12]~10_combout ;
+wire \reg_file|reg_array[0][12]~q ;
+wire \reg_file|reg_array[4][12]~feeder_combout ;
+wire \reg_file|reg_array[4][12]~q ;
+wire \reg_file|reg_array[7][12]~q ;
+wire \reg_file|reg_read_data_1[12]~14_combout ;
+wire \reg_file|reg_read_data_1[12]~15_combout ;
+wire \alu_unit|Add0~45_sumout ;
+wire \alu_unit|Add1~45_sumout ;
+wire \alu_unit|Mux3~0_combout ;
+wire \beq_control~0_combout ;
+wire \alu_unit|Mux10~5_combout ;
+wire \alu_unit|Mux10~8_combout ;
+wire \beq_control~2_combout ;
+wire \alu_unit|Mux13~5_combout ;
+wire \beq_control~3_combout ;
+wire \alu_unit|Mux14~5_combout ;
+wire \beq_control~4_combout ;
+wire \beq_control~5_combout ;
+wire \alu_unit|Mux6~8_combout ;
+wire \beq_control~8_combout ;
+wire \alu_unit|Mux9~5_combout ;
+wire \alu_unit|Mux9~3_combout ;
+wire \beq_control~6_combout ;
+wire \beq_control~9_combout ;
+wire \pc_current[5]~0_combout ;
+wire \pc_next[2]~1_combout ;
+wire \instrucion_memory|rom~14_combout ;
+wire \instrucion_memory|rom~18_combout ;
+wire \Add2~37_sumout ;
+wire \Add3~33_sumout ;
+wire \pc_next[9]~8_combout ;
+wire \Add0~34 ;
+wire \Add0~37_sumout ;
+wire \alu_unit|Add0~54 ;
+wire \alu_unit|Add0~57_sumout ;
+wire \alu_unit|Add1~54 ;
+wire \alu_unit|Add1~57_sumout ;
+wire \alu_unit|Mux0~2_combout ;
+wire \beq_control~10_combout ;
+wire \beq_control~11_combout ;
+wire \Add3~37_sumout ;
+wire \Add2~41_sumout ;
+wire \pc_next[10]~9_combout ;
+wire \pc_next[10]~16_combout ;
+wire \Add0~38 ;
+wire \Add0~41_sumout ;
+wire \Add2~45_sumout ;
+wire \Add3~41_sumout ;
+wire \pc_next[11]~10_combout ;
+wire \instrucion_memory|LessThan0~1_combout ;
+wire \instrucion_memory|LessThan0~3_combout ;
+wire \Add2~25_sumout ;
+wire \Add3~21_sumout ;
+wire \pc_next[6]~5_combout ;
+wire \instrucion_memory|LessThan0~0_combout ;
+wire \instrucion_memory|LessThan0~4_combout ;
+wire \Add3~9_sumout ;
+wire \Add2~13_sumout ;
+wire \pc_next[3]~2_combout ;
+wire \instrucion_memory|rom~0_combout ;
+wire \reg_write_data[1]~0_combout ;
+wire \alu_unit|Mux0~6_combout ;
+wire \Add0~54 ;
+wire \Add0~57_sumout ;
+wire \datamem|ram~3999_q ;
+wire \datamem|ram~4015feeder_combout ;
+wire \datamem|ram~4015_q ;
+wire \datamem|ram~3983_q ;
+wire \datamem|ram~4031_q ;
+wire \datamem|ram~5281_combout ;
+wire \datamem|ram~3919feeder_combout ;
+wire \datamem|ram~3919_q ;
+wire \datamem|ram~3935feeder_combout ;
+wire \datamem|ram~3935_q ;
+wire \datamem|ram~3951feeder_combout ;
+wire \datamem|ram~3951_q ;
+wire \datamem|ram~3967_q ;
+wire \datamem|ram~5280_combout ;
+wire \datamem|ram~3871_q ;
+wire \datamem|ram~3887_q ;
+wire \datamem|ram~3855feeder_combout ;
+wire \datamem|ram~3855_q ;
+wire \datamem|ram~3903_q ;
+wire \datamem|ram~5279_combout ;
+wire \datamem|ram~4063_q ;
+wire \datamem|ram~4047_q ;
+wire \datamem|ram~4095feeder_combout ;
+wire \datamem|ram~4095_q ;
+wire \datamem|ram~4079_q ;
+wire \datamem|ram~5282_combout ;
+wire \datamem|ram~5283_combout ;
+wire \datamem|ram~3759feeder_combout ;
+wire \datamem|ram~3759_q ;
+wire \datamem|ram~3631feeder_combout ;
+wire \datamem|ram~3631_q ;
+wire \datamem|ram~3695feeder_combout ;
+wire \datamem|ram~3695_q ;
+wire \datamem|ram~3823_q ;
+wire \datamem|ram~5276_combout ;
+wire \datamem|ram~3727feeder_combout ;
+wire \datamem|ram~3727_q ;
+wire \datamem|ram~3599feeder_combout ;
+wire \datamem|ram~3599_q ;
+wire \datamem|ram~3663feeder_combout ;
+wire \datamem|ram~3663_q ;
+wire \datamem|ram~3791_q ;
+wire \datamem|ram~5274_combout ;
+wire \datamem|ram~3615feeder_combout ;
+wire \datamem|ram~3615_q ;
+wire \datamem|ram~3679_q ;
+wire \datamem|ram~3743feeder_combout ;
+wire \datamem|ram~3743_q ;
+wire \datamem|ram~3807_q ;
+wire \datamem|ram~5275_combout ;
+wire \datamem|ram~3647feeder_combout ;
+wire \datamem|ram~3647_q ;
+wire \datamem|ram~3711_q ;
+wire \datamem|ram~3775_q ;
+wire \datamem|ram~3839_q ;
+wire \datamem|ram~5277_combout ;
+wire \datamem|ram~5278_combout ;
+wire \datamem|ram~3391feeder_combout ;
+wire \datamem|ram~3391_q ;
+wire \datamem|ram~3455feeder_combout ;
+wire \datamem|ram~3455_q ;
+wire \datamem|ram~3519feeder_combout ;
+wire \datamem|ram~3519_q ;
+wire \datamem|ram~3583_q ;
+wire \datamem|ram~5272_combout ;
+wire \datamem|ram~3503feeder_combout ;
+wire \datamem|ram~3503_q ;
+wire \datamem|ram~3375_q ;
+wire \datamem|ram~3439_q ;
+wire \datamem|ram~3567_q ;
+wire \datamem|ram~5271_combout ;
+wire \datamem|ram~3423feeder_combout ;
+wire \datamem|ram~3423_q ;
+wire \datamem|ram~3487_q ;
+wire \datamem|ram~3359_q ;
+wire \datamem|ram~3551_q ;
+wire \datamem|ram~5270_combout ;
+wire \datamem|ram~3343feeder_combout ;
+wire \datamem|ram~3343_q ;
+wire \datamem|ram~3471_q ;
+wire \datamem|ram~3407_q ;
+wire \datamem|ram~3535_q ;
+wire \datamem|ram~5269_combout ;
+wire \datamem|ram~5273_combout ;
+wire \datamem|ram~3167_q ;
+wire \datamem|ram~3103feeder_combout ;
+wire \datamem|ram~3103_q ;
+wire \datamem|ram~3231feeder_combout ;
+wire \datamem|ram~3231_q ;
+wire \datamem|ram~3295_q ;
+wire \datamem|ram~5265_combout ;
+wire \datamem|ram~3247feeder_combout ;
+wire \datamem|ram~3247_q ;
+wire \datamem|ram~3119feeder_combout ;
+wire \datamem|ram~3119_q ;
+wire \datamem|ram~3183feeder_combout ;
+wire \datamem|ram~3183_q ;
+wire \datamem|ram~3311_q ;
+wire \datamem|ram~5266_combout ;
+wire \datamem|ram~3135_q ;
+wire \datamem|ram~3263_q ;
+wire \datamem|ram~3199_q ;
+wire \datamem|ram~3327_q ;
+wire \datamem|ram~5267_combout ;
+wire \datamem|ram~3215feeder_combout ;
+wire \datamem|ram~3215_q ;
+wire \datamem|ram~3151feeder_combout ;
+wire \datamem|ram~3151_q ;
+wire \datamem|ram~3087feeder_combout ;
+wire \datamem|ram~3087_q ;
+wire \datamem|ram~3279_q ;
+wire \datamem|ram~5264_combout ;
+wire \datamem|ram~5268_combout ;
+wire \datamem|ram~5284_combout ;
+wire \datamem|ram~1391_q ;
+wire \datamem|ram~1903_q ;
+wire \datamem|ram~1135_q ;
+wire \datamem|ram~1647_q ;
+wire \datamem|ram~5233_combout ;
+wire \datamem|ram~1199_q ;
+wire \datamem|ram~1711_q ;
+wire \datamem|ram~1455feeder_combout ;
+wire \datamem|ram~1455_q ;
+wire \datamem|ram~1967_q ;
+wire \datamem|ram~5234_combout ;
+wire \datamem|ram~1775feeder_combout ;
+wire \datamem|ram~1775_q ;
+wire \datamem|ram~1263feeder_combout ;
+wire \datamem|ram~1263_q ;
+wire \datamem|ram~1519feeder_combout ;
+wire \datamem|ram~1519_q ;
+wire \datamem|ram~2031_q ;
+wire \datamem|ram~5235_combout ;
+wire \datamem|ram~1327feeder_combout ;
+wire \datamem|ram~1327_q ;
+wire \datamem|ram~1583_q ;
+wire \datamem|ram~1071feeder_combout ;
+wire \datamem|ram~1071_q ;
+wire \datamem|ram~1839_q ;
+wire \datamem|ram~5232_combout ;
+wire \datamem|ram~5236_combout ;
+wire \datamem|ram~1727feeder_combout ;
+wire \datamem|ram~1727_q ;
+wire \datamem|ram~1215_q ;
+wire \datamem|ram~1471feeder_combout ;
+wire \datamem|ram~1471_q ;
+wire \datamem|ram~1983_q ;
+wire \datamem|ram~5239_combout ;
+wire \datamem|ram~1279_q ;
+wire \datamem|ram~1791_q ;
+wire \datamem|ram~1535_q ;
+wire \datamem|ram~2047_q ;
+wire \datamem|ram~5240_combout ;
+wire \datamem|ram~1151_q ;
+wire \datamem|ram~1663feeder_combout ;
+wire \datamem|ram~1663_q ;
+wire \datamem|ram~1407feeder_combout ;
+wire \datamem|ram~1407_q ;
+wire \datamem|ram~1919_q ;
+wire \datamem|ram~5238_combout ;
+wire \datamem|ram~1855feeder_combout ;
+wire \datamem|ram~1855_q ;
+wire \datamem|ram~1343_q ;
+wire \datamem|ram~1087_q ;
+wire \datamem|ram~1599feeder_combout ;
+wire \datamem|ram~1599_q ;
+wire \datamem|ram~5237_combout ;
+wire \datamem|ram~5241_combout ;
+wire \datamem|ram~1567_q ;
+wire \datamem|ram~1055_q ;
+wire \datamem|ram~1823feeder_combout ;
+wire \datamem|ram~1823_q ;
+wire \datamem|ram~1311_q ;
+wire \datamem|ram~5227_combout ;
+wire \datamem|ram~1759feeder_combout ;
+wire \datamem|ram~1759_q ;
+wire \datamem|ram~1503_q ;
+wire \datamem|ram~1247_q ;
+wire \datamem|ram~2015_q ;
+wire \datamem|ram~5230_combout ;
+wire \datamem|ram~1631feeder_combout ;
+wire \datamem|ram~1631_q ;
+wire \datamem|ram~1887_q ;
+wire \datamem|ram~1119_q ;
+wire \datamem|ram~1375_q ;
+wire \datamem|ram~5228_combout ;
+wire \datamem|ram~1439_q ;
+wire \datamem|ram~1695_q ;
+wire \datamem|ram~1183_q ;
+wire \datamem|ram~1951_q ;
+wire \datamem|ram~5229_combout ;
+wire \datamem|ram~5231_combout ;
+wire \datamem|ram~1807feeder_combout ;
+wire \datamem|ram~1807_q ;
+wire \datamem|ram~1039_q ;
+wire \datamem|ram~1551feeder_combout ;
+wire \datamem|ram~1551_q ;
+wire \datamem|ram~1295_q ;
+wire \datamem|ram~5222_combout ;
+wire \datamem|ram~1487feeder_combout ;
+wire \datamem|ram~1487_q ;
+wire \datamem|ram~1231_q ;
+wire \datamem|ram~1743_q ;
+wire \datamem|ram~1999_q ;
+wire \datamem|ram~5225_combout ;
+wire \datamem|ram~1871feeder_combout ;
+wire \datamem|ram~1871_q ;
+wire \datamem|ram~1103_q ;
+wire \datamem|ram~1615feeder_combout ;
+wire \datamem|ram~1615_q ;
+wire \datamem|ram~1359_q ;
+wire \datamem|ram~5223_combout ;
+wire \datamem|ram~1423_q ;
+wire \datamem|ram~1167feeder_combout ;
+wire \datamem|ram~1167_q ;
+wire \datamem|ram~1679feeder_combout ;
+wire \datamem|ram~1679_q ;
+wire \datamem|ram~1935_q ;
+wire \datamem|ram~5224_combout ;
+wire \datamem|ram~5226_combout ;
+wire \datamem|ram~5242_combout ;
+wire \datamem|ram~2351_q ;
+wire \datamem|ram~2319feeder_combout ;
+wire \datamem|ram~2319_q ;
+wire \datamem|ram~2335_q ;
+wire \datamem|ram~2367_q ;
+wire \datamem|ram~5244_combout ;
+wire \datamem|ram~2863_q ;
+wire \datamem|ram~2831_q ;
+wire \datamem|ram~2847_q ;
+wire \datamem|ram~2879_q ;
+wire \datamem|ram~5246_combout ;
+wire \datamem|ram~2623_q ;
+wire \datamem|ram~2607_q ;
+wire \datamem|ram~2591_q ;
+wire \datamem|ram~2575_q ;
+wire \datamem|ram~5245_combout ;
+wire \datamem|ram~2079_q ;
+wire \datamem|ram~2063feeder_combout ;
+wire \datamem|ram~2063_q ;
+wire \datamem|ram~2095_q ;
+wire \datamem|ram~2111_q ;
+wire \datamem|ram~5243_combout ;
+wire \datamem|ram~5247_combout ;
+wire \datamem|ram~2159_q ;
+wire \datamem|ram~2127_q ;
+wire \datamem|ram~2143_q ;
+wire \datamem|ram~2175_q ;
+wire \datamem|ram~5248_combout ;
+wire \datamem|ram~2415feeder_combout ;
+wire \datamem|ram~2415_q ;
+wire \datamem|ram~2383_q ;
+wire \datamem|ram~2399_q ;
+wire \datamem|ram~2431_q ;
+wire \datamem|ram~5249_combout ;
+wire \datamem|ram~2639_q ;
+wire \datamem|ram~2655feeder_combout ;
+wire \datamem|ram~2655_q ;
+wire \datamem|ram~2671_q ;
+wire \datamem|ram~2687_q ;
+wire \datamem|ram~5250_combout ;
+wire \datamem|ram~2927_q ;
+wire \datamem|ram~2911feeder_combout ;
+wire \datamem|ram~2911_q ;
+wire \datamem|ram~2895_q ;
+wire \datamem|ram~2943_q ;
+wire \datamem|ram~5251_combout ;
+wire \datamem|ram~5252_combout ;
+wire \datamem|ram~2783_q ;
+wire \datamem|ram~2799_q ;
+wire \datamem|ram~2767_q ;
+wire \datamem|ram~2815_q ;
+wire \datamem|ram~5260_combout ;
+wire \datamem|ram~2287_q ;
+wire \datamem|ram~2271feeder_combout ;
+wire \datamem|ram~2271_q ;
+wire \datamem|ram~2255_q ;
+wire \datamem|ram~2303_q ;
+wire \datamem|ram~5258_combout ;
+wire \datamem|ram~2511_q ;
+wire \datamem|ram~2543feeder_combout ;
+wire \datamem|ram~2543_q ;
+wire \datamem|ram~2527_q ;
+wire \datamem|ram~2559_q ;
+wire \datamem|ram~5259_combout ;
+wire \datamem|ram~3055_q ;
+wire \datamem|ram~3023_q ;
+wire \datamem|ram~3039feeder_combout ;
+wire \datamem|ram~3039_q ;
+wire \datamem|ram~3071_q ;
+wire \datamem|ram~5261_combout ;
+wire \datamem|ram~5262_combout ;
+wire \datamem|ram~2479_q ;
+wire \datamem|ram~2223_q ;
+wire \datamem|ram~2735_q ;
+wire \datamem|ram~2991_q ;
+wire \datamem|ram~5255_combout ;
+wire \datamem|ram~2719_q ;
+wire \datamem|ram~2207_q ;
+wire \datamem|ram~2463_q ;
+wire \datamem|ram~2975_q ;
+wire \datamem|ram~5254_combout ;
+wire \datamem|ram~2447feeder_combout ;
+wire \datamem|ram~2447_q ;
+wire \datamem|ram~2191_q ;
+wire \datamem|ram~2703_q ;
+wire \datamem|ram~2959_q ;
+wire \datamem|ram~5253_combout ;
+wire \datamem|ram~2239_q ;
+wire \datamem|ram~2495feeder_combout ;
+wire \datamem|ram~2495_q ;
+wire \datamem|ram~2751_q ;
+wire \datamem|ram~3007_q ;
+wire \datamem|ram~5256_combout ;
+wire \datamem|ram~5257_combout ;
+wire \datamem|ram~5263_combout ;
+wire \datamem|ram~143feeder_combout ;
+wire \datamem|ram~143_q ;
+wire \datamem|ram~175feeder_combout ;
+wire \datamem|ram~175_q ;
+wire \datamem|ram~159_q ;
+wire \datamem|ram~191_q ;
+wire \datamem|ram~5203_combout ;
+wire \datamem|ram~223_q ;
+wire \datamem|ram~239_q ;
+wire \datamem|ram~255feeder_combout ;
+wire \datamem|ram~255_q ;
+wire \datamem|ram~207_q ;
+wire \datamem|ram~5204_combout ;
+wire \datamem|ram~15_q ;
+wire \datamem|ram~47_q ;
+wire \datamem|ram~63_q ;
+wire \datamem|ram~31_q ;
+wire \datamem|ram~5201_combout ;
+wire \datamem|ram~79_q ;
+wire \datamem|ram~111feeder_combout ;
+wire \datamem|ram~111_q ;
+wire \datamem|ram~127feeder_combout ;
+wire \datamem|ram~127_q ;
+wire \datamem|ram~95_q ;
+wire \datamem|ram~5202_combout ;
+wire \datamem|ram~5205_combout ;
+wire \datamem|ram~415_q ;
+wire \datamem|ram~287_q ;
+wire \datamem|ram~479feeder_combout ;
+wire \datamem|ram~479_q ;
+wire \datamem|ram~351_q ;
+wire \datamem|ram~5207_combout ;
+wire \datamem|ram~303_q ;
+wire \datamem|ram~431feeder_combout ;
+wire \datamem|ram~431_q ;
+wire \datamem|ram~367_q ;
+wire \datamem|ram~495_q ;
+wire \datamem|ram~5208_combout ;
+wire \datamem|ram~447_q ;
+wire \datamem|ram~383_q ;
+wire \datamem|ram~319_q ;
+wire \datamem|ram~511_q ;
+wire \datamem|ram~5209_combout ;
+wire \datamem|ram~399_q ;
+wire \datamem|ram~271_q ;
+wire \datamem|ram~463_q ;
+wire \datamem|ram~335_q ;
+wire \datamem|ram~5206_combout ;
+wire \datamem|ram~5210_combout ;
+wire \datamem|ram~959_q ;
+wire \datamem|ram~895_q ;
+wire \datamem|ram~831feeder_combout ;
+wire \datamem|ram~831_q ;
+wire \datamem|ram~1023_q ;
+wire \datamem|ram~5219_combout ;
+wire \datamem|ram~911_q ;
+wire \datamem|ram~847feeder_combout ;
+wire \datamem|ram~847_q ;
+wire \datamem|ram~783_q ;
+wire \datamem|ram~975_q ;
+wire \datamem|ram~5216_combout ;
+wire \datamem|ram~927_q ;
+wire \datamem|ram~799feeder_combout ;
+wire \datamem|ram~799_q ;
+wire \datamem|ram~863feeder_combout ;
+wire \datamem|ram~863_q ;
+wire \datamem|ram~991_q ;
+wire \datamem|ram~5217_combout ;
+wire \datamem|ram~815feeder_combout ;
+wire \datamem|ram~815_q ;
+wire \datamem|ram~943_q ;
+wire \datamem|ram~879feeder_combout ;
+wire \datamem|ram~879_q ;
+wire \datamem|ram~1007_q ;
+wire \datamem|ram~5218_combout ;
+wire \datamem|ram~5220_combout ;
+wire \datamem|ram~719_q ;
+wire \datamem|ram~767_q ;
+wire \datamem|ram~735_q ;
+wire \datamem|ram~751feeder_combout ;
+wire \datamem|ram~751_q ;
+wire \datamem|ram~5214_combout ;
+wire \datamem|ram~607_q ;
+wire \datamem|ram~623_q ;
+wire \datamem|ram~591_q ;
+wire \datamem|ram~639_q ;
+wire \datamem|ram~5212_combout ;
+wire \datamem|ram~527_q ;
+wire \datamem|ram~543_q ;
+wire \datamem|ram~559feeder_combout ;
+wire \datamem|ram~559_q ;
+wire \datamem|ram~575_q ;
+wire \datamem|ram~5211_combout ;
+wire \datamem|ram~655_q ;
+wire \datamem|ram~671_q ;
+wire \datamem|ram~687_q ;
+wire \datamem|ram~703_q ;
+wire \datamem|ram~5213_combout ;
+wire \datamem|ram~5215_combout ;
+wire \datamem|ram~5221_combout ;
+wire \datamem|ram~5285_combout ;
+wire \reg_write_data[15]~15_combout ;
+wire \reg_file|reg_array[7][15]~q ;
+wire \reg_file|reg_array[4][15]~q ;
+wire \reg_file|reg_read_data_1[15]~24_combout ;
+wire \reg_file|reg_read_data_1[15]~25_combout ;
+wire \Add3~50 ;
+wire \Add3~54 ;
+wire \Add3~57_sumout ;
+wire \Add2~54 ;
+wire \Add2~55 ;
+wire \Add2~58 ;
+wire \Add2~59 ;
+wire \Add2~61_sumout ;
+wire \pc_next[15]~14_combout ;
+wire \pc_next[15]~15_combout ;
+wire \instrucion_memory|rom~20_combout ;
+wire \Add2~5_sumout ;
+wire \Add3~1_sumout ;
+wire \pc_next[1]~0_combout ;
+wire \instrucion_memory|rom~7_combout ;
+wire \Add2~17_sumout ;
+wire \Add3~13_sumout ;
+wire \pc_next[4]~3_combout ;
+wire \instrucion_memory|rom~10_combout ;
+wire \reg_file|Equal0~0_combout ;
+wire \reg_file|reg_read_data_1[11]~13_combout ;
+wire \alu_unit|shifter_left|st2[11]~12_combout ;
+wire \alu_unit|Mux0~3_combout ;
+wire \alu_unit|Mux0~4_combout ;
+wire \alu_unit|Mult0~23 ;
+wire \alu_unit|Mux0~5_combout ;
+wire \beq_control~1_combout ;
+wire \beq_control~7_combout ;
+wire \beq_control~combout ;
+wire \Add2~57_sumout ;
+wire \Add3~53_sumout ;
+wire \pc_next[14]~13_combout ;
+wire \instrucion_memory|rom~4_combout ;
+wire \imm_ext[15]~0_combout ;
+wire \Add2~1_sumout ;
+wire \pc_next[0]~20_combout ;
+wire [15:0] pc_current;
+
+wire [63:0] \alu_unit|Mult0~8_RESULTA_bus ;
+
+assign \alu_unit|Mult0~8_resulta = \alu_unit|Mult0~8_RESULTA_bus [0];
+assign \alu_unit|Mult0~9 = \alu_unit|Mult0~8_RESULTA_bus [1];
+assign \alu_unit|Mult0~10 = \alu_unit|Mult0~8_RESULTA_bus [2];
+assign \alu_unit|Mult0~11 = \alu_unit|Mult0~8_RESULTA_bus [3];
+assign \alu_unit|Mult0~12 = \alu_unit|Mult0~8_RESULTA_bus [4];
+assign \alu_unit|Mult0~13 = \alu_unit|Mult0~8_RESULTA_bus [5];
+assign \alu_unit|Mult0~14 = \alu_unit|Mult0~8_RESULTA_bus [6];
+assign \alu_unit|Mult0~15 = \alu_unit|Mult0~8_RESULTA_bus [7];
+assign \alu_unit|Mult0~16 = \alu_unit|Mult0~8_RESULTA_bus [8];
+assign \alu_unit|Mult0~17 = \alu_unit|Mult0~8_RESULTA_bus [9];
+assign \alu_unit|Mult0~18 = \alu_unit|Mult0~8_RESULTA_bus [10];
+assign \alu_unit|Mult0~19 = \alu_unit|Mult0~8_RESULTA_bus [11];
+assign \alu_unit|Mult0~20 = \alu_unit|Mult0~8_RESULTA_bus [12];
+assign \alu_unit|Mult0~21 = \alu_unit|Mult0~8_RESULTA_bus [13];
+assign \alu_unit|Mult0~22 = \alu_unit|Mult0~8_RESULTA_bus [14];
+assign \alu_unit|Mult0~23 = \alu_unit|Mult0~8_RESULTA_bus [15];
+assign \alu_unit|Mult0~24 = \alu_unit|Mult0~8_RESULTA_bus [16];
+assign \alu_unit|Mult0~25 = \alu_unit|Mult0~8_RESULTA_bus [17];
+assign \alu_unit|Mult0~26 = \alu_unit|Mult0~8_RESULTA_bus [18];
+assign \alu_unit|Mult0~27 = \alu_unit|Mult0~8_RESULTA_bus [19];
+assign \alu_unit|Mult0~28 = \alu_unit|Mult0~8_RESULTA_bus [20];
+assign \alu_unit|Mult0~29 = \alu_unit|Mult0~8_RESULTA_bus [21];
+assign \alu_unit|Mult0~30 = \alu_unit|Mult0~8_RESULTA_bus [22];
+assign \alu_unit|Mult0~31 = \alu_unit|Mult0~8_RESULTA_bus [23];
+assign \alu_unit|Mult0~32 = \alu_unit|Mult0~8_RESULTA_bus [24];
+assign \alu_unit|Mult0~33 = \alu_unit|Mult0~8_RESULTA_bus [25];
+assign \alu_unit|Mult0~34 = \alu_unit|Mult0~8_RESULTA_bus [26];
+assign \alu_unit|Mult0~35 = \alu_unit|Mult0~8_RESULTA_bus [27];
+assign \alu_unit|Mult0~36 = \alu_unit|Mult0~8_RESULTA_bus [28];
+assign \alu_unit|Mult0~37 = \alu_unit|Mult0~8_RESULTA_bus [29];
+assign \alu_unit|Mult0~38 = \alu_unit|Mult0~8_RESULTA_bus [30];
+assign \alu_unit|Mult0~39 = \alu_unit|Mult0~8_RESULTA_bus [31];
+assign \alu_unit|Mult0~40 = \alu_unit|Mult0~8_RESULTA_bus [32];
+assign \alu_unit|Mult0~41 = \alu_unit|Mult0~8_RESULTA_bus [33];
+assign \alu_unit|Mult0~42 = \alu_unit|Mult0~8_RESULTA_bus [34];
+assign \alu_unit|Mult0~43 = \alu_unit|Mult0~8_RESULTA_bus [35];
+assign \alu_unit|Mult0~44 = \alu_unit|Mult0~8_RESULTA_bus [36];
+assign \alu_unit|Mult0~45 = \alu_unit|Mult0~8_RESULTA_bus [37];
+assign \alu_unit|Mult0~46 = \alu_unit|Mult0~8_RESULTA_bus [38];
+assign \alu_unit|Mult0~47 = \alu_unit|Mult0~8_RESULTA_bus [39];
+assign \alu_unit|Mult0~48 = \alu_unit|Mult0~8_RESULTA_bus [40];
+assign \alu_unit|Mult0~49 = \alu_unit|Mult0~8_RESULTA_bus [41];
+assign \alu_unit|Mult0~50 = \alu_unit|Mult0~8_RESULTA_bus [42];
+assign \alu_unit|Mult0~51 = \alu_unit|Mult0~8_RESULTA_bus [43];
+assign \alu_unit|Mult0~52 = \alu_unit|Mult0~8_RESULTA_bus [44];
+assign \alu_unit|Mult0~53 = \alu_unit|Mult0~8_RESULTA_bus [45];
+assign \alu_unit|Mult0~54 = \alu_unit|Mult0~8_RESULTA_bus [46];
+assign \alu_unit|Mult0~55 = \alu_unit|Mult0~8_RESULTA_bus [47];
+assign \alu_unit|Mult0~56 = \alu_unit|Mult0~8_RESULTA_bus [48];
+assign \alu_unit|Mult0~57 = \alu_unit|Mult0~8_RESULTA_bus [49];
+assign \alu_unit|Mult0~58 = \alu_unit|Mult0~8_RESULTA_bus [50];
+assign \alu_unit|Mult0~59 = \alu_unit|Mult0~8_RESULTA_bus [51];
+assign \alu_unit|Mult0~60 = \alu_unit|Mult0~8_RESULTA_bus [52];
+assign \alu_unit|Mult0~61 = \alu_unit|Mult0~8_RESULTA_bus [53];
+assign \alu_unit|Mult0~62 = \alu_unit|Mult0~8_RESULTA_bus [54];
+assign \alu_unit|Mult0~63 = \alu_unit|Mult0~8_RESULTA_bus [55];
+assign \alu_unit|Mult0~64 = \alu_unit|Mult0~8_RESULTA_bus [56];
+assign \alu_unit|Mult0~65 = \alu_unit|Mult0~8_RESULTA_bus [57];
+assign \alu_unit|Mult0~66 = \alu_unit|Mult0~8_RESULTA_bus [58];
+assign \alu_unit|Mult0~67 = \alu_unit|Mult0~8_RESULTA_bus [59];
+assign \alu_unit|Mult0~68 = \alu_unit|Mult0~8_RESULTA_bus [60];
+assign \alu_unit|Mult0~69 = \alu_unit|Mult0~8_RESULTA_bus [61];
+assign \alu_unit|Mult0~70 = \alu_unit|Mult0~8_RESULTA_bus [62];
+assign \alu_unit|Mult0~71 = \alu_unit|Mult0~8_RESULTA_bus [63];
+
+// Location: IOOBUF_X58_Y0_N42
+cyclonev_io_obuf \pc_out[0]~output (
+ .i(pc_current[0]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[0]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[0]~output .bus_hold = "false";
+defparam \pc_out[0]~output .open_drain_output = "false";
+defparam \pc_out[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X62_Y0_N53
+cyclonev_io_obuf \pc_out[1]~output (
+ .i(pc_current[1]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[1]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[1]~output .bus_hold = "false";
+defparam \pc_out[1]~output .open_drain_output = "false";
+defparam \pc_out[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X68_Y0_N19
+cyclonev_io_obuf \pc_out[2]~output (
+ .i(pc_current[2]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[2]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[2]~output .bus_hold = "false";
+defparam \pc_out[2]~output .open_drain_output = "false";
+defparam \pc_out[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X62_Y0_N19
+cyclonev_io_obuf \pc_out[3]~output (
+ .i(pc_current[3]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[3]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[3]~output .bus_hold = "false";
+defparam \pc_out[3]~output .open_drain_output = "false";
+defparam \pc_out[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X64_Y0_N2
+cyclonev_io_obuf \pc_out[4]~output (
+ .i(pc_current[4]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[4]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[4]~output .bus_hold = "false";
+defparam \pc_out[4]~output .open_drain_output = "false";
+defparam \pc_out[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X58_Y0_N59
+cyclonev_io_obuf \pc_out[5]~output (
+ .i(pc_current[5]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[5]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[5]~output .bus_hold = "false";
+defparam \pc_out[5]~output .open_drain_output = "false";
+defparam \pc_out[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X52_Y0_N36
+cyclonev_io_obuf \pc_out[6]~output (
+ .i(pc_current[6]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[6]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[6]~output .bus_hold = "false";
+defparam \pc_out[6]~output .open_drain_output = "false";
+defparam \pc_out[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X68_Y0_N2
+cyclonev_io_obuf \pc_out[7]~output (
+ .i(pc_current[7]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[7]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[7]~output .bus_hold = "false";
+defparam \pc_out[7]~output .open_drain_output = "false";
+defparam \pc_out[7]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X66_Y0_N76
+cyclonev_io_obuf \pc_out[8]~output (
+ .i(pc_current[8]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[8]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[8]~output .bus_hold = "false";
+defparam \pc_out[8]~output .open_drain_output = "false";
+defparam \pc_out[8]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X60_Y0_N2
+cyclonev_io_obuf \pc_out[9]~output (
+ .i(pc_current[9]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[9]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[9]~output .bus_hold = "false";
+defparam \pc_out[9]~output .open_drain_output = "false";
+defparam \pc_out[9]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X26_Y0_N42
+cyclonev_io_obuf \pc_out[10]~output (
+ .i(pc_current[10]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[10]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[10]~output .bus_hold = "false";
+defparam \pc_out[10]~output .open_drain_output = "false";
+defparam \pc_out[10]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X56_Y0_N53
+cyclonev_io_obuf \pc_out[11]~output (
+ .i(pc_current[11]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[11]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[11]~output .bus_hold = "false";
+defparam \pc_out[11]~output .open_drain_output = "false";
+defparam \pc_out[11]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X62_Y0_N36
+cyclonev_io_obuf \pc_out[12]~output (
+ .i(pc_current[12]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[12]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[12]~output .bus_hold = "false";
+defparam \pc_out[12]~output .open_drain_output = "false";
+defparam \pc_out[12]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X64_Y0_N53
+cyclonev_io_obuf \pc_out[13]~output (
+ .i(pc_current[13]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[13]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[13]~output .bus_hold = "false";
+defparam \pc_out[13]~output .open_drain_output = "false";
+defparam \pc_out[13]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X72_Y0_N19
+cyclonev_io_obuf \pc_out[14]~output (
+ .i(pc_current[14]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[14]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[14]~output .bus_hold = "false";
+defparam \pc_out[14]~output .open_drain_output = "false";
+defparam \pc_out[14]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X72_Y0_N36
+cyclonev_io_obuf \pc_out[15]~output (
+ .i(pc_current[15]),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(pc_out[15]),
+ .obar());
+// synopsys translate_off
+defparam \pc_out[15]~output .bus_hold = "false";
+defparam \pc_out[15]~output .open_drain_output = "false";
+defparam \pc_out[15]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X72_Y0_N2
+cyclonev_io_obuf \alu_result[0]~output (
+ .i(\alu_unit|Mux15~0_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[0]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[0]~output .bus_hold = "false";
+defparam \alu_result[0]~output .open_drain_output = "false";
+defparam \alu_result[0]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X54_Y0_N19
+cyclonev_io_obuf \alu_result[1]~output (
+ .i(\alu_unit|Mux14~6_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[1]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[1]~output .bus_hold = "false";
+defparam \alu_result[1]~output .open_drain_output = "false";
+defparam \alu_result[1]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X50_Y0_N42
+cyclonev_io_obuf \alu_result[2]~output (
+ .i(\alu_unit|Mux13~4_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[2]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[2]~output .bus_hold = "false";
+defparam \alu_result[2]~output .open_drain_output = "false";
+defparam \alu_result[2]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X50_Y0_N59
+cyclonev_io_obuf \alu_result[3]~output (
+ .i(\alu_unit|Mux12~2_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[3]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[3]~output .bus_hold = "false";
+defparam \alu_result[3]~output .open_drain_output = "false";
+defparam \alu_result[3]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X52_Y0_N2
+cyclonev_io_obuf \alu_result[4]~output (
+ .i(\alu_unit|Mux11~4_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[4]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[4]~output .bus_hold = "false";
+defparam \alu_result[4]~output .open_drain_output = "false";
+defparam \alu_result[4]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X89_Y8_N22
+cyclonev_io_obuf \alu_result[5]~output (
+ .i(\alu_unit|Mux10~6_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[5]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[5]~output .bus_hold = "false";
+defparam \alu_result[5]~output .open_drain_output = "false";
+defparam \alu_result[5]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X70_Y0_N36
+cyclonev_io_obuf \alu_result[6]~output (
+ .i(\alu_unit|Mux9~4_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[6]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[6]~output .bus_hold = "false";
+defparam \alu_result[6]~output .open_drain_output = "false";
+defparam \alu_result[6]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X40_Y0_N2
+cyclonev_io_obuf \alu_result[7]~output (
+ .i(\alu_unit|Mux8~2_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[7]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[7]~output .bus_hold = "false";
+defparam \alu_result[7]~output .open_drain_output = "false";
+defparam \alu_result[7]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X66_Y0_N93
+cyclonev_io_obuf \alu_result[8]~output (
+ .i(\alu_unit|Mux7~2_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[8]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[8]~output .bus_hold = "false";
+defparam \alu_result[8]~output .open_drain_output = "false";
+defparam \alu_result[8]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X52_Y0_N53
+cyclonev_io_obuf \alu_result[9]~output (
+ .i(\alu_unit|Mux6~7_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[9]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[9]~output .bus_hold = "false";
+defparam \alu_result[9]~output .open_drain_output = "false";
+defparam \alu_result[9]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X60_Y0_N19
+cyclonev_io_obuf \alu_result[10]~output (
+ .i(\alu_unit|Mux5~3_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[10]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[10]~output .bus_hold = "false";
+defparam \alu_result[10]~output .open_drain_output = "false";
+defparam \alu_result[10]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X66_Y0_N59
+cyclonev_io_obuf \alu_result[11]~output (
+ .i(\alu_unit|Mux4~3_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[11]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[11]~output .bus_hold = "false";
+defparam \alu_result[11]~output .open_drain_output = "false";
+defparam \alu_result[11]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X38_Y0_N19
+cyclonev_io_obuf \alu_result[12]~output (
+ .i(\alu_unit|Mux3~4_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[12]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[12]~output .bus_hold = "false";
+defparam \alu_result[12]~output .open_drain_output = "false";
+defparam \alu_result[12]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X52_Y0_N19
+cyclonev_io_obuf \alu_result[13]~output (
+ .i(\alu_unit|Mux2~4_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[13]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[13]~output .bus_hold = "false";
+defparam \alu_result[13]~output .open_drain_output = "false";
+defparam \alu_result[13]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X66_Y0_N42
+cyclonev_io_obuf \alu_result[14]~output (
+ .i(\alu_unit|Mux1~4_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[14]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[14]~output .bus_hold = "false";
+defparam \alu_result[14]~output .open_drain_output = "false";
+defparam \alu_result[14]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOOBUF_X70_Y0_N2
+cyclonev_io_obuf \alu_result[15]~output (
+ .i(\alu_unit|Mux0~6_combout ),
+ .oe(vcc),
+ .dynamicterminationcontrol(gnd),
+ .seriesterminationcontrol(16'b0000000000000000),
+ .parallelterminationcontrol(16'b0000000000000000),
+ .devoe(devoe),
+ .o(alu_result[15]),
+ .obar());
+// synopsys translate_off
+defparam \alu_result[15]~output .bus_hold = "false";
+defparam \alu_result[15]~output .open_drain_output = "false";
+defparam \alu_result[15]~output .shift_series_termination_control = "false";
+// synopsys translate_on
+
+// Location: IOIBUF_X89_Y35_N61
+cyclonev_io_ibuf \clk~input (
+ .i(clk),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\clk~input_o ));
+// synopsys translate_off
+defparam \clk~input .bus_hold = "false";
+defparam \clk~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: CLKCTRL_G10
+cyclonev_clkena \clk~inputCLKENA0 (
+ .inclk(\clk~input_o ),
+ .ena(vcc),
+ .outclk(\clk~inputCLKENA0_outclk ),
+ .enaout());
+// synopsys translate_off
+defparam \clk~inputCLKENA0 .clock_type = "global clock";
+defparam \clk~inputCLKENA0 .disable_mode = "low";
+defparam \clk~inputCLKENA0 .ena_register_mode = "always enabled";
+defparam \clk~inputCLKENA0 .ena_register_power_up = "high";
+defparam \clk~inputCLKENA0 .test_syn = "high";
+// synopsys translate_on
+
+// Location: IOIBUF_X68_Y0_N35
+cyclonev_io_ibuf \reset~input (
+ .i(reset),
+ .ibar(gnd),
+ .dynamicterminationcontrol(gnd),
+ .o(\reset~input_o ));
+// synopsys translate_off
+defparam \reset~input .bus_hold = "false";
+defparam \reset~input .simulate_z_as = "z";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N0
+cyclonev_lcell_comb \Add0~1 (
+// Equation(s):
+// \Add0~1_sumout = SUM(( pc_current[1] ) + ( VCC ) + ( !VCC ))
+// \Add0~2 = CARRY(( pc_current[1] ) + ( VCC ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!pc_current[1]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~1_sumout ),
+ .cout(\Add0~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~1 .extended_lut = "off";
+defparam \Add0~1 .lut_mask = 64'h00000000000000FF;
+defparam \Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N9
+cyclonev_lcell_comb \instrucion_memory|rom~11 (
+// Equation(s):
+// \instrucion_memory|rom~11_combout = ( \instrucion_memory|LessThan0~0_combout & ( (!pc_current[15] & (!pc_current[14] & (\instrucion_memory|LessThan0~1_combout & \instrucion_memory|rom~10_combout ))) ) )
+
+ .dataa(!pc_current[15]),
+ .datab(!pc_current[14]),
+ .datac(!\instrucion_memory|LessThan0~1_combout ),
+ .datad(!\instrucion_memory|rom~10_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~11 .extended_lut = "off";
+defparam \instrucion_memory|rom~11 .lut_mask = 64'h0000000000080008;
+defparam \instrucion_memory|rom~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N51
+cyclonev_lcell_comb \instrucion_memory|rom~15 (
+// Equation(s):
+// \instrucion_memory|rom~15_combout = ( pc_current[2] & ( (!pc_current[3] & (!pc_current[1] & !pc_current[4])) # (pc_current[3] & ((pc_current[4]))) ) ) # ( !pc_current[2] & ( (pc_current[1] & !pc_current[4]) ) )
+
+ .dataa(!pc_current[3]),
+ .datab(gnd),
+ .datac(!pc_current[1]),
+ .datad(!pc_current[4]),
+ .datae(gnd),
+ .dataf(!pc_current[2]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~15_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~15 .extended_lut = "off";
+defparam \instrucion_memory|rom~15 .lut_mask = 64'h0F000F00A055A055;
+defparam \instrucion_memory|rom~15 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N3
+cyclonev_lcell_comb \Add0~5 (
+// Equation(s):
+// \Add0~5_sumout = SUM(( pc_current[2] ) + ( GND ) + ( \Add0~2 ))
+// \Add0~6 = CARRY(( pc_current[2] ) + ( GND ) + ( \Add0~2 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[2]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~5_sumout ),
+ .cout(\Add0~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~5 .extended_lut = "off";
+defparam \Add0~5 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \Add0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N39
+cyclonev_lcell_comb \instrucion_memory|rom~19 (
+// Equation(s):
+// \instrucion_memory|rom~19_combout = ( pc_current[2] & ( pc_current[3] & ( (pc_current[1] & pc_current[4]) ) ) ) # ( !pc_current[2] & ( pc_current[3] & ( (!pc_current[4]) # (pc_current[1]) ) ) ) # ( pc_current[2] & ( !pc_current[3] & ( !pc_current[4] ) )
+// )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[1]),
+ .datad(!pc_current[4]),
+ .datae(!pc_current[2]),
+ .dataf(!pc_current[3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~19_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~19 .extended_lut = "off";
+defparam \instrucion_memory|rom~19 .lut_mask = 64'h0000FF00FF0F000F;
+defparam \instrucion_memory|rom~19 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N0
+cyclonev_lcell_comb \Add2~1 (
+// Equation(s):
+// \Add2~1_sumout = SUM(( pc_current[0] ) + ( !VCC ) + ( !VCC ))
+// \Add2~2 = CARRY(( pc_current[0] ) + ( !VCC ) + ( !VCC ))
+// \Add2~3 = SHARE(VCC)
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[0]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add2~1_sumout ),
+ .cout(\Add2~2 ),
+ .shareout(\Add2~3 ));
+// synopsys translate_off
+defparam \Add2~1 .extended_lut = "off";
+defparam \Add2~1 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \Add2~1 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N3
+cyclonev_lcell_comb \Add2~5 (
+// Equation(s):
+// \Add2~5_sumout = SUM(( !\Add0~1_sumout $ (((!pc_current[15] & (\instrucion_memory|rom~19_combout & !\instrucion_memory|LessThan0~3_combout )))) ) + ( \Add2~3 ) + ( \Add2~2 ))
+// \Add2~6 = CARRY(( !\Add0~1_sumout $ (((!pc_current[15] & (\instrucion_memory|rom~19_combout & !\instrucion_memory|LessThan0~3_combout )))) ) + ( \Add2~3 ) + ( \Add2~2 ))
+// \Add2~7 = SHARE(((!pc_current[15] & (\instrucion_memory|rom~19_combout & !\instrucion_memory|LessThan0~3_combout ))) # (\Add0~1_sumout ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\Add0~1_sumout ),
+ .datac(!\instrucion_memory|rom~19_combout ),
+ .datad(!\instrucion_memory|LessThan0~3_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~2 ),
+ .sharein(\Add2~3 ),
+ .combout(),
+ .sumout(\Add2~5_sumout ),
+ .cout(\Add2~6 ),
+ .shareout(\Add2~7 ));
+// synopsys translate_off
+defparam \Add2~5 .extended_lut = "off";
+defparam \Add2~5 .lut_mask = 64'h00003B330000C6CC;
+defparam \Add2~5 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N6
+cyclonev_lcell_comb \Add2~9 (
+// Equation(s):
+// \Add2~9_sumout = SUM(( !\Add0~5_sumout $ (((!pc_current[15] & (\instrucion_memory|rom~15_combout & !\instrucion_memory|LessThan0~3_combout )))) ) + ( \Add2~7 ) + ( \Add2~6 ))
+// \Add2~10 = CARRY(( !\Add0~5_sumout $ (((!pc_current[15] & (\instrucion_memory|rom~15_combout & !\instrucion_memory|LessThan0~3_combout )))) ) + ( \Add2~7 ) + ( \Add2~6 ))
+// \Add2~11 = SHARE(((!pc_current[15] & (\instrucion_memory|rom~15_combout & !\instrucion_memory|LessThan0~3_combout ))) # (\Add0~5_sumout ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|rom~15_combout ),
+ .datac(!\Add0~5_sumout ),
+ .datad(!\instrucion_memory|LessThan0~3_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~6 ),
+ .sharein(\Add2~7 ),
+ .combout(),
+ .sumout(\Add2~9_sumout ),
+ .cout(\Add2~10 ),
+ .shareout(\Add2~11 ));
+// synopsys translate_off
+defparam \Add2~9 .extended_lut = "off";
+defparam \Add2~9 .lut_mask = 64'h00002F0F0000D2F0;
+defparam \Add2~9 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N6
+cyclonev_lcell_comb \Add3~62 (
+// Equation(s):
+// \Add3~62_cout = CARRY(( GND ) + ( GND ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\Add3~62_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~62 .extended_lut = "off";
+defparam \Add3~62 .lut_mask = 64'h0000FFFF00000000;
+defparam \Add3~62 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N9
+cyclonev_lcell_comb \Add3~1 (
+// Equation(s):
+// \Add3~1_sumout = SUM(( (\instrucion_memory|rom~19_combout & (!pc_current[14] & (!pc_current[15] & !\instrucion_memory|LessThan0~4_combout ))) ) + ( \Add0~1_sumout ) + ( \Add3~62_cout ))
+// \Add3~2 = CARRY(( (\instrucion_memory|rom~19_combout & (!pc_current[14] & (!pc_current[15] & !\instrucion_memory|LessThan0~4_combout ))) ) + ( \Add0~1_sumout ) + ( \Add3~62_cout ))
+
+ .dataa(!\instrucion_memory|rom~19_combout ),
+ .datab(!pc_current[14]),
+ .datac(!pc_current[15]),
+ .datad(!\instrucion_memory|LessThan0~4_combout ),
+ .datae(gnd),
+ .dataf(!\Add0~1_sumout ),
+ .datag(gnd),
+ .cin(\Add3~62_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~1_sumout ),
+ .cout(\Add3~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~1 .extended_lut = "off";
+defparam \Add3~1 .lut_mask = 64'h0000FF0000004000;
+defparam \Add3~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N12
+cyclonev_lcell_comb \Add3~5 (
+// Equation(s):
+// \Add3~5_sumout = SUM(( \Add0~5_sumout ) + ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|rom~15_combout & !\instrucion_memory|LessThan0~4_combout ))) ) + ( \Add3~2 ))
+// \Add3~6 = CARRY(( \Add0~5_sumout ) + ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|rom~15_combout & !\instrucion_memory|LessThan0~4_combout ))) ) + ( \Add3~2 ))
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|rom~15_combout ),
+ .datad(!\Add0~5_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~4_combout ),
+ .datag(gnd),
+ .cin(\Add3~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~5_sumout ),
+ .cout(\Add3~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~5 .extended_lut = "off";
+defparam \Add3~5 .lut_mask = 64'h0000F7FF000000FF;
+defparam \Add3~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N6
+cyclonev_lcell_comb \instrucion_memory|rom~16 (
+// Equation(s):
+// \instrucion_memory|rom~16_combout = ( \instrucion_memory|LessThan0~0_combout & ( (!pc_current[15] & (!pc_current[14] & (\instrucion_memory|rom~15_combout & \instrucion_memory|LessThan0~1_combout ))) ) )
+
+ .dataa(!pc_current[15]),
+ .datab(!pc_current[14]),
+ .datac(!\instrucion_memory|rom~15_combout ),
+ .datad(!\instrucion_memory|LessThan0~1_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~16_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~16 .extended_lut = "off";
+defparam \instrucion_memory|rom~16 .lut_mask = 64'h0000000000080008;
+defparam \instrucion_memory|rom~16 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N18
+cyclonev_lcell_comb \instrucion_memory|rom~2 (
+// Equation(s):
+// \instrucion_memory|rom~2_combout = ( pc_current[2] & ( (!pc_current[4] & !pc_current[3]) ) ) # ( !pc_current[2] & ( (!pc_current[4] & pc_current[3]) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[4]),
+ .datad(!pc_current[3]),
+ .datae(gnd),
+ .dataf(!pc_current[2]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~2 .extended_lut = "off";
+defparam \instrucion_memory|rom~2 .lut_mask = 64'h00F000F0F000F000;
+defparam \instrucion_memory|rom~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N48
+cyclonev_lcell_comb \instrucion_memory|instruction[14]~0 (
+// Equation(s):
+// \instrucion_memory|instruction[14]~0_combout = ( \instrucion_memory|rom~2_combout & ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|LessThan0~1_combout & \instrucion_memory|LessThan0~0_combout ))) ) )
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|LessThan0~1_combout ),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|instruction[14]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|instruction[14]~0 .extended_lut = "off";
+defparam \instrucion_memory|instruction[14]~0 .lut_mask = 64'h0000000000080008;
+defparam \instrucion_memory|instruction[14]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N21
+cyclonev_lcell_comb \control_unit|mem_to_reg[1]~0 (
+// Equation(s):
+// \control_unit|mem_to_reg[1]~0_combout = ( !\reset~input_o & ( (\instrucion_memory|instruction[14]~0_combout & !\instrucion_memory|rom~4_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\instrucion_memory|instruction[14]~0_combout ),
+ .datac(!\instrucion_memory|rom~4_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reset~input_o ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\control_unit|mem_to_reg[1]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \control_unit|mem_to_reg[1]~0 .extended_lut = "off";
+defparam \control_unit|mem_to_reg[1]~0 .lut_mask = 64'h3030303000000000;
+defparam \control_unit|mem_to_reg[1]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N3
+cyclonev_lcell_comb \instrucion_memory|rom~1 (
+// Equation(s):
+// \instrucion_memory|rom~1_combout = ( \instrucion_memory|rom~0_combout & ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|LessThan0~1_combout & \instrucion_memory|LessThan0~0_combout ))) ) )
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|LessThan0~1_combout ),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~1 .extended_lut = "off";
+defparam \instrucion_memory|rom~1 .lut_mask = 64'h0000000000080008;
+defparam \instrucion_memory|rom~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N21
+cyclonev_lcell_comb \instrucion_memory|rom~3 (
+// Equation(s):
+// \instrucion_memory|rom~3_combout = ( pc_current[3] & ( (!pc_current[4] & !pc_current[2]) ) ) # ( !pc_current[3] & ( (!pc_current[4] & ((!pc_current[1]) # (pc_current[2]))) ) )
+
+ .dataa(!pc_current[4]),
+ .datab(gnd),
+ .datac(!pc_current[1]),
+ .datad(!pc_current[2]),
+ .datae(gnd),
+ .dataf(!pc_current[3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~3 .extended_lut = "off";
+defparam \instrucion_memory|rom~3 .lut_mask = 64'hA0AAA0AAAA00AA00;
+defparam \instrucion_memory|rom~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N3
+cyclonev_lcell_comb \control_unit|mem_write~0 (
+// Equation(s):
+// \control_unit|mem_write~0_combout = ( \instrucion_memory|LessThan0~1_combout & ( \instrucion_memory|rom~3_combout & ( (!pc_current[15] & (!pc_current[14] & (!\reset~input_o & \instrucion_memory|LessThan0~0_combout ))) ) ) )
+
+ .dataa(!pc_current[15]),
+ .datab(!pc_current[14]),
+ .datac(!\reset~input_o ),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(!\instrucion_memory|LessThan0~1_combout ),
+ .dataf(!\instrucion_memory|rom~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\control_unit|mem_write~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \control_unit|mem_write~0 .extended_lut = "off";
+defparam \control_unit|mem_write~0 .lut_mask = 64'h0000000000000080;
+defparam \control_unit|mem_write~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N6
+cyclonev_lcell_comb \reg_write_data[1]~1 (
+// Equation(s):
+// \reg_write_data[1]~1_combout = ( !\instrucion_memory|instruction[14]~0_combout & ( (!\instrucion_memory|rom~1_combout & \control_unit|mem_write~0_combout ) ) )
+
+ .dataa(!\instrucion_memory|rom~1_combout ),
+ .datab(!\control_unit|mem_write~0_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|instruction[14]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[1]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[1]~1 .extended_lut = "off";
+defparam \reg_write_data[1]~1 .lut_mask = 64'h2222222200000000;
+defparam \reg_write_data[1]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N30
+cyclonev_lcell_comb \instrucion_memory|rom~25 (
+// Equation(s):
+// \instrucion_memory|rom~25_combout = ( pc_current[3] & ( (pc_current[1] & (!pc_current[4] & !pc_current[2])) ) ) # ( !pc_current[3] & ( (!pc_current[4] & pc_current[2]) ) )
+
+ .dataa(!pc_current[1]),
+ .datab(gnd),
+ .datac(!pc_current[4]),
+ .datad(!pc_current[2]),
+ .datae(gnd),
+ .dataf(!pc_current[3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~25_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~25 .extended_lut = "off";
+defparam \instrucion_memory|rom~25 .lut_mask = 64'h00F000F050005000;
+defparam \instrucion_memory|rom~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N12
+cyclonev_lcell_comb \control_unit|sign_or_zero~0 (
+// Equation(s):
+// \control_unit|sign_or_zero~0_combout = ( \instrucion_memory|rom~1_combout & ( ((\instrucion_memory|instruction[14]~0_combout ) # (\instrucion_memory|rom~4_combout )) # (\reset~input_o ) ) ) # ( !\instrucion_memory|rom~1_combout )
+
+ .dataa(gnd),
+ .datab(!\reset~input_o ),
+ .datac(!\instrucion_memory|rom~4_combout ),
+ .datad(!\instrucion_memory|instruction[14]~0_combout ),
+ .datae(!\instrucion_memory|rom~1_combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\control_unit|sign_or_zero~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \control_unit|sign_or_zero~0 .extended_lut = "off";
+defparam \control_unit|sign_or_zero~0 .lut_mask = 64'hFFFF3FFFFFFF3FFF;
+defparam \control_unit|sign_or_zero~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N21
+cyclonev_lcell_comb \instrucion_memory|LessThan0~2 (
+// Equation(s):
+// \instrucion_memory|LessThan0~2_combout = ( \instrucion_memory|LessThan0~0_combout & ( ((!\instrucion_memory|LessThan0~1_combout ) # (pc_current[14])) # (pc_current[15]) ) ) # ( !\instrucion_memory|LessThan0~0_combout )
+
+ .dataa(!pc_current[15]),
+ .datab(gnd),
+ .datac(!\instrucion_memory|LessThan0~1_combout ),
+ .datad(!pc_current[14]),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|LessThan0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|LessThan0~2 .extended_lut = "off";
+defparam \instrucion_memory|LessThan0~2 .lut_mask = 64'hFFFFFFFFF5FFF5FF;
+defparam \instrucion_memory|LessThan0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y17_N33
+cyclonev_lcell_comb \control_unit|Decoder0~0 (
+// Equation(s):
+// \control_unit|Decoder0~0_combout = ( !\instrucion_memory|rom~4_combout & ( \instrucion_memory|rom~1_combout & ( !\instrucion_memory|instruction[14]~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\instrucion_memory|instruction[14]~0_combout ),
+ .datad(gnd),
+ .datae(!\instrucion_memory|rom~4_combout ),
+ .dataf(!\instrucion_memory|rom~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\control_unit|Decoder0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \control_unit|Decoder0~0 .extended_lut = "off";
+defparam \control_unit|Decoder0~0 .lut_mask = 64'h00000000F0F00000;
+defparam \control_unit|Decoder0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N6
+cyclonev_lcell_comb \Add0~9 (
+// Equation(s):
+// \Add0~9_sumout = SUM(( pc_current[3] ) + ( GND ) + ( \Add0~6 ))
+// \Add0~10 = CARRY(( pc_current[3] ) + ( GND ) + ( \Add0~6 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[3]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~9_sumout ),
+ .cout(\Add0~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~9 .extended_lut = "off";
+defparam \Add0~9 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \Add0~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N9
+cyclonev_lcell_comb \Add0~13 (
+// Equation(s):
+// \Add0~13_sumout = SUM(( pc_current[4] ) + ( GND ) + ( \Add0~10 ))
+// \Add0~14 = CARRY(( pc_current[4] ) + ( GND ) + ( \Add0~10 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!pc_current[4]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~13_sumout ),
+ .cout(\Add0~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~13 .extended_lut = "off";
+defparam \Add0~13 .lut_mask = 64'h0000FFFF000000FF;
+defparam \Add0~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N12
+cyclonev_lcell_comb \Add0~17 (
+// Equation(s):
+// \Add0~17_sumout = SUM(( pc_current[5] ) + ( GND ) + ( \Add0~14 ))
+// \Add0~18 = CARRY(( pc_current[5] ) + ( GND ) + ( \Add0~14 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[5]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~17_sumout ),
+ .cout(\Add0~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~17 .extended_lut = "off";
+defparam \Add0~17 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \Add0~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N6
+cyclonev_lcell_comb \instrucion_memory|rom~21 (
+// Equation(s):
+// \instrucion_memory|rom~21_combout = ( pc_current[1] & ( (!pc_current[4] & ((!pc_current[3]) # (!pc_current[2]))) ) ) # ( !pc_current[1] & ( (!pc_current[4] & (pc_current[3] & !pc_current[2])) ) )
+
+ .dataa(!pc_current[4]),
+ .datab(gnd),
+ .datac(!pc_current[3]),
+ .datad(!pc_current[2]),
+ .datae(gnd),
+ .dataf(!pc_current[1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~21_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~21 .extended_lut = "off";
+defparam \instrucion_memory|rom~21 .lut_mask = 64'h0A000A00AAA0AAA0;
+defparam \instrucion_memory|rom~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N36
+cyclonev_lcell_comb \instrucion_memory|rom~5 (
+// Equation(s):
+// \instrucion_memory|rom~5_combout = ( pc_current[4] & ( pc_current[1] & ( pc_current[3] ) ) ) # ( pc_current[4] & ( !pc_current[1] & ( (pc_current[2] & pc_current[3]) ) ) ) # ( !pc_current[4] & ( !pc_current[1] & ( (pc_current[2] & !pc_current[3]) ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[2]),
+ .datad(!pc_current[3]),
+ .datae(!pc_current[4]),
+ .dataf(!pc_current[1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~5 .extended_lut = "off";
+defparam \instrucion_memory|rom~5 .lut_mask = 64'h0F00000F000000FF;
+defparam \instrucion_memory|rom~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N15
+cyclonev_lcell_comb \Add3~9 (
+// Equation(s):
+// \Add3~9_sumout = SUM(( \Add0~9_sumout ) + ( (!pc_current[14] & (!pc_current[15] & (!\instrucion_memory|LessThan0~4_combout & \instrucion_memory|rom~5_combout ))) ) + ( \Add3~6 ))
+// \Add3~10 = CARRY(( \Add0~9_sumout ) + ( (!pc_current[14] & (!pc_current[15] & (!\instrucion_memory|LessThan0~4_combout & \instrucion_memory|rom~5_combout ))) ) + ( \Add3~6 ))
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|LessThan0~4_combout ),
+ .datad(!\Add0~9_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~5_combout ),
+ .datag(gnd),
+ .cin(\Add3~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~9_sumout ),
+ .cout(\Add3~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~9 .extended_lut = "off";
+defparam \Add3~9 .lut_mask = 64'h0000FF7F000000FF;
+defparam \Add3~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N18
+cyclonev_lcell_comb \Add3~13 (
+// Equation(s):
+// \Add3~13_sumout = SUM(( \Add0~13_sumout ) + ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|rom~7_combout & !\instrucion_memory|LessThan0~4_combout ))) ) + ( \Add3~10 ))
+// \Add3~14 = CARRY(( \Add0~13_sumout ) + ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|rom~7_combout & !\instrucion_memory|LessThan0~4_combout ))) ) + ( \Add3~10 ))
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|rom~7_combout ),
+ .datad(!\Add0~13_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~4_combout ),
+ .datag(gnd),
+ .cin(\Add3~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~13_sumout ),
+ .cout(\Add3~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~13 .extended_lut = "off";
+defparam \Add3~13 .lut_mask = 64'h0000F7FF000000FF;
+defparam \Add3~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N21
+cyclonev_lcell_comb \Add3~17 (
+// Equation(s):
+// \Add3~17_sumout = SUM(( \Add0~17_sumout ) + ( (!pc_current[14] & (!pc_current[15] & (!\instrucion_memory|LessThan0~4_combout & \instrucion_memory|rom~21_combout ))) ) + ( \Add3~14 ))
+// \Add3~18 = CARRY(( \Add0~17_sumout ) + ( (!pc_current[14] & (!pc_current[15] & (!\instrucion_memory|LessThan0~4_combout & \instrucion_memory|rom~21_combout ))) ) + ( \Add3~14 ))
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|LessThan0~4_combout ),
+ .datad(!\Add0~17_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~21_combout ),
+ .datag(gnd),
+ .cin(\Add3~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~17_sumout ),
+ .cout(\Add3~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~17 .extended_lut = "off";
+defparam \Add3~17 .lut_mask = 64'h0000FF7F000000FF;
+defparam \Add3~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N12
+cyclonev_lcell_comb \instrucion_memory|rom~22 (
+// Equation(s):
+// \instrucion_memory|rom~22_combout = ( \instrucion_memory|LessThan0~0_combout & ( \instrucion_memory|LessThan0~1_combout & ( (!pc_current[15] & (!pc_current[14] & \instrucion_memory|rom~21_combout )) ) ) )
+
+ .dataa(!pc_current[15]),
+ .datab(gnd),
+ .datac(!pc_current[14]),
+ .datad(!\instrucion_memory|rom~21_combout ),
+ .datae(!\instrucion_memory|LessThan0~0_combout ),
+ .dataf(!\instrucion_memory|LessThan0~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~22_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~22 .extended_lut = "off";
+defparam \instrucion_memory|rom~22 .lut_mask = 64'h00000000000000A0;
+defparam \instrucion_memory|rom~22 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N9
+cyclonev_lcell_comb \instrucion_memory|rom~6 (
+// Equation(s):
+// \instrucion_memory|rom~6_combout = ( \instrucion_memory|rom~5_combout & ( (!pc_current[15] & (!pc_current[14] & (\instrucion_memory|LessThan0~1_combout & \instrucion_memory|LessThan0~0_combout ))) ) )
+
+ .dataa(!pc_current[15]),
+ .datab(!pc_current[14]),
+ .datac(!\instrucion_memory|LessThan0~1_combout ),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~6 .extended_lut = "off";
+defparam \instrucion_memory|rom~6 .lut_mask = 64'h0000000000080008;
+defparam \instrucion_memory|rom~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N9
+cyclonev_lcell_comb \Add2~13 (
+// Equation(s):
+// \Add2~13_sumout = SUM(( !\Add0~9_sumout $ (\instrucion_memory|rom~6_combout ) ) + ( \Add2~11 ) + ( \Add2~10 ))
+// \Add2~14 = CARRY(( !\Add0~9_sumout $ (\instrucion_memory|rom~6_combout ) ) + ( \Add2~11 ) + ( \Add2~10 ))
+// \Add2~15 = SHARE((\instrucion_memory|rom~6_combout ) # (\Add0~9_sumout ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\Add0~9_sumout ),
+ .datad(!\instrucion_memory|rom~6_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~10 ),
+ .sharein(\Add2~11 ),
+ .combout(),
+ .sumout(\Add2~13_sumout ),
+ .cout(\Add2~14 ),
+ .shareout(\Add2~15 ));
+// synopsys translate_off
+defparam \Add2~13 .extended_lut = "off";
+defparam \Add2~13 .lut_mask = 64'h00000FFF0000F00F;
+defparam \Add2~13 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N12
+cyclonev_lcell_comb \Add2~17 (
+// Equation(s):
+// \Add2~17_sumout = SUM(( !\Add0~13_sumout $ (((\instrucion_memory|rom~7_combout & (!\instrucion_memory|LessThan0~3_combout & !pc_current[15])))) ) + ( \Add2~15 ) + ( \Add2~14 ))
+// \Add2~18 = CARRY(( !\Add0~13_sumout $ (((\instrucion_memory|rom~7_combout & (!\instrucion_memory|LessThan0~3_combout & !pc_current[15])))) ) + ( \Add2~15 ) + ( \Add2~14 ))
+// \Add2~19 = SHARE(((\instrucion_memory|rom~7_combout & (!\instrucion_memory|LessThan0~3_combout & !pc_current[15]))) # (\Add0~13_sumout ))
+
+ .dataa(!\instrucion_memory|rom~7_combout ),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!pc_current[15]),
+ .datad(!\Add0~13_sumout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~14 ),
+ .sharein(\Add2~15 ),
+ .combout(),
+ .sumout(\Add2~17_sumout ),
+ .cout(\Add2~18 ),
+ .shareout(\Add2~19 ));
+// synopsys translate_off
+defparam \Add2~17 .extended_lut = "off";
+defparam \Add2~17 .lut_mask = 64'h000040FF0000BF40;
+defparam \Add2~17 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N15
+cyclonev_lcell_comb \Add2~21 (
+// Equation(s):
+// \Add2~21_sumout = SUM(( !\Add0~17_sumout $ (\instrucion_memory|rom~22_combout ) ) + ( \Add2~19 ) + ( \Add2~18 ))
+// \Add2~22 = CARRY(( !\Add0~17_sumout $ (\instrucion_memory|rom~22_combout ) ) + ( \Add2~19 ) + ( \Add2~18 ))
+// \Add2~23 = SHARE((\instrucion_memory|rom~22_combout ) # (\Add0~17_sumout ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\Add0~17_sumout ),
+ .datad(!\instrucion_memory|rom~22_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~18 ),
+ .sharein(\Add2~19 ),
+ .combout(),
+ .sumout(\Add2~21_sumout ),
+ .cout(\Add2~22 ),
+ .shareout(\Add2~23 ));
+// synopsys translate_off
+defparam \Add2~21 .extended_lut = "off";
+defparam \Add2~21 .lut_mask = 64'h00000FFF0000F00F;
+defparam \Add2~21 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y14_N30
+cyclonev_lcell_comb \pc_next[5]~4 (
+// Equation(s):
+// \pc_next[5]~4_combout = ( \beq_control~combout & ( \pc_current[5]~0_combout & ( \Add3~17_sumout ) ) ) # ( !\beq_control~combout & ( \pc_current[5]~0_combout & ( \Add0~17_sumout ) ) ) # ( \beq_control~combout & ( !\pc_current[5]~0_combout & (
+// \Add2~21_sumout ) ) ) # ( !\beq_control~combout & ( !\pc_current[5]~0_combout & ( \instrucion_memory|rom~22_combout ) ) )
+
+ .dataa(!\Add3~17_sumout ),
+ .datab(!\instrucion_memory|rom~22_combout ),
+ .datac(!\Add2~21_sumout ),
+ .datad(!\Add0~17_sumout ),
+ .datae(!\beq_control~combout ),
+ .dataf(!\pc_current[5]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[5]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[5]~4 .extended_lut = "off";
+defparam \pc_next[5]~4 .lut_mask = 64'h33330F0F00FF5555;
+defparam \pc_next[5]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N0
+cyclonev_lcell_comb \instrucion_memory|rom~8 (
+// Equation(s):
+// \instrucion_memory|rom~8_combout = ( \instrucion_memory|LessThan0~0_combout & ( (!pc_current[15] & (\instrucion_memory|LessThan0~1_combout & (\instrucion_memory|rom~7_combout & !pc_current[14]))) ) )
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~1_combout ),
+ .datac(!\instrucion_memory|rom~7_combout ),
+ .datad(!pc_current[14]),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~8 .extended_lut = "off";
+defparam \instrucion_memory|rom~8 .lut_mask = 64'h0000000002000200;
+defparam \instrucion_memory|rom~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N45
+cyclonev_lcell_comb \control_unit|WideOr0~0 (
+// Equation(s):
+// \control_unit|WideOr0~0_combout = ( \instrucion_memory|instruction[14]~0_combout & ( (!\reset~input_o & (\instrucion_memory|rom~4_combout & \instrucion_memory|rom~1_combout )) ) ) # ( !\instrucion_memory|instruction[14]~0_combout & ( (!\reset~input_o
+// & ((\instrucion_memory|rom~1_combout ) # (\instrucion_memory|rom~4_combout ))) ) )
+
+ .dataa(!\reset~input_o ),
+ .datab(gnd),
+ .datac(!\instrucion_memory|rom~4_combout ),
+ .datad(!\instrucion_memory|rom~1_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|instruction[14]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\control_unit|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \control_unit|WideOr0~0 .extended_lut = "off";
+defparam \control_unit|WideOr0~0 .lut_mask = 64'h0AAA0AAA000A000A;
+defparam \control_unit|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N39
+cyclonev_lcell_comb \alu_unit|Mux10~1 (
+// Equation(s):
+// \alu_unit|Mux10~1_combout = ( \instrucion_memory|rom~16_combout & ( (!\control_unit|mem_write~0_combout ) # (\control_unit|WideOr0~0_combout ) ) ) # ( !\instrucion_memory|rom~16_combout & ( ((!\control_unit|mem_write~0_combout &
+// ((!\instrucion_memory|rom~20_combout ) # (\instrucion_memory|rom~8_combout )))) # (\control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\control_unit|mem_write~0_combout ),
+ .datab(!\instrucion_memory|rom~8_combout ),
+ .datac(!\instrucion_memory|rom~20_combout ),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~16_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~1 .extended_lut = "off";
+defparam \alu_unit|Mux10~1 .lut_mask = 64'hA2FFA2FFAAFFAAFF;
+defparam \alu_unit|Mux10~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N36
+cyclonev_lcell_comb \ALU_Control_unit|WideOr0~0 (
+// Equation(s):
+// \ALU_Control_unit|WideOr0~0_combout = ( \instrucion_memory|rom~6_combout & ( (!\control_unit|mem_write~0_combout & ((!\instrucion_memory|rom~8_combout ) # (\control_unit|WideOr0~0_combout ))) ) ) # ( !\instrucion_memory|rom~6_combout & (
+// (!\control_unit|mem_write~0_combout & \control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\control_unit|mem_write~0_combout ),
+ .datab(!\instrucion_memory|rom~8_combout ),
+ .datac(gnd),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\ALU_Control_unit|WideOr0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \ALU_Control_unit|WideOr0~0 .extended_lut = "off";
+defparam \ALU_Control_unit|WideOr0~0 .lut_mask = 64'h00AA00AA88AA88AA;
+defparam \ALU_Control_unit|WideOr0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N24
+cyclonev_lcell_comb \ALU_Control_unit|WideOr2~0 (
+// Equation(s):
+// \ALU_Control_unit|WideOr2~0_combout = ( \instrucion_memory|rom~20_combout & ( ((\instrucion_memory|rom~8_combout & !\control_unit|mem_write~0_combout )) # (\control_unit|WideOr0~0_combout ) ) ) # ( !\instrucion_memory|rom~20_combout & (
+// (!\control_unit|mem_write~0_combout ) # (\control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\instrucion_memory|rom~8_combout ),
+ .datac(!\control_unit|mem_write~0_combout ),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~20_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\ALU_Control_unit|WideOr2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \ALU_Control_unit|WideOr2~0 .extended_lut = "off";
+defparam \ALU_Control_unit|WideOr2~0 .lut_mask = 64'hF0FFF0FF30FF30FF;
+defparam \ALU_Control_unit|WideOr2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N18
+cyclonev_lcell_comb \instrucion_memory|rom~23 (
+// Equation(s):
+// \instrucion_memory|rom~23_combout = ( pc_current[1] & ( (!pc_current[4] & !pc_current[2]) ) ) # ( !pc_current[1] & ( (!pc_current[4] & (!pc_current[3] $ (!pc_current[2]))) ) )
+
+ .dataa(!pc_current[4]),
+ .datab(gnd),
+ .datac(!pc_current[3]),
+ .datad(!pc_current[2]),
+ .datae(gnd),
+ .dataf(!pc_current[1]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~23_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~23 .extended_lut = "off";
+defparam \instrucion_memory|rom~23 .lut_mask = 64'h0AA00AA0AA00AA00;
+defparam \instrucion_memory|rom~23 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N33
+cyclonev_lcell_comb \instrucion_memory|rom~24 (
+// Equation(s):
+// \instrucion_memory|rom~24_combout = ( \instrucion_memory|rom~23_combout & ( (!pc_current[15] & (\instrucion_memory|LessThan0~1_combout & (!pc_current[14] & \instrucion_memory|LessThan0~0_combout ))) ) )
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~1_combout ),
+ .datac(!pc_current[14]),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~23_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~24_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~24 .extended_lut = "off";
+defparam \instrucion_memory|rom~24 .lut_mask = 64'h0000000000200020;
+defparam \instrucion_memory|rom~24 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N33
+cyclonev_lcell_comb \instrucion_memory|rom~13 (
+// Equation(s):
+// \instrucion_memory|rom~13_combout = ( pc_current[2] & ( (!pc_current[4] & (pc_current[1] & !pc_current[3])) ) ) # ( !pc_current[2] & ( (!pc_current[4] & ((!pc_current[1]) # (!pc_current[3]))) ) )
+
+ .dataa(gnd),
+ .datab(!pc_current[4]),
+ .datac(!pc_current[1]),
+ .datad(!pc_current[3]),
+ .datae(gnd),
+ .dataf(!pc_current[2]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~13_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~13 .extended_lut = "off";
+defparam \instrucion_memory|rom~13 .lut_mask = 64'hCCC0CCC00C000C00;
+defparam \instrucion_memory|rom~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N39
+cyclonev_lcell_comb \reg_file|Equal1~0 (
+// Equation(s):
+// \reg_file|Equal1~0_combout = ( \instrucion_memory|LessThan0~0_combout & ( \instrucion_memory|LessThan0~1_combout & ( (((!\instrucion_memory|rom~14_combout & !\instrucion_memory|rom~13_combout )) # (pc_current[15])) # (pc_current[14]) ) ) ) # (
+// !\instrucion_memory|LessThan0~0_combout & ( \instrucion_memory|LessThan0~1_combout ) ) # ( \instrucion_memory|LessThan0~0_combout & ( !\instrucion_memory|LessThan0~1_combout ) ) # ( !\instrucion_memory|LessThan0~0_combout & (
+// !\instrucion_memory|LessThan0~1_combout ) )
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|rom~14_combout ),
+ .datad(!\instrucion_memory|rom~13_combout ),
+ .datae(!\instrucion_memory|LessThan0~0_combout ),
+ .dataf(!\instrucion_memory|LessThan0~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Equal1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Equal1~0 .extended_lut = "off";
+defparam \reg_file|Equal1~0 .lut_mask = 64'hFFFFFFFFFFFFF777;
+defparam \reg_file|Equal1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N0
+cyclonev_lcell_comb \reg_file|reg_array[3][5]~feeder (
+// Equation(s):
+// \reg_file|reg_array[3][5]~feeder_combout = ( \reg_write_data[5]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[5]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[3][5]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[3][5]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[3][5]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[3][5]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N24
+cyclonev_lcell_comb \control_unit|mem_to_reg[1]~1 (
+// Equation(s):
+// \control_unit|mem_to_reg[1]~1_combout = ( !\instrucion_memory|rom~4_combout & ( (\instrucion_memory|rom~1_combout & (!\reset~input_o & \instrucion_memory|instruction[14]~0_combout )) ) )
+
+ .dataa(!\instrucion_memory|rom~1_combout ),
+ .datab(!\reset~input_o ),
+ .datac(!\instrucion_memory|instruction[14]~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\control_unit|mem_to_reg[1]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \control_unit|mem_to_reg[1]~1 .extended_lut = "off";
+defparam \control_unit|mem_to_reg[1]~1 .lut_mask = 64'h0404040400000000;
+defparam \control_unit|mem_to_reg[1]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N54
+cyclonev_lcell_comb \reg_file|Decoder0~3 (
+// Equation(s):
+// \reg_file|Decoder0~3_combout = ( !\reset~input_o & ( \instrucion_memory|rom~0_combout & ( (!\instrucion_memory|rom~4_combout $ (\instrucion_memory|rom~2_combout )) # (\instrucion_memory|LessThan0~2_combout ) ) ) ) # ( !\reset~input_o & (
+// !\instrucion_memory|rom~0_combout & ( ((!\instrucion_memory|rom~2_combout & ((!\instrucion_memory|rom~25_combout ) # (\instrucion_memory|rom~4_combout )))) # (\instrucion_memory|LessThan0~2_combout ) ) ) )
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\instrucion_memory|rom~4_combout ),
+ .datac(!\instrucion_memory|rom~2_combout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(!\reset~input_o ),
+ .dataf(!\instrucion_memory|rom~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Decoder0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Decoder0~3 .extended_lut = "off";
+defparam \reg_file|Decoder0~3 .lut_mask = 64'hB0FF0000C3FF0000;
+defparam \reg_file|Decoder0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N27
+cyclonev_lcell_comb \reg_write_dest[0]~0 (
+// Equation(s):
+// \reg_write_dest[0]~0_combout = ( \instrucion_memory|rom~13_combout & ( \instrucion_memory|rom~21_combout ) ) # ( !\instrucion_memory|rom~13_combout & ( \instrucion_memory|rom~21_combout & ( (!\reset~input_o & (!\instrucion_memory|rom~4_combout &
+// (!\instrucion_memory|instruction[14]~0_combout & !\instrucion_memory|rom~1_combout ))) ) ) ) # ( \instrucion_memory|rom~13_combout & ( !\instrucion_memory|rom~21_combout & ( (((\instrucion_memory|rom~1_combout ) #
+// (\instrucion_memory|instruction[14]~0_combout )) # (\instrucion_memory|rom~4_combout )) # (\reset~input_o ) ) ) )
+
+ .dataa(!\reset~input_o ),
+ .datab(!\instrucion_memory|rom~4_combout ),
+ .datac(!\instrucion_memory|instruction[14]~0_combout ),
+ .datad(!\instrucion_memory|rom~1_combout ),
+ .datae(!\instrucion_memory|rom~13_combout ),
+ .dataf(!\instrucion_memory|rom~21_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_dest[0]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_dest[0]~0 .extended_lut = "off";
+defparam \reg_write_dest[0]~0 .lut_mask = 64'h00007FFF8000FFFF;
+defparam \reg_write_dest[0]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N24
+cyclonev_lcell_comb \reg_write_dest[1]~1 (
+// Equation(s):
+// \reg_write_dest[1]~1_combout = ( \instrucion_memory|rom~14_combout & ( \instrucion_memory|rom~23_combout ) ) # ( !\instrucion_memory|rom~14_combout & ( \instrucion_memory|rom~23_combout & ( (!\reset~input_o & (!\instrucion_memory|rom~4_combout &
+// (!\instrucion_memory|rom~1_combout & !\instrucion_memory|instruction[14]~0_combout ))) ) ) ) # ( \instrucion_memory|rom~14_combout & ( !\instrucion_memory|rom~23_combout & ( (((\instrucion_memory|instruction[14]~0_combout ) #
+// (\instrucion_memory|rom~1_combout )) # (\instrucion_memory|rom~4_combout )) # (\reset~input_o ) ) ) )
+
+ .dataa(!\reset~input_o ),
+ .datab(!\instrucion_memory|rom~4_combout ),
+ .datac(!\instrucion_memory|rom~1_combout ),
+ .datad(!\instrucion_memory|instruction[14]~0_combout ),
+ .datae(!\instrucion_memory|rom~14_combout ),
+ .dataf(!\instrucion_memory|rom~23_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_dest[1]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_dest[1]~1 .extended_lut = "off";
+defparam \reg_write_dest[1]~1 .lut_mask = 64'h00007FFF8000FFFF;
+defparam \reg_write_dest[1]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N48
+cyclonev_lcell_comb \reg_file|Decoder0~4 (
+// Equation(s):
+// \reg_file|Decoder0~4_combout = ( \reg_write_dest[1]~1_combout & ( (\reg_file|Decoder0~3_combout & (((!\instrucion_memory|LessThan0~2_combout & \reg_write_dest[0]~0_combout )) # (\control_unit|mem_to_reg[1]~1_combout ))) ) ) # (
+// !\reg_write_dest[1]~1_combout & ( (\control_unit|mem_to_reg[1]~1_combout & \reg_file|Decoder0~3_combout ) ) )
+
+ .dataa(!\control_unit|mem_to_reg[1]~1_combout ),
+ .datab(!\reg_file|Decoder0~3_combout ),
+ .datac(!\instrucion_memory|LessThan0~2_combout ),
+ .datad(!\reg_write_dest[0]~0_combout ),
+ .datae(!\reg_write_dest[1]~1_combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Decoder0~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Decoder0~4 .extended_lut = "off";
+defparam \reg_file|Decoder0~4 .lut_mask = 64'h1111113111111131;
+defparam \reg_file|Decoder0~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y14_N2
+dffeas \reg_file|reg_array[3][5] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[3][5]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][5]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][5] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N24
+cyclonev_lcell_comb \reg_file|Decoder0~6 (
+// Equation(s):
+// \reg_file|Decoder0~6_combout = ( !\reg_write_dest[0]~0_combout & ( \reg_file|Decoder0~3_combout & ( (!\control_unit|mem_to_reg[1]~1_combout & (!\instrucion_memory|LessThan0~2_combout & \reg_write_dest[1]~1_combout )) ) ) )
+
+ .dataa(!\control_unit|mem_to_reg[1]~1_combout ),
+ .datab(!\instrucion_memory|LessThan0~2_combout ),
+ .datac(!\reg_write_dest[1]~1_combout ),
+ .datad(gnd),
+ .datae(!\reg_write_dest[0]~0_combout ),
+ .dataf(!\reg_file|Decoder0~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Decoder0~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Decoder0~6 .extended_lut = "off";
+defparam \reg_file|Decoder0~6 .lut_mask = 64'h0000000008080000;
+defparam \reg_file|Decoder0~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y13_N1
+dffeas \reg_file|reg_array[2][5] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[5]~11_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][5]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][5] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y16_N21
+cyclonev_lcell_comb \reg_file|Decoder0~7 (
+// Equation(s):
+// \reg_file|Decoder0~7_combout = ( \reg_file|Decoder0~3_combout & ( (!\reg_write_dest[1]~1_combout & (!\instrucion_memory|LessThan0~2_combout & (\reg_write_dest[0]~0_combout & !\control_unit|mem_to_reg[1]~1_combout ))) ) )
+
+ .dataa(!\reg_write_dest[1]~1_combout ),
+ .datab(!\instrucion_memory|LessThan0~2_combout ),
+ .datac(!\reg_write_dest[0]~0_combout ),
+ .datad(!\control_unit|mem_to_reg[1]~1_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|Decoder0~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Decoder0~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Decoder0~7 .extended_lut = "off";
+defparam \reg_file|Decoder0~7 .lut_mask = 64'h0000000008000800;
+defparam \reg_file|Decoder0~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y12_N29
+dffeas \reg_file|reg_array[1][5] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[5]~11_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][5]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][5] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N51
+cyclonev_lcell_comb \instrucion_memory|rom~17 (
+// Equation(s):
+// \instrucion_memory|rom~17_combout = ( \instrucion_memory|rom~13_combout & ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|LessThan0~1_combout & \instrucion_memory|LessThan0~0_combout ))) ) )
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|LessThan0~1_combout ),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~17_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~17 .extended_lut = "off";
+defparam \instrucion_memory|rom~17 .lut_mask = 64'h0000000000080008;
+defparam \instrucion_memory|rom~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N3
+cyclonev_lcell_comb \reg_file|reg_read_data_2[5]~5 (
+// Equation(s):
+// \reg_file|reg_read_data_2[5]~5_combout = ( \reg_file|reg_array[0][5]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[1][5]~q ))) # (\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[3][5]~q )) ) ) ) # ( !\reg_file|reg_array[0][5]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[1][5]~q ))) # (\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[3][5]~q )) ) ) ) # ( \reg_file|reg_array[0][5]~q & ( !\instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout ) # (\reg_file|reg_array[2][5]~q ) ) ) ) # ( !\reg_file|reg_array[0][5]~q & (
+// !\instrucion_memory|rom~17_combout & ( (\reg_file|reg_array[2][5]~q & \instrucion_memory|rom~18_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_array[3][5]~q ),
+ .datab(!\reg_file|reg_array[2][5]~q ),
+ .datac(!\reg_file|reg_array[1][5]~q ),
+ .datad(!\instrucion_memory|rom~18_combout ),
+ .datae(!\reg_file|reg_array[0][5]~q ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[5]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[5]~5 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[5]~5 .lut_mask = 64'h0033FF330F550F55;
+defparam \reg_file|reg_read_data_2[5]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N57
+cyclonev_lcell_comb \read_data2[5]~5 (
+// Equation(s):
+// \read_data2[5]~5_combout = ( \reg_file|Equal1~0_combout & ( \reg_file|reg_read_data_2[5]~5_combout & ( (\instrucion_memory|rom~24_combout & \control_unit|WideOr0~0_combout ) ) ) ) # ( !\reg_file|Equal1~0_combout & (
+// \reg_file|reg_read_data_2[5]~5_combout & ( (!\control_unit|WideOr0~0_combout ) # (\instrucion_memory|rom~24_combout ) ) ) ) # ( \reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_2[5]~5_combout & ( (\instrucion_memory|rom~24_combout &
+// \control_unit|WideOr0~0_combout ) ) ) ) # ( !\reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_2[5]~5_combout & ( (\instrucion_memory|rom~24_combout & \control_unit|WideOr0~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\instrucion_memory|rom~24_combout ),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(!\reg_file|Equal1~0_combout ),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[5]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[5]~5 .extended_lut = "off";
+defparam \read_data2[5]~5 .lut_mask = 64'h000F000FFF0F000F;
+defparam \read_data2[5]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N27
+cyclonev_lcell_comb \ALU_Control_unit|WideOr1~0 (
+// Equation(s):
+// \ALU_Control_unit|WideOr1~0_combout = ( \instrucion_memory|rom~16_combout & ( (!\control_unit|mem_write~0_combout & (!\instrucion_memory|rom~8_combout & !\control_unit|WideOr0~0_combout )) ) )
+
+ .dataa(!\control_unit|mem_write~0_combout ),
+ .datab(gnd),
+ .datac(!\instrucion_memory|rom~8_combout ),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~16_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\ALU_Control_unit|WideOr1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \ALU_Control_unit|WideOr1~0 .extended_lut = "off";
+defparam \ALU_Control_unit|WideOr1~0 .lut_mask = 64'h00000000A000A000;
+defparam \ALU_Control_unit|WideOr1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N36
+cyclonev_lcell_comb \instrucion_memory|rom~9 (
+// Equation(s):
+// \instrucion_memory|rom~9_combout = ( pc_current[3] & ( (!pc_current[4] & (!pc_current[1] & !pc_current[2])) ) ) # ( !pc_current[3] & ( (!pc_current[4] & pc_current[2]) ) )
+
+ .dataa(!pc_current[4]),
+ .datab(gnd),
+ .datac(!pc_current[1]),
+ .datad(!pc_current[2]),
+ .datae(gnd),
+ .dataf(!pc_current[3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~9 .extended_lut = "off";
+defparam \instrucion_memory|rom~9 .lut_mask = 64'h00AA00AAA000A000;
+defparam \instrucion_memory|rom~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N6
+cyclonev_lcell_comb \reg_file|Equal0~1 (
+// Equation(s):
+// \reg_file|Equal0~1_combout = (\instrucion_memory|rom~10_combout ) # (\instrucion_memory|rom~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\instrucion_memory|rom~9_combout ),
+ .datad(!\instrucion_memory|rom~10_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Equal0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Equal0~1 .extended_lut = "off";
+defparam \reg_file|Equal0~1 .lut_mask = 64'h0FFF0FFF0FFF0FFF;
+defparam \reg_file|Equal0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N0
+cyclonev_lcell_comb \reg_file|reg_read_data_1[4]~7 (
+// Equation(s):
+// \reg_file|reg_read_data_1[4]~7_combout = ( \reg_file|reg_read_data_1[4]~6_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\reg_file|Equal0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[4]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[4]~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[4]~7 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[4]~7 .lut_mask = 64'h0000000000FF00FF;
+defparam \reg_file|reg_read_data_1[4]~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y11_N38
+dffeas \reg_file|reg_array[2][4] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[4]~5_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][4]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][4] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N11
+dffeas \reg_file|reg_array[1][4] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[4]~5_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][4]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][4] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y14_N53
+dffeas \reg_file|reg_array[3][4] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[4]~5_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][4]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][4] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N30
+cyclonev_lcell_comb \reg_file|reg_read_data_2[4]~4 (
+// Equation(s):
+// \reg_file|reg_read_data_2[4]~4_combout = ( \instrucion_memory|rom~17_combout & ( \instrucion_memory|rom~18_combout & ( \reg_file|reg_array[3][4]~q ) ) ) # ( !\instrucion_memory|rom~17_combout & ( \instrucion_memory|rom~18_combout & (
+// \reg_file|reg_array[2][4]~q ) ) ) # ( \instrucion_memory|rom~17_combout & ( !\instrucion_memory|rom~18_combout & ( \reg_file|reg_array[1][4]~q ) ) ) # ( !\instrucion_memory|rom~17_combout & ( !\instrucion_memory|rom~18_combout & (
+// \reg_file|reg_array[0][4]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[2][4]~q ),
+ .datab(!\reg_file|reg_array[1][4]~q ),
+ .datac(!\reg_file|reg_array[3][4]~q ),
+ .datad(!\reg_file|reg_array[0][4]~q ),
+ .datae(!\instrucion_memory|rom~17_combout ),
+ .dataf(!\instrucion_memory|rom~18_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[4]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[4]~4 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[4]~4 .lut_mask = 64'h00FF333355550F0F;
+defparam \reg_file|reg_read_data_2[4]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N0
+cyclonev_lcell_comb \read_data2[4]~4 (
+// Equation(s):
+// \read_data2[4]~4_combout = ( \control_unit|WideOr0~0_combout & ( \reg_file|reg_read_data_2[4]~4_combout & ( \instrucion_memory|rom~22_combout ) ) ) # ( !\control_unit|WideOr0~0_combout & ( \reg_file|reg_read_data_2[4]~4_combout & (
+// !\reg_file|Equal1~0_combout ) ) ) # ( \control_unit|WideOr0~0_combout & ( !\reg_file|reg_read_data_2[4]~4_combout & ( \instrucion_memory|rom~22_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\instrucion_memory|rom~22_combout ),
+ .datac(gnd),
+ .datad(!\reg_file|Equal1~0_combout ),
+ .datae(!\control_unit|WideOr0~0_combout ),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[4]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[4]~4 .extended_lut = "off";
+defparam \read_data2[4]~4 .lut_mask = 64'h00003333FF003333;
+defparam \read_data2[4]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N42
+cyclonev_lcell_comb \alu_unit|Mux11~8 (
+// Equation(s):
+// \alu_unit|Mux11~8_combout = ( \ALU_Control_unit|WideOr1~0_combout & ( \read_data2[4]~4_combout & ( (\ALU_Control_unit|WideOr2~0_combout & !\reg_file|reg_read_data_1[4]~7_combout ) ) ) ) # ( \ALU_Control_unit|WideOr1~0_combout & (
+// !\read_data2[4]~4_combout & ( (!\reg_file|reg_read_data_1[4]~7_combout ) # (\ALU_Control_unit|WideOr2~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .datad(gnd),
+ .datae(!\ALU_Control_unit|WideOr1~0_combout ),
+ .dataf(!\read_data2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux11~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux11~8 .extended_lut = "off";
+defparam \alu_unit|Mux11~8 .lut_mask = 64'h0000F3F300003030;
+defparam \alu_unit|Mux11~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N18
+cyclonev_lcell_comb \alu_unit|Mux13~0 (
+// Equation(s):
+// \alu_unit|Mux13~0_combout = ( \control_unit|WideOr0~0_combout & ( \instrucion_memory|rom~8_combout & ( !\control_unit|mem_write~0_combout ) ) ) # ( \control_unit|WideOr0~0_combout & ( !\instrucion_memory|rom~8_combout & (
+// !\control_unit|mem_write~0_combout ) ) ) # ( !\control_unit|WideOr0~0_combout & ( !\instrucion_memory|rom~8_combout & ( (!\control_unit|mem_write~0_combout & (!\instrucion_memory|rom~20_combout & ((\instrucion_memory|rom~6_combout ) #
+// (\instrucion_memory|rom~16_combout )))) ) ) )
+
+ .dataa(!\control_unit|mem_write~0_combout ),
+ .datab(!\instrucion_memory|rom~16_combout ),
+ .datac(!\instrucion_memory|rom~20_combout ),
+ .datad(!\instrucion_memory|rom~6_combout ),
+ .datae(!\control_unit|WideOr0~0_combout ),
+ .dataf(!\instrucion_memory|rom~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux13~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux13~0 .extended_lut = "off";
+defparam \alu_unit|Mux13~0 .lut_mask = 64'h20A0AAAA0000AAAA;
+defparam \alu_unit|Mux13~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N18
+cyclonev_lcell_comb \reg_file|Decoder0~0 (
+// Equation(s):
+// \reg_file|Decoder0~0_combout = ( \instrucion_memory|rom~2_combout & ( !\instrucion_memory|rom~4_combout & ( (!\reset~input_o & (\instrucion_memory|rom~0_combout & !\instrucion_memory|LessThan0~2_combout )) ) ) ) # ( !\instrucion_memory|rom~2_combout
+// & ( !\instrucion_memory|rom~4_combout & ( (\instrucion_memory|rom~25_combout & (!\reset~input_o & (!\instrucion_memory|rom~0_combout & !\instrucion_memory|LessThan0~2_combout ))) ) ) )
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\reset~input_o ),
+ .datac(!\instrucion_memory|rom~0_combout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(!\instrucion_memory|rom~2_combout ),
+ .dataf(!\instrucion_memory|rom~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Decoder0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Decoder0~0 .extended_lut = "off";
+defparam \reg_file|Decoder0~0 .lut_mask = 64'h40000C0000000000;
+defparam \reg_file|Decoder0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N54
+cyclonev_lcell_comb \reg_file|Decoder0~1 (
+// Equation(s):
+// \reg_file|Decoder0~1_combout = ( \reg_write_dest[1]~1_combout & ( (\reg_file|Decoder0~0_combout & (((\reg_write_dest[0]~0_combout & !\instrucion_memory|LessThan0~2_combout )) # (\control_unit|mem_to_reg[1]~1_combout ))) ) ) # (
+// !\reg_write_dest[1]~1_combout & ( (\reg_file|Decoder0~0_combout & \control_unit|mem_to_reg[1]~1_combout ) ) )
+
+ .dataa(!\reg_file|Decoder0~0_combout ),
+ .datab(!\reg_write_dest[0]~0_combout ),
+ .datac(!\control_unit|mem_to_reg[1]~1_combout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(!\reg_write_dest[1]~1_combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Decoder0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Decoder0~1 .extended_lut = "off";
+defparam \reg_file|Decoder0~1 .lut_mask = 64'h0505150505051505;
+defparam \reg_file|Decoder0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N32
+dffeas \reg_file|reg_array[7][3] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[3]~4_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][3]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][3] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N39
+cyclonev_lcell_comb \reg_file|Decoder0~2 (
+// Equation(s):
+// \reg_file|Decoder0~2_combout = ( \reg_write_dest[1]~1_combout & ( \instrucion_memory|LessThan0~2_combout & ( (\reg_file|Decoder0~0_combout & !\control_unit|mem_to_reg[1]~1_combout ) ) ) ) # ( !\reg_write_dest[1]~1_combout & (
+// \instrucion_memory|LessThan0~2_combout & ( (\reg_file|Decoder0~0_combout & !\control_unit|mem_to_reg[1]~1_combout ) ) ) ) # ( !\reg_write_dest[1]~1_combout & ( !\instrucion_memory|LessThan0~2_combout & ( (!\reg_write_dest[0]~0_combout &
+// (\reg_file|Decoder0~0_combout & !\control_unit|mem_to_reg[1]~1_combout )) ) ) )
+
+ .dataa(gnd),
+ .datab(!\reg_write_dest[0]~0_combout ),
+ .datac(!\reg_file|Decoder0~0_combout ),
+ .datad(!\control_unit|mem_to_reg[1]~1_combout ),
+ .datae(!\reg_write_dest[1]~1_combout ),
+ .dataf(!\instrucion_memory|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Decoder0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Decoder0~2 .extended_lut = "off";
+defparam \reg_file|Decoder0~2 .lut_mask = 64'h0C0000000F000F00;
+defparam \reg_file|Decoder0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N37
+dffeas \reg_file|reg_array[4][3] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[3]~4_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][3]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][3] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N3
+cyclonev_lcell_comb \reg_file|Decoder0~5 (
+// Equation(s):
+// \reg_file|Decoder0~5_combout = ( \reg_write_dest[1]~1_combout & ( (\reg_file|Decoder0~3_combout & (\instrucion_memory|LessThan0~2_combout & !\control_unit|mem_to_reg[1]~1_combout )) ) ) # ( !\reg_write_dest[1]~1_combout & (
+// (\reg_file|Decoder0~3_combout & (!\control_unit|mem_to_reg[1]~1_combout & ((!\reg_write_dest[0]~0_combout ) # (\instrucion_memory|LessThan0~2_combout )))) ) )
+
+ .dataa(!\reg_file|Decoder0~3_combout ),
+ .datab(!\instrucion_memory|LessThan0~2_combout ),
+ .datac(!\reg_write_dest[0]~0_combout ),
+ .datad(!\control_unit|mem_to_reg[1]~1_combout ),
+ .datae(!\reg_write_dest[1]~1_combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Decoder0~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Decoder0~5 .extended_lut = "off";
+defparam \reg_file|Decoder0~5 .lut_mask = 64'h5100110051001100;
+defparam \reg_file|Decoder0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N20
+dffeas \reg_file|reg_array[0][3] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[3]~4_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][3]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][3] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][3] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N24
+cyclonev_lcell_comb \instrucion_memory|rom~12 (
+// Equation(s):
+// \instrucion_memory|rom~12_combout = ( \instrucion_memory|LessThan0~1_combout & ( (!pc_current[14] & (\instrucion_memory|LessThan0~0_combout & (!pc_current[15] & \instrucion_memory|rom~9_combout ))) ) )
+
+ .dataa(!pc_current[14]),
+ .datab(!\instrucion_memory|LessThan0~0_combout ),
+ .datac(!pc_current[15]),
+ .datad(!\instrucion_memory|rom~9_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~12_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~12 .extended_lut = "off";
+defparam \instrucion_memory|rom~12 .lut_mask = 64'h0000000000200020;
+defparam \instrucion_memory|rom~12 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N39
+cyclonev_lcell_comb \reg_file|reg_read_data_1[3]~4 (
+// Equation(s):
+// \reg_file|reg_read_data_1[3]~4_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][3]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][3]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][3]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][3]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[7][3]~q ),
+ .datab(!\reg_file|reg_array[4][3]~q ),
+ .datac(!\reg_file|reg_array[3][3]~q ),
+ .datad(!\reg_file|reg_array[0][3]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[3]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[3]~4 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[3]~4 .lut_mask = 64'h00FF0F0F33335555;
+defparam \reg_file|reg_read_data_1[3]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N24
+cyclonev_lcell_comb \reg_file|reg_read_data_1[3]~5 (
+// Equation(s):
+// \reg_file|reg_read_data_1[3]~5_combout = ( \reg_file|Equal0~0_combout & ( \reg_file|reg_read_data_1[3]~4_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\reg_file|Equal0~0_combout ),
+ .dataf(!\reg_file|reg_read_data_1[3]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[3]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[3]~5 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[3]~5 .lut_mask = 64'h000000000000FFFF;
+defparam \reg_file|reg_read_data_1[3]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N54
+cyclonev_lcell_comb \alu_unit|Mux14~4 (
+// Equation(s):
+// \alu_unit|Mux14~4_combout = ( \ALU_Control_unit|WideOr2~0_combout & ( (!\read_data2[2]~3_combout & (!\read_data2[3]~2_combout & (\ALU_Control_unit|WideOr0~0_combout & \ALU_Control_unit|WideOr1~0_combout ))) ) ) # (
+// !\ALU_Control_unit|WideOr2~0_combout & ( (\ALU_Control_unit|WideOr0~0_combout & !\ALU_Control_unit|WideOr1~0_combout ) ) )
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux14~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux14~4 .extended_lut = "off";
+defparam \alu_unit|Mux14~4 .lut_mask = 64'h0F000F0000080008;
+defparam \alu_unit|Mux14~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y13_N41
+dffeas \reg_file|reg_array[3][2] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[2]~3_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][2]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][2] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N33
+cyclonev_lcell_comb \reg_file|reg_array[7][2]~feeder (
+// Equation(s):
+// \reg_file|reg_array[7][2]~feeder_combout = ( \reg_write_data[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[7][2]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[7][2]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[7][2]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[7][2]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N35
+dffeas \reg_file|reg_array[7][2] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[7][2]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][2]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][2] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N55
+dffeas \reg_file|reg_array[4][2] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[2]~3_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][2]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][2] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][2] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N42
+cyclonev_lcell_comb \reg_file|reg_read_data_1[2]~2 (
+// Equation(s):
+// \reg_file|reg_read_data_1[2]~2_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][2]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][2]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][2]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][2]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[0][2]~q ),
+ .datab(!\reg_file|reg_array[3][2]~q ),
+ .datac(!\reg_file|reg_array[7][2]~q ),
+ .datad(!\reg_file|reg_array[4][2]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[2]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[2]~2 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[2]~2 .lut_mask = 64'h5555333300FF0F0F;
+defparam \reg_file|reg_read_data_1[2]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N6
+cyclonev_lcell_comb \reg_file|reg_read_data_1[2]~3 (
+// Equation(s):
+// \reg_file|reg_read_data_1[2]~3_combout = ( \reg_file|reg_read_data_1[2]~2_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\reg_file|Equal0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[2]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[2]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[2]~3 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[2]~3 .lut_mask = 64'h0000000000FF00FF;
+defparam \reg_file|reg_read_data_1[2]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N3
+cyclonev_lcell_comb \alu_unit|Mux14~3 (
+// Equation(s):
+// \alu_unit|Mux14~3_combout = ( \ALU_Control_unit|WideOr0~0_combout & ( (!\ALU_Control_unit|WideOr2~0_combout & \ALU_Control_unit|WideOr1~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux14~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux14~3 .extended_lut = "off";
+defparam \alu_unit|Mux14~3 .lut_mask = 64'h0000000000F000F0;
+defparam \alu_unit|Mux14~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N15
+cyclonev_lcell_comb \Add0~21 (
+// Equation(s):
+// \Add0~21_sumout = SUM(( pc_current[6] ) + ( GND ) + ( \Add0~18 ))
+// \Add0~22 = CARRY(( pc_current[6] ) + ( GND ) + ( \Add0~18 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[6]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~21_sumout ),
+ .cout(\Add0~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~21 .extended_lut = "off";
+defparam \Add0~21 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \Add0~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N36
+cyclonev_lcell_comb \alu_unit|Mux11~1 (
+// Equation(s):
+// \alu_unit|Mux11~1_combout = ( \ALU_Control_unit|WideOr1~0_combout & ( (\alu_unit|Mux10~1_combout & ((!\ALU_Control_unit|WideOr2~0_combout ) # ((!\read_data2[2]~3_combout & !\read_data2[3]~2_combout )))) ) ) # ( !\ALU_Control_unit|WideOr1~0_combout &
+// ( (\alu_unit|Mux10~1_combout & !\ALU_Control_unit|WideOr2~0_combout ) ) )
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(!\alu_unit|Mux10~1_combout ),
+ .datac(!\read_data2[3]~2_combout ),
+ .datad(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux11~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux11~1 .extended_lut = "off";
+defparam \alu_unit|Mux11~1 .lut_mask = 64'h3300330033203320;
+defparam \alu_unit|Mux11~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N9
+cyclonev_lcell_comb \alu_unit|Mux11~3 (
+// Equation(s):
+// \alu_unit|Mux11~3_combout = ( \alu_unit|Mux10~1_combout & ( (!\read_data2[3]~2_combout & (\ALU_Control_unit|WideOr2~0_combout & (\ALU_Control_unit|WideOr1~0_combout & \read_data2[2]~3_combout ))) ) )
+
+ .dataa(!\read_data2[3]~2_combout ),
+ .datab(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datac(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datad(!\read_data2[2]~3_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux10~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux11~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux11~3 .extended_lut = "off";
+defparam \alu_unit|Mux11~3 .lut_mask = 64'h0000000000020002;
+defparam \alu_unit|Mux11~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N9
+cyclonev_lcell_comb \reg_file|reg_array[2][0]~feeder (
+// Equation(s):
+// \reg_file|reg_array[2][0]~feeder_combout = ( \reg_write_data[0]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[0]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[2][0]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[2][0]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[2][0]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[2][0]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y14_N11
+dffeas \reg_file|reg_array[2][0] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[2][0]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][0]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][0] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y12_N25
+dffeas \reg_file|reg_array[1][0] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[0]~6_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][0]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][0] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N12
+cyclonev_lcell_comb \reg_file|reg_array[0][0]~feeder (
+// Equation(s):
+// \reg_file|reg_array[0][0]~feeder_combout = ( \reg_write_data[0]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[0]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[0][0]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[0][0]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[0][0]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[0][0]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y14_N13
+dffeas \reg_file|reg_array[0][0] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[0][0]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][0]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][0] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N27
+cyclonev_lcell_comb \reg_file|reg_read_data_2[0]~1 (
+// Equation(s):
+// \reg_file|reg_read_data_2[0]~1_combout = ( \instrucion_memory|rom~17_combout & ( \instrucion_memory|rom~18_combout & ( \reg_file|reg_array[3][0]~q ) ) ) # ( !\instrucion_memory|rom~17_combout & ( \instrucion_memory|rom~18_combout & (
+// \reg_file|reg_array[2][0]~q ) ) ) # ( \instrucion_memory|rom~17_combout & ( !\instrucion_memory|rom~18_combout & ( \reg_file|reg_array[1][0]~q ) ) ) # ( !\instrucion_memory|rom~17_combout & ( !\instrucion_memory|rom~18_combout & (
+// \reg_file|reg_array[0][0]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[2][0]~q ),
+ .datab(!\reg_file|reg_array[1][0]~q ),
+ .datac(!\reg_file|reg_array[0][0]~q ),
+ .datad(!\reg_file|reg_array[3][0]~q ),
+ .datae(!\instrucion_memory|rom~17_combout ),
+ .dataf(!\instrucion_memory|rom~18_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[0]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[0]~1 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[0]~1 .lut_mask = 64'h0F0F3333555500FF;
+defparam \reg_file|reg_read_data_2[0]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N18
+cyclonev_lcell_comb \read_data2[0]~1 (
+// Equation(s):
+// \read_data2[0]~1_combout = ( \control_unit|WideOr0~0_combout & ( \instrucion_memory|rom~20_combout ) ) # ( !\control_unit|WideOr0~0_combout & ( (\reg_file|reg_read_data_2[0]~1_combout & !\reg_file|Equal1~0_combout ) ) )
+
+ .dataa(!\instrucion_memory|rom~20_combout ),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datad(!\reg_file|Equal1~0_combout ),
+ .datae(gnd),
+ .dataf(!\control_unit|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[0]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[0]~1 .extended_lut = "off";
+defparam \read_data2[0]~1 .lut_mask = 64'h0F000F0055555555;
+defparam \read_data2[0]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y12_N32
+dffeas \reg_file|reg_array[4][1] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[1]~2_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][1]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][1] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N2
+dffeas \reg_file|reg_array[7][1] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[1]~2_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][1]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][1] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y12_N47
+dffeas \reg_file|reg_array[3][1] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[1]~2_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][1]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][1] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N33
+cyclonev_lcell_comb \reg_file|reg_read_data_1[1]~0 (
+// Equation(s):
+// \reg_file|reg_read_data_1[1]~0_combout = ( \reg_file|reg_array[3][1]~q & ( \instrucion_memory|rom~12_combout & ( (!\instrucion_memory|rom~11_combout & (\reg_file|reg_array[4][1]~q )) # (\instrucion_memory|rom~11_combout &
+// ((\reg_file|reg_array[7][1]~q ))) ) ) ) # ( !\reg_file|reg_array[3][1]~q & ( \instrucion_memory|rom~12_combout & ( (!\instrucion_memory|rom~11_combout & (\reg_file|reg_array[4][1]~q )) # (\instrucion_memory|rom~11_combout &
+// ((\reg_file|reg_array[7][1]~q ))) ) ) ) # ( \reg_file|reg_array[3][1]~q & ( !\instrucion_memory|rom~12_combout & ( (\instrucion_memory|rom~11_combout ) # (\reg_file|reg_array[0][1]~q ) ) ) ) # ( !\reg_file|reg_array[3][1]~q & (
+// !\instrucion_memory|rom~12_combout & ( (\reg_file|reg_array[0][1]~q & !\instrucion_memory|rom~11_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_array[0][1]~q ),
+ .datab(!\reg_file|reg_array[4][1]~q ),
+ .datac(!\instrucion_memory|rom~11_combout ),
+ .datad(!\reg_file|reg_array[7][1]~q ),
+ .datae(!\reg_file|reg_array[3][1]~q ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[1]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[1]~0 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[1]~0 .lut_mask = 64'h50505F5F303F303F;
+defparam \reg_file|reg_read_data_1[1]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N9
+cyclonev_lcell_comb \reg_file|reg_read_data_1[1]~1 (
+// Equation(s):
+// \reg_file|reg_read_data_1[1]~1_combout = ( \reg_file|reg_read_data_1[1]~0_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\reg_file|Equal0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[1]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[1]~1 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[1]~1 .lut_mask = 64'h0000000000FF00FF;
+defparam \reg_file|reg_read_data_1[1]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N42
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[2]~2 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[2]~2_combout = ( \read_data2[1]~0_combout & ( (!\read_data2[0]~1_combout & \reg_file|reg_read_data_1[0]~31_combout ) ) ) # ( !\read_data2[1]~0_combout & ( (!\read_data2[0]~1_combout &
+// ((\reg_file|reg_read_data_1[2]~3_combout ))) # (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[1]~1_combout )) ) )
+
+ .dataa(!\read_data2[0]~1_combout ),
+ .datab(!\reg_file|reg_read_data_1[0]~31_combout ),
+ .datac(!\reg_file|reg_read_data_1[1]~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[2]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[2]~2 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[2]~2 .lut_mask = 64'h05AF05AF22222222;
+defparam \alu_unit|shifter_left|st2[2]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N30
+cyclonev_lcell_comb \alu_unit|Mux10~0 (
+// Equation(s):
+// \alu_unit|Mux10~0_combout = ( \ALU_Control_unit|WideOr1~0_combout & ( \read_data2[2]~3_combout & ( (\read_data2[3]~2_combout & \ALU_Control_unit|WideOr2~0_combout ) ) ) ) # ( !\ALU_Control_unit|WideOr1~0_combout & ( \read_data2[2]~3_combout & (
+// \ALU_Control_unit|WideOr2~0_combout ) ) ) # ( \ALU_Control_unit|WideOr1~0_combout & ( !\read_data2[2]~3_combout & ( \ALU_Control_unit|WideOr2~0_combout ) ) ) # ( !\ALU_Control_unit|WideOr1~0_combout & ( !\read_data2[2]~3_combout & (
+// \ALU_Control_unit|WideOr2~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\read_data2[3]~2_combout ),
+ .datad(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datae(!\ALU_Control_unit|WideOr1~0_combout ),
+ .dataf(!\read_data2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~0 .extended_lut = "off";
+defparam \alu_unit|Mux10~0 .lut_mask = 64'h00FF00FF00FF000F;
+defparam \alu_unit|Mux10~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N54
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[6]~7 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[6]~7_combout = ( \read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[3]~5_combout & ( (\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[5]~17_combout ) ) ) ) # ( !\read_data2[0]~1_combout & (
+// \reg_file|reg_read_data_1[3]~5_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[6]~19_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[4]~7_combout ))) ) ) ) # ( \read_data2[0]~1_combout & (
+// !\reg_file|reg_read_data_1[3]~5_combout & ( (\reg_file|reg_read_data_1[5]~17_combout & !\read_data2[1]~0_combout ) ) ) ) # ( !\read_data2[0]~1_combout & ( !\reg_file|reg_read_data_1[3]~5_combout & ( (!\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[6]~19_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[4]~7_combout ))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .datab(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .datac(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datad(!\read_data2[1]~0_combout ),
+ .datae(!\read_data2[0]~1_combout ),
+ .dataf(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[6]~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[6]~7 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[6]~7 .lut_mask = 64'h55330F0055330FFF;
+defparam \alu_unit|shifter_left|st2[6]~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N18
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[1]~0 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[1]~0_combout = ( \instrucion_memory|rom~16_combout & ( (!\control_unit|WideOr0~0_combout & (\reg_file|Equal0~0_combout & ((!\reg_file|reg_read_data_2[1]~0_combout ) # (\reg_file|Equal1~0_combout )))) ) ) # (
+// !\instrucion_memory|rom~16_combout & ( (\reg_file|Equal0~0_combout & (((!\reg_file|reg_read_data_2[1]~0_combout ) # (\reg_file|Equal1~0_combout )) # (\control_unit|WideOr0~0_combout ))) ) )
+
+ .dataa(!\control_unit|WideOr0~0_combout ),
+ .datab(!\reg_file|Equal0~0_combout ),
+ .datac(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datad(!\reg_file|Equal1~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~16_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[1]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[1]~0 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[1]~0 .lut_mask = 64'h3133313320222022;
+defparam \alu_unit|shifter_left|st2[1]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N30
+cyclonev_lcell_comb \Add0~41 (
+// Equation(s):
+// \Add0~41_sumout = SUM(( pc_current[11] ) + ( GND ) + ( \Add0~38 ))
+// \Add0~42 = CARRY(( pc_current[11] ) + ( GND ) + ( \Add0~38 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[11]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~41_sumout ),
+ .cout(\Add0~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~41 .extended_lut = "off";
+defparam \Add0~41 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \Add0~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N33
+cyclonev_lcell_comb \Add0~45 (
+// Equation(s):
+// \Add0~45_sumout = SUM(( pc_current[12] ) + ( GND ) + ( \Add0~42 ))
+// \Add0~46 = CARRY(( pc_current[12] ) + ( GND ) + ( \Add0~42 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!pc_current[12]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~45_sumout ),
+ .cout(\Add0~46 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~45 .extended_lut = "off";
+defparam \Add0~45 .lut_mask = 64'h0000FFFF000000FF;
+defparam \Add0~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N36
+cyclonev_lcell_comb \Add0~49 (
+// Equation(s):
+// \Add0~49_sumout = SUM(( pc_current[13] ) + ( GND ) + ( \Add0~46 ))
+// \Add0~50 = CARRY(( pc_current[13] ) + ( GND ) + ( \Add0~46 ))
+
+ .dataa(gnd),
+ .datab(!pc_current[13]),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~46 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~49_sumout ),
+ .cout(\Add0~50 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~49 .extended_lut = "off";
+defparam \Add0~49 .lut_mask = 64'h0000FFFF00003333;
+defparam \Add0~49 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N24
+cyclonev_lcell_comb \Add3~21 (
+// Equation(s):
+// \Add3~21_sumout = SUM(( \Add0~21_sumout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~4_combout & (!pc_current[14] & \instrucion_memory|rom~23_combout ))) ) + ( \Add3~18 ))
+// \Add3~22 = CARRY(( \Add0~21_sumout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~4_combout & (!pc_current[14] & \instrucion_memory|rom~23_combout ))) ) + ( \Add3~18 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~4_combout ),
+ .datac(!pc_current[14]),
+ .datad(!\Add0~21_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~23_combout ),
+ .datag(gnd),
+ .cin(\Add3~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~21_sumout ),
+ .cout(\Add3~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~21 .extended_lut = "off";
+defparam \Add3~21 .lut_mask = 64'h0000FF7F000000FF;
+defparam \Add3~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N27
+cyclonev_lcell_comb \Add3~25 (
+// Equation(s):
+// \Add3~25_sumout = SUM(( (!pc_current[15] & (!\instrucion_memory|LessThan0~4_combout & (\instrucion_memory|rom~25_combout & !pc_current[14]))) ) + ( \Add0~25_sumout ) + ( \Add3~22 ))
+// \Add3~26 = CARRY(( (!pc_current[15] & (!\instrucion_memory|LessThan0~4_combout & (\instrucion_memory|rom~25_combout & !pc_current[14]))) ) + ( \Add0~25_sumout ) + ( \Add3~22 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~4_combout ),
+ .datac(!\instrucion_memory|rom~25_combout ),
+ .datad(!pc_current[14]),
+ .datae(gnd),
+ .dataf(!\Add0~25_sumout ),
+ .datag(gnd),
+ .cin(\Add3~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~25_sumout ),
+ .cout(\Add3~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~25 .extended_lut = "off";
+defparam \Add3~25 .lut_mask = 64'h0000FF0000000800;
+defparam \Add3~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N30
+cyclonev_lcell_comb \Add3~29 (
+// Equation(s):
+// \Add3~29_sumout = SUM(( \Add0~29_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~26 ))
+// \Add3~30 = CARRY(( \Add0~29_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~26 ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|Decoder0~0_combout ),
+ .datac(!\reset~input_o ),
+ .datad(!\Add0~29_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(\Add3~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~29_sumout ),
+ .cout(\Add3~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~29 .extended_lut = "off";
+defparam \Add3~29 .lut_mask = 64'h0000BAFF000000FF;
+defparam \Add3~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N33
+cyclonev_lcell_comb \Add3~33 (
+// Equation(s):
+// \Add3~33_sumout = SUM(( \Add0~33_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~30 ))
+// \Add3~34 = CARRY(( \Add0~33_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~30 ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|Decoder0~0_combout ),
+ .datac(!\reset~input_o ),
+ .datad(!\Add0~33_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(\Add3~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~33_sumout ),
+ .cout(\Add3~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~33 .extended_lut = "off";
+defparam \Add3~33 .lut_mask = 64'h0000BAFF000000FF;
+defparam \Add3~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N36
+cyclonev_lcell_comb \Add3~37 (
+// Equation(s):
+// \Add3~37_sumout = SUM(( \Add0~37_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~34 ))
+// \Add3~38 = CARRY(( \Add0~37_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~34 ))
+
+ .dataa(!\reset~input_o ),
+ .datab(!\control_unit|Decoder0~0_combout ),
+ .datac(!\instrucion_memory|rom~25_combout ),
+ .datad(!\Add0~37_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(\Add3~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~37_sumout ),
+ .cout(\Add3~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~37 .extended_lut = "off";
+defparam \Add3~37 .lut_mask = 64'h0000F2FF000000FF;
+defparam \Add3~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N39
+cyclonev_lcell_comb \Add3~41 (
+// Equation(s):
+// \Add3~41_sumout = SUM(( \Add0~41_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~38 ))
+// \Add3~42 = CARRY(( \Add0~41_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~38 ))
+
+ .dataa(!\reset~input_o ),
+ .datab(!\control_unit|Decoder0~0_combout ),
+ .datac(!\instrucion_memory|rom~25_combout ),
+ .datad(!\Add0~41_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(\Add3~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~41_sumout ),
+ .cout(\Add3~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~41 .extended_lut = "off";
+defparam \Add3~41 .lut_mask = 64'h0000F2FF000000FF;
+defparam \Add3~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N42
+cyclonev_lcell_comb \Add3~45 (
+// Equation(s):
+// \Add3~45_sumout = SUM(( \Add0~45_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~42 ))
+// \Add3~46 = CARRY(( \Add0~45_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~42 ))
+
+ .dataa(!\reset~input_o ),
+ .datab(!\control_unit|Decoder0~0_combout ),
+ .datac(!\instrucion_memory|rom~25_combout ),
+ .datad(!\Add0~45_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(\Add3~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~45_sumout ),
+ .cout(\Add3~46 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~45 .extended_lut = "off";
+defparam \Add3~45 .lut_mask = 64'h0000F2FF000000FF;
+defparam \Add3~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N45
+cyclonev_lcell_comb \Add3~49 (
+// Equation(s):
+// \Add3~49_sumout = SUM(( \Add0~49_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~46 ))
+// \Add3~50 = CARRY(( \Add0~49_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~46 ))
+
+ .dataa(!\reset~input_o ),
+ .datab(!\control_unit|Decoder0~0_combout ),
+ .datac(!\instrucion_memory|rom~25_combout ),
+ .datad(!\Add0~49_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(\Add3~46 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~49_sumout ),
+ .cout(\Add3~50 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~49 .extended_lut = "off";
+defparam \Add3~49 .lut_mask = 64'h0000F2FF000000FF;
+defparam \Add3~49 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N0
+cyclonev_lcell_comb \instrucion_memory|rom~26 (
+// Equation(s):
+// \instrucion_memory|rom~26_combout = ( \instrucion_memory|rom~25_combout & ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|LessThan0~1_combout & \instrucion_memory|LessThan0~0_combout ))) ) )
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|LessThan0~1_combout ),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~25_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~26_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~26 .extended_lut = "off";
+defparam \instrucion_memory|rom~26 .lut_mask = 64'h0000000000080008;
+defparam \instrucion_memory|rom~26 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N18
+cyclonev_lcell_comb \Add2~25 (
+// Equation(s):
+// \Add2~25_sumout = SUM(( !\Add0~21_sumout $ (((!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & \instrucion_memory|rom~23_combout )))) ) + ( \Add2~23 ) + ( \Add2~22 ))
+// \Add2~26 = CARRY(( !\Add0~21_sumout $ (((!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & \instrucion_memory|rom~23_combout )))) ) + ( \Add2~23 ) + ( \Add2~22 ))
+// \Add2~27 = SHARE(((!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & \instrucion_memory|rom~23_combout ))) # (\Add0~21_sumout ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!\Add0~21_sumout ),
+ .datac(!pc_current[15]),
+ .datad(!\instrucion_memory|rom~23_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~22 ),
+ .sharein(\Add2~23 ),
+ .combout(),
+ .sumout(\Add2~25_sumout ),
+ .cout(\Add2~26 ),
+ .shareout(\Add2~27 ));
+// synopsys translate_off
+defparam \Add2~25 .extended_lut = "off";
+defparam \Add2~25 .lut_mask = 64'h000033B30000CC6C;
+defparam \Add2~25 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N21
+cyclonev_lcell_comb \Add2~29 (
+// Equation(s):
+// \Add2~29_sumout = SUM(( !\Add0~25_sumout $ (\instrucion_memory|rom~26_combout ) ) + ( \Add2~27 ) + ( \Add2~26 ))
+// \Add2~30 = CARRY(( !\Add0~25_sumout $ (\instrucion_memory|rom~26_combout ) ) + ( \Add2~27 ) + ( \Add2~26 ))
+// \Add2~31 = SHARE((\instrucion_memory|rom~26_combout ) # (\Add0~25_sumout ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\Add0~25_sumout ),
+ .datad(!\instrucion_memory|rom~26_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~26 ),
+ .sharein(\Add2~27 ),
+ .combout(),
+ .sumout(\Add2~29_sumout ),
+ .cout(\Add2~30 ),
+ .shareout(\Add2~31 ));
+// synopsys translate_off
+defparam \Add2~29 .extended_lut = "off";
+defparam \Add2~29 .lut_mask = 64'h00000FFF0000F00F;
+defparam \Add2~29 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N24
+cyclonev_lcell_comb \Add2~33 (
+// Equation(s):
+// \Add2~33_sumout = SUM(( !\Add0~29_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~31 ) + ( \Add2~30 ))
+// \Add2~34 = CARRY(( !\Add0~29_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~31 ) + ( \Add2~30 ))
+// \Add2~35 = SHARE(((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout ))) # (\Add0~29_sumout ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|sign_or_zero~0_combout ),
+ .datac(!\Add0~29_sumout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~30 ),
+ .sharein(\Add2~31 ),
+ .combout(),
+ .sumout(\Add2~33_sumout ),
+ .cout(\Add2~34 ),
+ .shareout(\Add2~35 ));
+// synopsys translate_off
+defparam \Add2~33 .extended_lut = "off";
+defparam \Add2~33 .lut_mask = 64'h00001F0F0000E1F0;
+defparam \Add2~33 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N27
+cyclonev_lcell_comb \Add2~37 (
+// Equation(s):
+// \Add2~37_sumout = SUM(( !\Add0~33_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~35 ) + ( \Add2~34 ))
+// \Add2~38 = CARRY(( !\Add0~33_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~35 ) + ( \Add2~34 ))
+// \Add2~39 = SHARE(((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout ))) # (\Add0~33_sumout ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|sign_or_zero~0_combout ),
+ .datac(!\Add0~33_sumout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~34 ),
+ .sharein(\Add2~35 ),
+ .combout(),
+ .sumout(\Add2~37_sumout ),
+ .cout(\Add2~38 ),
+ .shareout(\Add2~39 ));
+// synopsys translate_off
+defparam \Add2~37 .extended_lut = "off";
+defparam \Add2~37 .lut_mask = 64'h00001F0F0000E1F0;
+defparam \Add2~37 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N30
+cyclonev_lcell_comb \Add2~41 (
+// Equation(s):
+// \Add2~41_sumout = SUM(( !\Add0~37_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~39 ) + ( \Add2~38 ))
+// \Add2~42 = CARRY(( !\Add0~37_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~39 ) + ( \Add2~38 ))
+// \Add2~43 = SHARE(((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout ))) # (\Add0~37_sumout ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|sign_or_zero~0_combout ),
+ .datac(!\Add0~37_sumout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~38 ),
+ .sharein(\Add2~39 ),
+ .combout(),
+ .sumout(\Add2~41_sumout ),
+ .cout(\Add2~42 ),
+ .shareout(\Add2~43 ));
+// synopsys translate_off
+defparam \Add2~41 .extended_lut = "off";
+defparam \Add2~41 .lut_mask = 64'h00001F0F0000E1F0;
+defparam \Add2~41 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N33
+cyclonev_lcell_comb \Add2~45 (
+// Equation(s):
+// \Add2~45_sumout = SUM(( !\Add0~41_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~43 ) + ( \Add2~42 ))
+// \Add2~46 = CARRY(( !\Add0~41_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~43 ) + ( \Add2~42 ))
+// \Add2~47 = SHARE(((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout ))) # (\Add0~41_sumout ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|sign_or_zero~0_combout ),
+ .datac(!\Add0~41_sumout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~42 ),
+ .sharein(\Add2~43 ),
+ .combout(),
+ .sumout(\Add2~45_sumout ),
+ .cout(\Add2~46 ),
+ .shareout(\Add2~47 ));
+// synopsys translate_off
+defparam \Add2~45 .extended_lut = "off";
+defparam \Add2~45 .lut_mask = 64'h00001F0F0000E1F0;
+defparam \Add2~45 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N36
+cyclonev_lcell_comb \Add2~49 (
+// Equation(s):
+// \Add2~49_sumout = SUM(( !\Add0~45_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~47 ) + ( \Add2~46 ))
+// \Add2~50 = CARRY(( !\Add0~45_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~47 ) + ( \Add2~46 ))
+// \Add2~51 = SHARE(((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout ))) # (\Add0~45_sumout ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|sign_or_zero~0_combout ),
+ .datac(!\Add0~45_sumout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~46 ),
+ .sharein(\Add2~47 ),
+ .combout(),
+ .sumout(\Add2~49_sumout ),
+ .cout(\Add2~50 ),
+ .shareout(\Add2~51 ));
+// synopsys translate_off
+defparam \Add2~49 .extended_lut = "off";
+defparam \Add2~49 .lut_mask = 64'h00001F0F0000E1F0;
+defparam \Add2~49 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N39
+cyclonev_lcell_comb \Add2~53 (
+// Equation(s):
+// \Add2~53_sumout = SUM(( !\Add0~49_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~51 ) + ( \Add2~50 ))
+// \Add2~54 = CARRY(( !\Add0~49_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~51 ) + ( \Add2~50 ))
+// \Add2~55 = SHARE(((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout ))) # (\Add0~49_sumout ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|sign_or_zero~0_combout ),
+ .datac(!\Add0~49_sumout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~50 ),
+ .sharein(\Add2~51 ),
+ .combout(),
+ .sumout(\Add2~53_sumout ),
+ .cout(\Add2~54 ),
+ .shareout(\Add2~55 ));
+// synopsys translate_off
+defparam \Add2~53 .extended_lut = "off";
+defparam \Add2~53 .lut_mask = 64'h00001F0F0000E1F0;
+defparam \Add2~53 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y14_N36
+cyclonev_lcell_comb \pc_next[13]~12 (
+// Equation(s):
+// \pc_next[13]~12_combout = ( \beq_control~combout & ( \pc_current[5]~0_combout & ( \Add3~49_sumout ) ) ) # ( !\beq_control~combout & ( \pc_current[5]~0_combout & ( \Add0~49_sumout ) ) ) # ( \beq_control~combout & ( !\pc_current[5]~0_combout & (
+// \Add2~53_sumout ) ) ) # ( !\beq_control~combout & ( !\pc_current[5]~0_combout & ( \instrucion_memory|rom~12_combout ) ) )
+
+ .dataa(!\Add3~49_sumout ),
+ .datab(!\instrucion_memory|rom~12_combout ),
+ .datac(!\Add0~49_sumout ),
+ .datad(!\Add2~53_sumout ),
+ .datae(!\beq_control~combout ),
+ .dataf(!\pc_current[5]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[13]~12_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[13]~12 .extended_lut = "off";
+defparam \pc_next[13]~12 .lut_mask = 64'h333300FF0F0F5555;
+defparam \pc_next[13]~12 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y14_N20
+dffeas \reg_file|reg_array[3][13] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[13]~16_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][13]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][13] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][13] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y15_N56
+dffeas \reg_file|reg_array[1][13] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_write_data[13]~16_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][13]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][13] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][13] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N59
+dffeas \reg_file|reg_array[0][13] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[13]~16_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][13]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][13] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][13] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y15_N38
+dffeas \reg_file|reg_array[2][13] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[13]~16_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][13]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][13] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y15_N36
+cyclonev_lcell_comb \reg_file|reg_read_data_2[13]~13 (
+// Equation(s):
+// \reg_file|reg_read_data_2[13]~13_combout = ( \reg_file|reg_array[2][13]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[1][13]~q ))) # (\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[3][13]~q )) ) ) ) # ( !\reg_file|reg_array[2][13]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[1][13]~q ))) # (\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[3][13]~q )) ) ) ) # ( \reg_file|reg_array[2][13]~q & ( !\instrucion_memory|rom~17_combout & ( (\reg_file|reg_array[0][13]~q ) # (\instrucion_memory|rom~18_combout ) ) ) ) # ( !\reg_file|reg_array[2][13]~q & (
+// !\instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & \reg_file|reg_array[0][13]~q ) ) ) )
+
+ .dataa(!\reg_file|reg_array[3][13]~q ),
+ .datab(!\reg_file|reg_array[1][13]~q ),
+ .datac(!\instrucion_memory|rom~18_combout ),
+ .datad(!\reg_file|reg_array[0][13]~q ),
+ .datae(!\reg_file|reg_array[2][13]~q ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[13]~13_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[13]~13 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[13]~13 .lut_mask = 64'h00F00FFF35353535;
+defparam \reg_file|reg_read_data_2[13]~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N9
+cyclonev_lcell_comb \read_data2[13]~13 (
+// Equation(s):
+// \read_data2[13]~13_combout = ( \reg_file|reg_read_data_2[13]~13_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) # (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))) ) ) # (
+// !\reg_file|reg_read_data_2[13]~13_combout & ( (!\imm_ext[15]~0_combout & \control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(!\imm_ext[15]~0_combout ),
+ .datac(gnd),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[13]~13_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[13]~13 .extended_lut = "off";
+defparam \read_data2[13]~13 .lut_mask = 64'h00CC00CCAACCAACC;
+defparam \read_data2[13]~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N12
+cyclonev_lcell_comb \reg_file|reg_array[3][12]~feeder (
+// Equation(s):
+// \reg_file|reg_array[3][12]~feeder_combout = ( \reg_write_data[12]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[12]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[3][12]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[3][12]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[3][12]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[3][12]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y14_N14
+dffeas \reg_file|reg_array[3][12] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[3][12]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][12]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][12] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][12] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y15_N53
+dffeas \reg_file|reg_array[1][12] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_write_data[12]~10_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][12]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][12] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][12] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y15_N23
+dffeas \reg_file|reg_array[2][12] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[12]~10_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][12]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][12] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][12] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y15_N21
+cyclonev_lcell_comb \reg_file|reg_read_data_2[12]~12 (
+// Equation(s):
+// \reg_file|reg_read_data_2[12]~12_combout = ( \reg_file|reg_array[2][12]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[1][12]~q ))) # (\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[3][12]~q )) ) ) ) # ( !\reg_file|reg_array[2][12]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[1][12]~q ))) # (\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[3][12]~q )) ) ) ) # ( \reg_file|reg_array[2][12]~q & ( !\instrucion_memory|rom~17_combout & ( (\instrucion_memory|rom~18_combout ) # (\reg_file|reg_array[0][12]~q ) ) ) ) # ( !\reg_file|reg_array[2][12]~q & (
+// !\instrucion_memory|rom~17_combout & ( (\reg_file|reg_array[0][12]~q & !\instrucion_memory|rom~18_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_array[3][12]~q ),
+ .datab(!\reg_file|reg_array[0][12]~q ),
+ .datac(!\instrucion_memory|rom~18_combout ),
+ .datad(!\reg_file|reg_array[1][12]~q ),
+ .datae(!\reg_file|reg_array[2][12]~q ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[12]~12_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[12]~12 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[12]~12 .lut_mask = 64'h30303F3F05F505F5;
+defparam \reg_file|reg_read_data_2[12]~12 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N36
+cyclonev_lcell_comb \read_data2[12]~12 (
+// Equation(s):
+// \read_data2[12]~12_combout = ( \reg_file|reg_read_data_2[12]~12_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) # (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))) ) ) # (
+// !\reg_file|reg_read_data_2[12]~12_combout & ( (!\imm_ext[15]~0_combout & \control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(!\imm_ext[15]~0_combout ),
+ .datac(!\control_unit|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[12]~12_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[12]~12 .extended_lut = "off";
+defparam \read_data2[12]~12 .lut_mask = 64'h0C0C0C0CACACACAC;
+defparam \read_data2[12]~12 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N24
+cyclonev_lcell_comb \reg_file|reg_array[3][11]~feeder (
+// Equation(s):
+// \reg_file|reg_array[3][11]~feeder_combout = ( \reg_write_data[11]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[11]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[3][11]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[3][11]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[3][11]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[3][11]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y14_N26
+dffeas \reg_file|reg_array[3][11] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[3][11]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][11]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][11] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][11] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N19
+dffeas \reg_file|reg_array[7][11] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[11]~9_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][11]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][11] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y11_N18
+cyclonev_lcell_comb \reg_file|reg_array[4][11]~feeder (
+// Equation(s):
+// \reg_file|reg_array[4][11]~feeder_combout = ( \reg_write_data[11]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[11]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[4][11]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[4][11]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[4][11]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[4][11]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y11_N20
+dffeas \reg_file|reg_array[4][11] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[4][11]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][11]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][11] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y11_N3
+cyclonev_lcell_comb \reg_file|reg_read_data_1[11]~12 (
+// Equation(s):
+// \reg_file|reg_read_data_1[11]~12_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][11]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][11]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][11]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][11]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[3][11]~q ),
+ .datab(!\reg_file|reg_array[7][11]~q ),
+ .datac(!\reg_file|reg_array[0][11]~q ),
+ .datad(!\reg_file|reg_array[4][11]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[11]~12_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[11]~12 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[11]~12 .lut_mask = 64'h0F0F555500FF3333;
+defparam \reg_file|reg_read_data_1[11]~12 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N0
+cyclonev_lcell_comb \reg_file|reg_array[3][10]~feeder (
+// Equation(s):
+// \reg_file|reg_array[3][10]~feeder_combout = ( \reg_write_data[10]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[10]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[3][10]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[3][10]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[3][10]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[3][10]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y14_N2
+dffeas \reg_file|reg_array[3][10] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[3][10]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][10]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][10] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N12
+cyclonev_lcell_comb \reg_file|reg_array[7][10]~feeder (
+// Equation(s):
+// \reg_file|reg_array[7][10]~feeder_combout = ( \reg_write_data[10]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[10]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[7][10]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[7][10]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[7][10]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[7][10]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N13
+dffeas \reg_file|reg_array[7][10] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[7][10]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][10]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][10] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][10] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y16_N53
+dffeas \reg_file|reg_array[4][10] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[10]~8_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][10]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][10] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N33
+cyclonev_lcell_comb \reg_file|reg_read_data_1[10]~10 (
+// Equation(s):
+// \reg_file|reg_read_data_1[10]~10_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][10]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][10]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][10]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][10]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[3][10]~q ),
+ .datab(!\reg_file|reg_array[7][10]~q ),
+ .datac(!\reg_file|reg_array[0][10]~q ),
+ .datad(!\reg_file|reg_array[4][10]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[10]~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[10]~10 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[10]~10 .lut_mask = 64'h0F0F555500FF3333;
+defparam \reg_file|reg_read_data_1[10]~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N3
+cyclonev_lcell_comb \reg_file|reg_read_data_1[10]~11 (
+// Equation(s):
+// \reg_file|reg_read_data_1[10]~11_combout = ( \reg_file|reg_read_data_1[10]~10_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|Equal0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[10]~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[10]~11 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[10]~11 .lut_mask = 64'h000000000F0F0F0F;
+defparam \reg_file|reg_read_data_1[10]~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N12
+cyclonev_lcell_comb \alu_unit|Mux6~0 (
+// Equation(s):
+// \alu_unit|Mux6~0_combout = ( \ALU_Control_unit|WideOr2~0_combout & ( !\ALU_Control_unit|WideOr1~0_combout ) ) # ( !\ALU_Control_unit|WideOr2~0_combout & ( (!\read_data2[2]~3_combout ) # ((\ALU_Control_unit|WideOr1~0_combout & \read_data2[3]~2_combout
+// )) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\read_data2[2]~3_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux6~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux6~0 .extended_lut = "off";
+defparam \alu_unit|Mux6~0 .lut_mask = 64'hF1F1F1F1AAAAAAAA;
+defparam \alu_unit|Mux6~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N36
+cyclonev_lcell_comb \reg_file|reg_read_data_1[9]~9 (
+// Equation(s):
+// \reg_file|reg_read_data_1[9]~9_combout = ( \reg_file|reg_read_data_1[9]~8_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\reg_file|Equal0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[9]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[9]~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[9]~9 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[9]~9 .lut_mask = 64'h0000000000FF00FF;
+defparam \reg_file|reg_read_data_1[9]~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N0
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[6]~0 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[6]~0_combout = ( \reg_file|reg_read_data_1[11]~13_combout & ( \read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[10]~11_combout ))) # (\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[12]~15_combout )) ) ) ) # ( !\reg_file|reg_read_data_1[11]~13_combout & ( \read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[10]~11_combout ))) # (\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[12]~15_combout )) ) ) ) # ( \reg_file|reg_read_data_1[11]~13_combout & ( !\read_data2[0]~1_combout & ( (\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[9]~9_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[11]~13_combout
+// & ( !\read_data2[0]~1_combout & ( (\reg_file|reg_read_data_1[9]~9_combout & !\read_data2[1]~0_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datab(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .datac(!\read_data2[1]~0_combout ),
+ .datad(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .datae(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .dataf(!\read_data2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[6]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[6]~0 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[6]~0 .lut_mask = 64'h50505F5F03F303F3;
+defparam \alu_unit|shifter_right|st2[6]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y11_N21
+cyclonev_lcell_comb \alu_unit|Mux6~3 (
+// Equation(s):
+// \alu_unit|Mux6~3_combout = ( \alu_unit|Mux6~0_combout & ( \alu_unit|shifter_right|st2[6]~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux6~0_combout ),
+ .dataf(!\alu_unit|shifter_right|st2[6]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux6~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux6~3 .extended_lut = "off";
+defparam \alu_unit|Mux6~3 .lut_mask = 64'h000000000000FFFF;
+defparam \alu_unit|Mux6~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N1
+dffeas \reg_file|reg_array[0][9] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[9]~7_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][9]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][9] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][9] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y16_N41
+dffeas \reg_file|reg_array[1][9] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_write_data[9]~7_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][9]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][9] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][9] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y17_N35
+dffeas \reg_file|reg_array[2][9] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[9]~7_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][9]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][9] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y17_N33
+cyclonev_lcell_comb \reg_file|reg_read_data_2[9]~9 (
+// Equation(s):
+// \reg_file|reg_read_data_2[9]~9_combout = ( \reg_file|reg_array[2][9]~q & ( \instrucion_memory|rom~18_combout & ( (!\instrucion_memory|rom~17_combout ) # (\reg_file|reg_array[3][9]~q ) ) ) ) # ( !\reg_file|reg_array[2][9]~q & (
+// \instrucion_memory|rom~18_combout & ( (\reg_file|reg_array[3][9]~q & \instrucion_memory|rom~17_combout ) ) ) ) # ( \reg_file|reg_array[2][9]~q & ( !\instrucion_memory|rom~18_combout & ( (!\instrucion_memory|rom~17_combout &
+// (\reg_file|reg_array[0][9]~q )) # (\instrucion_memory|rom~17_combout & ((\reg_file|reg_array[1][9]~q ))) ) ) ) # ( !\reg_file|reg_array[2][9]~q & ( !\instrucion_memory|rom~18_combout & ( (!\instrucion_memory|rom~17_combout &
+// (\reg_file|reg_array[0][9]~q )) # (\instrucion_memory|rom~17_combout & ((\reg_file|reg_array[1][9]~q ))) ) ) )
+
+ .dataa(!\reg_file|reg_array[3][9]~q ),
+ .datab(!\reg_file|reg_array[0][9]~q ),
+ .datac(!\instrucion_memory|rom~17_combout ),
+ .datad(!\reg_file|reg_array[1][9]~q ),
+ .datae(!\reg_file|reg_array[2][9]~q ),
+ .dataf(!\instrucion_memory|rom~18_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[9]~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[9]~9 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[9]~9 .lut_mask = 64'h303F303F0505F5F5;
+defparam \reg_file|reg_read_data_2[9]~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N39
+cyclonev_lcell_comb \read_data2[9]~9 (
+// Equation(s):
+// \read_data2[9]~9_combout = ( \reg_file|reg_read_data_2[9]~9_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) # (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))) ) ) # (
+// !\reg_file|reg_read_data_2[9]~9_combout & ( (!\imm_ext[15]~0_combout & \control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(gnd),
+ .datac(!\imm_ext[15]~0_combout ),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[9]~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[9]~9 .extended_lut = "off";
+defparam \read_data2[9]~9 .lut_mask = 64'h00F000F0AAF0AAF0;
+defparam \read_data2[9]~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y16_N23
+dffeas \reg_file|reg_array[4][8] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[8]~14_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][8]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][8] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y14_N35
+dffeas \reg_file|reg_array[3][8] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[8]~14_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][8]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][8] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N28
+dffeas \reg_file|reg_array[7][8] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[8]~14_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][8]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][8] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N15
+cyclonev_lcell_comb \reg_file|reg_read_data_1[8]~22 (
+// Equation(s):
+// \reg_file|reg_read_data_1[8]~22_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][8]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][8]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][8]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][8]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[4][8]~q ),
+ .datab(!\reg_file|reg_array[3][8]~q ),
+ .datac(!\reg_file|reg_array[0][8]~q ),
+ .datad(!\reg_file|reg_array[7][8]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[8]~22_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[8]~22 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[8]~22 .lut_mask = 64'h0F0F3333555500FF;
+defparam \reg_file|reg_read_data_1[8]~22 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N45
+cyclonev_lcell_comb \reg_file|reg_read_data_1[8]~23 (
+// Equation(s):
+// \reg_file|reg_read_data_1[8]~23_combout = ( \reg_file|Equal0~0_combout & ( \reg_file|reg_read_data_1[8]~22_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_1[8]~22_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|Equal0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[8]~23_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[8]~23 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[8]~23 .lut_mask = 64'h000000000F0F0F0F;
+defparam \reg_file|reg_read_data_1[8]~23 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y13_N53
+dffeas \reg_file|reg_array[3][7] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[7]~13_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][7]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][7] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y13_N26
+dffeas \reg_file|reg_array[2][7] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[7]~13_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][7]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][7] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y13_N59
+dffeas \reg_file|reg_array[1][7] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[7]~13_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][7]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][7] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N23
+dffeas \reg_file|reg_array[0][7] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[7]~13_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][7]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][7] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][7] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N27
+cyclonev_lcell_comb \reg_file|reg_read_data_2[7]~7 (
+// Equation(s):
+// \reg_file|reg_read_data_2[7]~7_combout = ( \reg_file|reg_array[0][7]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[1][7]~q ))) # (\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[3][7]~q )) ) ) ) # ( !\reg_file|reg_array[0][7]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[1][7]~q ))) # (\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[3][7]~q )) ) ) ) # ( \reg_file|reg_array[0][7]~q & ( !\instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout ) # (\reg_file|reg_array[2][7]~q ) ) ) ) # ( !\reg_file|reg_array[0][7]~q & (
+// !\instrucion_memory|rom~17_combout & ( (\reg_file|reg_array[2][7]~q & \instrucion_memory|rom~18_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_array[3][7]~q ),
+ .datab(!\reg_file|reg_array[2][7]~q ),
+ .datac(!\reg_file|reg_array[1][7]~q ),
+ .datad(!\instrucion_memory|rom~18_combout ),
+ .datae(!\reg_file|reg_array[0][7]~q ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[7]~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[7]~7 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[7]~7 .lut_mask = 64'h0033FF330F550F55;
+defparam \reg_file|reg_read_data_2[7]~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N54
+cyclonev_lcell_comb \read_data2[7]~7 (
+// Equation(s):
+// \read_data2[7]~7_combout = ( \reg_file|reg_read_data_2[7]~7_combout & ( \imm_ext[15]~0_combout & ( (!\reg_file|Equal1~0_combout & !\control_unit|WideOr0~0_combout ) ) ) ) # ( \reg_file|reg_read_data_2[7]~7_combout & ( !\imm_ext[15]~0_combout & (
+// (!\reg_file|Equal1~0_combout ) # (\control_unit|WideOr0~0_combout ) ) ) ) # ( !\reg_file|reg_read_data_2[7]~7_combout & ( !\imm_ext[15]~0_combout & ( \control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(gnd),
+ .datac(!\control_unit|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .dataf(!\imm_ext[15]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[7]~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[7]~7 .extended_lut = "off";
+defparam \read_data2[7]~7 .lut_mask = 64'h0F0FAFAF0000A0A0;
+defparam \read_data2[7]~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N3
+cyclonev_lcell_comb \reg_file|reg_read_data_1[7]~21 (
+// Equation(s):
+// \reg_file|reg_read_data_1[7]~21_combout = ( \reg_file|reg_read_data_1[7]~20_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\reg_file|Equal0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[7]~20_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[7]~21_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[7]~21 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[7]~21 .lut_mask = 64'h0000000000FF00FF;
+defparam \reg_file|reg_read_data_1[7]~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N17
+dffeas \reg_file|reg_array[0][6] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[6]~12_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][6]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][6] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y13_N14
+dffeas \reg_file|reg_array[2][6] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[6]~12_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][6]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][6] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y13_N23
+dffeas \reg_file|reg_array[1][6] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[6]~12_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][6]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][6] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][6] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N15
+cyclonev_lcell_comb \reg_file|reg_read_data_2[6]~6 (
+// Equation(s):
+// \reg_file|reg_read_data_2[6]~6_combout = ( \reg_file|reg_array[1][6]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout ) # (\reg_file|reg_array[3][6]~q ) ) ) ) # ( !\reg_file|reg_array[1][6]~q & (
+// \instrucion_memory|rom~17_combout & ( (\reg_file|reg_array[3][6]~q & \instrucion_memory|rom~18_combout ) ) ) ) # ( \reg_file|reg_array[1][6]~q & ( !\instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[0][6]~q )) # (\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[2][6]~q ))) ) ) ) # ( !\reg_file|reg_array[1][6]~q & ( !\instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout &
+// (\reg_file|reg_array[0][6]~q )) # (\instrucion_memory|rom~18_combout & ((\reg_file|reg_array[2][6]~q ))) ) ) )
+
+ .dataa(!\reg_file|reg_array[0][6]~q ),
+ .datab(!\reg_file|reg_array[3][6]~q ),
+ .datac(!\reg_file|reg_array[2][6]~q ),
+ .datad(!\instrucion_memory|rom~18_combout ),
+ .datae(!\reg_file|reg_array[1][6]~q ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[6]~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[6]~6 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[6]~6 .lut_mask = 64'h550F550F0033FF33;
+defparam \reg_file|reg_read_data_2[6]~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N36
+cyclonev_lcell_comb \read_data2[6]~6 (
+// Equation(s):
+// \read_data2[6]~6_combout = ( \reg_file|reg_read_data_2[6]~6_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) # (\control_unit|WideOr0~0_combout & ((\instrucion_memory|rom~26_combout ))) ) ) # (
+// !\reg_file|reg_read_data_2[6]~6_combout & ( (\instrucion_memory|rom~26_combout & \control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(!\instrucion_memory|rom~26_combout ),
+ .datac(!\control_unit|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[6]~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[6]~6 .extended_lut = "off";
+defparam \read_data2[6]~6 .lut_mask = 64'h03030303A3A3A3A3;
+defparam \read_data2[6]~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N15
+cyclonev_lcell_comb \alu_unit|Add0~17 (
+// Equation(s):
+// \alu_unit|Add0~17_sumout = SUM(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[5]~16_combout ))) ) + ( \read_data2[5]~5_combout ) + ( \alu_unit|Add0~14 ))
+// \alu_unit|Add0~18 = CARRY(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[5]~16_combout ))) ) + ( \read_data2[5]~5_combout ) + ( \alu_unit|Add0~14 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[5]~16_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[5]~5_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~17_sumout ),
+ .cout(\alu_unit|Add0~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~17 .extended_lut = "off";
+defparam \alu_unit|Add0~17 .lut_mask = 64'h0000FF0000000008;
+defparam \alu_unit|Add0~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N18
+cyclonev_lcell_comb \alu_unit|Add0~21 (
+// Equation(s):
+// \alu_unit|Add0~21_sumout = SUM(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[6]~18_combout ))) ) + ( \read_data2[6]~6_combout ) + ( \alu_unit|Add0~18 ))
+// \alu_unit|Add0~22 = CARRY(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[6]~18_combout ))) ) + ( \read_data2[6]~6_combout ) + ( \alu_unit|Add0~18 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[6]~18_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[6]~6_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~21_sumout ),
+ .cout(\alu_unit|Add0~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~21 .extended_lut = "off";
+defparam \alu_unit|Add0~21 .lut_mask = 64'h0000FF0000000008;
+defparam \alu_unit|Add0~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N21
+cyclonev_lcell_comb \alu_unit|Add0~25 (
+// Equation(s):
+// \alu_unit|Add0~25_sumout = SUM(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[7]~20_combout ))) ) + ( \read_data2[7]~7_combout ) + ( \alu_unit|Add0~22 ))
+// \alu_unit|Add0~26 = CARRY(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[7]~20_combout ))) ) + ( \read_data2[7]~7_combout ) + ( \alu_unit|Add0~22 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[7]~20_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[7]~7_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~25_sumout ),
+ .cout(\alu_unit|Add0~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~25 .extended_lut = "off";
+defparam \alu_unit|Add0~25 .lut_mask = 64'h0000FF0000000008;
+defparam \alu_unit|Add0~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N6
+cyclonev_lcell_comb \alu_unit|Add1~66 (
+// Equation(s):
+// \alu_unit|Add1~66_cout = CARRY(( VCC ) + ( VCC ) + ( !VCC ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(),
+ .cout(\alu_unit|Add1~66_cout ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~66 .extended_lut = "off";
+defparam \alu_unit|Add1~66 .lut_mask = 64'h000000000000FFFF;
+defparam \alu_unit|Add1~66 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N9
+cyclonev_lcell_comb \alu_unit|Add1~61 (
+// Equation(s):
+// \alu_unit|Add1~61_sumout = SUM(( !\read_data2[0]~1_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|reg_read_data_1[0]~30_combout & \reg_file|Equal0~1_combout ))) ) + ( \alu_unit|Add1~66_cout ))
+// \alu_unit|Add1~62 = CARRY(( !\read_data2[0]~1_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|reg_read_data_1[0]~30_combout & \reg_file|Equal0~1_combout ))) ) + ( \alu_unit|Add1~66_cout ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|reg_read_data_1[0]~30_combout ),
+ .datad(!\read_data2[0]~1_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|Equal0~1_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~66_cout ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~61_sumout ),
+ .cout(\alu_unit|Add1~62 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~61 .extended_lut = "off";
+defparam \alu_unit|Add1~61 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~61 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N12
+cyclonev_lcell_comb \alu_unit|Add1~1 (
+// Equation(s):
+// \alu_unit|Add1~1_sumout = SUM(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[1]~0_combout ))) ) + ( !\read_data2[1]~0_combout ) + ( \alu_unit|Add1~62 ))
+// \alu_unit|Add1~2 = CARRY(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[1]~0_combout ))) ) + ( !\read_data2[1]~0_combout ) + ( \alu_unit|Add1~62 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[1]~0_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[1]~0_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~62 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~1_sumout ),
+ .cout(\alu_unit|Add1~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~1 .extended_lut = "off";
+defparam \alu_unit|Add1~1 .lut_mask = 64'h000000FF00000008;
+defparam \alu_unit|Add1~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N15
+cyclonev_lcell_comb \alu_unit|Add1~5 (
+// Equation(s):
+// \alu_unit|Add1~5_sumout = SUM(( !\read_data2[2]~3_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[2]~2_combout ))) ) + ( \alu_unit|Add1~2 ))
+// \alu_unit|Add1~6 = CARRY(( !\read_data2[2]~3_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[2]~2_combout ))) ) + ( \alu_unit|Add1~2 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[2]~3_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[2]~2_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~5_sumout ),
+ .cout(\alu_unit|Add1~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~5 .extended_lut = "off";
+defparam \alu_unit|Add1~5 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N18
+cyclonev_lcell_comb \alu_unit|Add1~9 (
+// Equation(s):
+// \alu_unit|Add1~9_sumout = SUM(( !\read_data2[3]~2_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[3]~4_combout ))) ) + ( \alu_unit|Add1~6 ))
+// \alu_unit|Add1~10 = CARRY(( !\read_data2[3]~2_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[3]~4_combout ))) ) + ( \alu_unit|Add1~6 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[3]~2_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[3]~4_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~9_sumout ),
+ .cout(\alu_unit|Add1~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~9 .extended_lut = "off";
+defparam \alu_unit|Add1~9 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N21
+cyclonev_lcell_comb \alu_unit|Add1~13 (
+// Equation(s):
+// \alu_unit|Add1~13_sumout = SUM(( !\read_data2[4]~4_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[4]~6_combout ))) ) + ( \alu_unit|Add1~10 ))
+// \alu_unit|Add1~14 = CARRY(( !\read_data2[4]~4_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[4]~6_combout ))) ) + ( \alu_unit|Add1~10 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[4]~4_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[4]~6_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~13_sumout ),
+ .cout(\alu_unit|Add1~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~13 .extended_lut = "off";
+defparam \alu_unit|Add1~13 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N24
+cyclonev_lcell_comb \alu_unit|Add1~17 (
+// Equation(s):
+// \alu_unit|Add1~17_sumout = SUM(( !\read_data2[5]~5_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[5]~16_combout ))) ) + ( \alu_unit|Add1~14 ))
+// \alu_unit|Add1~18 = CARRY(( !\read_data2[5]~5_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[5]~16_combout ))) ) + ( \alu_unit|Add1~14 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[5]~5_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[5]~16_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~14 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~17_sumout ),
+ .cout(\alu_unit|Add1~18 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~17 .extended_lut = "off";
+defparam \alu_unit|Add1~17 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N27
+cyclonev_lcell_comb \alu_unit|Add1~21 (
+// Equation(s):
+// \alu_unit|Add1~21_sumout = SUM(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[6]~18_combout ))) ) + ( !\read_data2[6]~6_combout ) + ( \alu_unit|Add1~18 ))
+// \alu_unit|Add1~22 = CARRY(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[6]~18_combout ))) ) + ( !\read_data2[6]~6_combout ) + ( \alu_unit|Add1~18 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[6]~18_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[6]~6_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~18 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~21_sumout ),
+ .cout(\alu_unit|Add1~22 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~21 .extended_lut = "off";
+defparam \alu_unit|Add1~21 .lut_mask = 64'h000000FF00000008;
+defparam \alu_unit|Add1~21 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N30
+cyclonev_lcell_comb \alu_unit|Add1~25 (
+// Equation(s):
+// \alu_unit|Add1~25_sumout = SUM(( !\read_data2[7]~7_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[7]~20_combout ))) ) + ( \alu_unit|Add1~22 ))
+// \alu_unit|Add1~26 = CARRY(( !\read_data2[7]~7_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[7]~20_combout ))) ) + ( \alu_unit|Add1~22 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[7]~7_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[7]~20_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~25_sumout ),
+ .cout(\alu_unit|Add1~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~25 .extended_lut = "off";
+defparam \alu_unit|Add1~25 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N42
+cyclonev_lcell_comb \alu_unit|Mux8~0 (
+// Equation(s):
+// \alu_unit|Mux8~0_combout = ( \alu_unit|Add0~25_sumout & ( \alu_unit|Add1~25_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout ) # ((!\read_data2[7]~7_combout & (!\ALU_Control_unit|WideOr2~0_combout & \reg_file|reg_read_data_1[7]~21_combout )) #
+// (\read_data2[7]~7_combout & ((!\ALU_Control_unit|WideOr2~0_combout ) # (\reg_file|reg_read_data_1[7]~21_combout )))) ) ) ) # ( !\alu_unit|Add0~25_sumout & ( \alu_unit|Add1~25_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout &
+// (((!\ALU_Control_unit|WideOr2~0_combout )))) # (\ALU_Control_unit|WideOr1~0_combout & ((!\read_data2[7]~7_combout & (!\ALU_Control_unit|WideOr2~0_combout & \reg_file|reg_read_data_1[7]~21_combout )) # (\read_data2[7]~7_combout &
+// ((!\ALU_Control_unit|WideOr2~0_combout ) # (\reg_file|reg_read_data_1[7]~21_combout ))))) ) ) ) # ( \alu_unit|Add0~25_sumout & ( !\alu_unit|Add1~25_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((\ALU_Control_unit|WideOr2~0_combout )))) #
+// (\ALU_Control_unit|WideOr1~0_combout & ((!\read_data2[7]~7_combout & (!\ALU_Control_unit|WideOr2~0_combout & \reg_file|reg_read_data_1[7]~21_combout )) # (\read_data2[7]~7_combout & ((!\ALU_Control_unit|WideOr2~0_combout ) #
+// (\reg_file|reg_read_data_1[7]~21_combout ))))) ) ) ) # ( !\alu_unit|Add0~25_sumout & ( !\alu_unit|Add1~25_sumout & ( (\ALU_Control_unit|WideOr1~0_combout & ((!\read_data2[7]~7_combout & (!\ALU_Control_unit|WideOr2~0_combout &
+// \reg_file|reg_read_data_1[7]~21_combout )) # (\read_data2[7]~7_combout & ((!\ALU_Control_unit|WideOr2~0_combout ) # (\reg_file|reg_read_data_1[7]~21_combout ))))) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datab(!\read_data2[7]~7_combout ),
+ .datac(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datad(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datae(!\alu_unit|Add0~25_sumout ),
+ .dataf(!\alu_unit|Add1~25_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux8~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux8~0 .extended_lut = "off";
+defparam \alu_unit|Mux8~0 .lut_mask = 64'h10511A5BB0F1BAFB;
+defparam \alu_unit|Mux8~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N42
+cyclonev_lcell_comb \alu_unit|Mux10~7 (
+// Equation(s):
+// \alu_unit|Mux10~7_combout = ( \ALU_Control_unit|WideOr2~0_combout & ( ((!\ALU_Control_unit|WideOr1~0_combout ) # (\read_data2[2]~3_combout )) # (\read_data2[3]~2_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\read_data2[2]~3_combout ),
+ .datad(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~7 .extended_lut = "off";
+defparam \alu_unit|Mux10~7 .lut_mask = 64'h00000000FF3FFF3F;
+defparam \alu_unit|Mux10~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N18
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[8]~8 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[8]~8_combout = ( \reg_file|reg_read_data_1[8]~23_combout & ( \read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[10]~11_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[8]~23_combout & (
+// \read_data2[0]~1_combout & ( (\read_data2[1]~0_combout & \reg_file|reg_read_data_1[10]~11_combout ) ) ) ) # ( \reg_file|reg_read_data_1[8]~23_combout & ( !\read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[7]~21_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[9]~9_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[8]~23_combout & ( !\read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[7]~21_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[9]~9_combout ))) ) ) )
+
+ .dataa(!\read_data2[1]~0_combout ),
+ .datab(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datac(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datad(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .datae(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .dataf(!\read_data2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[8]~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[8]~8 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[8]~8 .lut_mask = 64'h272727270055AAFF;
+defparam \alu_unit|shifter_right|st2[8]~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N24
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[0]~9 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[0]~9_combout = ( \reg_file|reg_read_data_1[15]~24_combout & ( \reg_file|reg_read_data_2[0]~1_combout & ( (\reg_file|Equal0~0_combout & ((!\control_unit|WideOr0~0_combout & ((\reg_file|Equal1~0_combout ))) #
+// (\control_unit|WideOr0~0_combout & (!\instrucion_memory|rom~20_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[15]~24_combout & ( !\reg_file|reg_read_data_2[0]~1_combout & ( (\reg_file|Equal0~0_combout & ((!\instrucion_memory|rom~20_combout ) #
+// (!\control_unit|WideOr0~0_combout ))) ) ) )
+
+ .dataa(!\instrucion_memory|rom~20_combout ),
+ .datab(!\reg_file|Equal0~0_combout ),
+ .datac(!\reg_file|Equal1~0_combout ),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[15]~24_combout ),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[0]~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[0]~9 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[0]~9 .lut_mask = 64'h0000332200000322;
+defparam \alu_unit|shifter_right|st2[0]~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N3
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[0]~10 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[0]~10_combout = ( !\read_data2[1]~0_combout & ( \alu_unit|shifter_right|st2[0]~9_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\alu_unit|shifter_right|st2[0]~9_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\read_data2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[0]~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[0]~10 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[0]~10 .lut_mask = 64'h0F0F0F0F00000000;
+defparam \alu_unit|shifter_right|st2[0]~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N27
+cyclonev_lcell_comb \reg_file|reg_read_data_1[14]~29 (
+// Equation(s):
+// \reg_file|reg_read_data_1[14]~29_combout = ( \reg_file|Equal0~0_combout & ( \reg_file|reg_read_data_1[14]~28_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_1[14]~28_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|Equal0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[14]~29_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[14]~29 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[14]~29 .lut_mask = 64'h000000000F0F0F0F;
+defparam \reg_file|reg_read_data_1[14]~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N33
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[4]~7 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[4]~7_combout = ( \reg_file|reg_read_data_1[13]~27_combout & ( \reg_file|reg_read_data_1[14]~29_combout & ( ((!\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[11]~13_combout ))) # (\read_data2[0]~1_combout &
+// (\reg_file|reg_read_data_1[12]~15_combout ))) # (\read_data2[1]~0_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[13]~27_combout & ( \reg_file|reg_read_data_1[14]~29_combout & ( (!\read_data2[1]~0_combout & ((!\read_data2[0]~1_combout &
+// ((\reg_file|reg_read_data_1[11]~13_combout ))) # (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[12]~15_combout )))) # (\read_data2[1]~0_combout & (((\read_data2[0]~1_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[13]~27_combout & (
+// !\reg_file|reg_read_data_1[14]~29_combout & ( (!\read_data2[1]~0_combout & ((!\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[11]~13_combout ))) # (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[12]~15_combout )))) #
+// (\read_data2[1]~0_combout & (((!\read_data2[0]~1_combout )))) ) ) ) # ( !\reg_file|reg_read_data_1[13]~27_combout & ( !\reg_file|reg_read_data_1[14]~29_combout & ( (!\read_data2[1]~0_combout & ((!\read_data2[0]~1_combout &
+// ((\reg_file|reg_read_data_1[11]~13_combout ))) # (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[12]~15_combout )))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .datab(!\read_data2[1]~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .datad(!\read_data2[0]~1_combout ),
+ .datae(!\reg_file|reg_read_data_1[13]~27_combout ),
+ .dataf(!\reg_file|reg_read_data_1[14]~29_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[4]~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[4]~7 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[4]~7 .lut_mask = 64'h0C443F440C773F77;
+defparam \alu_unit|shifter_right|st2[4]~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N48
+cyclonev_lcell_comb \alu_unit|Mux8~1 (
+// Equation(s):
+// \alu_unit|Mux8~1_combout = ( \alu_unit|shifter_right|st2[0]~10_combout & ( \alu_unit|shifter_right|st2[4]~7_combout & ( (!\alu_unit|Mux10~7_combout & ((!\read_data2[2]~3_combout & ((\alu_unit|shifter_right|st2[8]~8_combout ) #
+// (\read_data2[3]~2_combout ))) # (\read_data2[2]~3_combout & (!\read_data2[3]~2_combout )))) ) ) ) # ( !\alu_unit|shifter_right|st2[0]~10_combout & ( \alu_unit|shifter_right|st2[4]~7_combout & ( (!\read_data2[3]~2_combout & (!\alu_unit|Mux10~7_combout
+// & ((\alu_unit|shifter_right|st2[8]~8_combout ) # (\read_data2[2]~3_combout )))) ) ) ) # ( \alu_unit|shifter_right|st2[0]~10_combout & ( !\alu_unit|shifter_right|st2[4]~7_combout & ( (!\read_data2[2]~3_combout & (!\alu_unit|Mux10~7_combout &
+// ((\alu_unit|shifter_right|st2[8]~8_combout ) # (\read_data2[3]~2_combout )))) ) ) ) # ( !\alu_unit|shifter_right|st2[0]~10_combout & ( !\alu_unit|shifter_right|st2[4]~7_combout & ( (!\read_data2[2]~3_combout & (!\read_data2[3]~2_combout &
+// (!\alu_unit|Mux10~7_combout & \alu_unit|shifter_right|st2[8]~8_combout ))) ) ) )
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\alu_unit|Mux10~7_combout ),
+ .datad(!\alu_unit|shifter_right|st2[8]~8_combout ),
+ .datae(!\alu_unit|shifter_right|st2[0]~10_combout ),
+ .dataf(!\alu_unit|shifter_right|st2[4]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux8~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux8~1 .extended_lut = "off";
+defparam \alu_unit|Mux8~1 .lut_mask = 64'h008020A040C060E0;
+defparam \alu_unit|Mux8~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: DSP_X54_Y12_N0
+cyclonev_mac \alu_unit|Mult0~8 (
+ .sub(gnd),
+ .negate(gnd),
+ .accumulate(gnd),
+ .loadconst(gnd),
+ .ax({\reg_file|reg_read_data_1[7]~21_combout ,\reg_file|reg_read_data_1[6]~19_combout ,\reg_file|reg_read_data_1[5]~17_combout ,\reg_file|reg_read_data_1[4]~7_combout ,\reg_file|reg_read_data_1[3]~5_combout ,\reg_file|reg_read_data_1[2]~3_combout ,
+\reg_file|reg_read_data_1[1]~1_combout ,\reg_file|reg_read_data_1[0]~31_combout }),
+ .ay({\read_data2[7]~7_combout ,\read_data2[6]~6_combout ,\read_data2[5]~5_combout ,\read_data2[4]~4_combout ,\read_data2[3]~2_combout ,\read_data2[2]~3_combout ,\read_data2[1]~0_combout ,\read_data2[0]~1_combout }),
+ .az(26'b00000000000000000000000000),
+ .bx(18'b000000000000000000),
+ .by(19'b0000000000000000000),
+ .bz(18'b000000000000000000),
+ .coefsela(3'b000),
+ .coefselb(3'b000),
+ .clk(3'b000),
+ .aclr(2'b00),
+ .ena(3'b111),
+ .scanin(27'b000000000000000000000000000),
+ .chainin(1'b0),
+ .dftout(),
+ .resulta(\alu_unit|Mult0~8_RESULTA_bus ),
+ .resultb(),
+ .scanout(),
+ .chainout());
+// synopsys translate_off
+defparam \alu_unit|Mult0~8 .accumulate_clock = "none";
+defparam \alu_unit|Mult0~8 .ax_clock = "none";
+defparam \alu_unit|Mult0~8 .ax_width = 8;
+defparam \alu_unit|Mult0~8 .ay_scan_in_clock = "none";
+defparam \alu_unit|Mult0~8 .ay_scan_in_width = 8;
+defparam \alu_unit|Mult0~8 .ay_use_scan_in = "false";
+defparam \alu_unit|Mult0~8 .az_clock = "none";
+defparam \alu_unit|Mult0~8 .bx_clock = "none";
+defparam \alu_unit|Mult0~8 .by_clock = "none";
+defparam \alu_unit|Mult0~8 .by_use_scan_in = "false";
+defparam \alu_unit|Mult0~8 .bz_clock = "none";
+defparam \alu_unit|Mult0~8 .coef_a_0 = 0;
+defparam \alu_unit|Mult0~8 .coef_a_1 = 0;
+defparam \alu_unit|Mult0~8 .coef_a_2 = 0;
+defparam \alu_unit|Mult0~8 .coef_a_3 = 0;
+defparam \alu_unit|Mult0~8 .coef_a_4 = 0;
+defparam \alu_unit|Mult0~8 .coef_a_5 = 0;
+defparam \alu_unit|Mult0~8 .coef_a_6 = 0;
+defparam \alu_unit|Mult0~8 .coef_a_7 = 0;
+defparam \alu_unit|Mult0~8 .coef_b_0 = 0;
+defparam \alu_unit|Mult0~8 .coef_b_1 = 0;
+defparam \alu_unit|Mult0~8 .coef_b_2 = 0;
+defparam \alu_unit|Mult0~8 .coef_b_3 = 0;
+defparam \alu_unit|Mult0~8 .coef_b_4 = 0;
+defparam \alu_unit|Mult0~8 .coef_b_5 = 0;
+defparam \alu_unit|Mult0~8 .coef_b_6 = 0;
+defparam \alu_unit|Mult0~8 .coef_b_7 = 0;
+defparam \alu_unit|Mult0~8 .coef_sel_a_clock = "none";
+defparam \alu_unit|Mult0~8 .coef_sel_b_clock = "none";
+defparam \alu_unit|Mult0~8 .delay_scan_out_ay = "false";
+defparam \alu_unit|Mult0~8 .delay_scan_out_by = "false";
+defparam \alu_unit|Mult0~8 .enable_double_accum = "false";
+defparam \alu_unit|Mult0~8 .load_const_clock = "none";
+defparam \alu_unit|Mult0~8 .load_const_value = 0;
+defparam \alu_unit|Mult0~8 .mode_sub_location = 0;
+defparam \alu_unit|Mult0~8 .negate_clock = "none";
+defparam \alu_unit|Mult0~8 .operand_source_max = "input";
+defparam \alu_unit|Mult0~8 .operand_source_may = "input";
+defparam \alu_unit|Mult0~8 .operand_source_mbx = "input";
+defparam \alu_unit|Mult0~8 .operand_source_mby = "input";
+defparam \alu_unit|Mult0~8 .operation_mode = "m9x9";
+defparam \alu_unit|Mult0~8 .output_clock = "none";
+defparam \alu_unit|Mult0~8 .preadder_subtract_a = "false";
+defparam \alu_unit|Mult0~8 .preadder_subtract_b = "false";
+defparam \alu_unit|Mult0~8 .result_a_width = 64;
+defparam \alu_unit|Mult0~8 .signed_max = "false";
+defparam \alu_unit|Mult0~8 .signed_may = "false";
+defparam \alu_unit|Mult0~8 .signed_mbx = "false";
+defparam \alu_unit|Mult0~8 .signed_mby = "false";
+defparam \alu_unit|Mult0~8 .sub_clock = "none";
+defparam \alu_unit|Mult0~8 .use_chainadder = "false";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y13_N3
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[7]~8 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[7]~8_combout = ( \reg_file|reg_read_data_1[4]~7_combout & ( \read_data2[1]~0_combout & ( (\read_data2[0]~1_combout ) # (\reg_file|reg_read_data_1[5]~17_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[4]~7_combout & (
+// \read_data2[1]~0_combout & ( (\reg_file|reg_read_data_1[5]~17_combout & !\read_data2[0]~1_combout ) ) ) ) # ( \reg_file|reg_read_data_1[4]~7_combout & ( !\read_data2[1]~0_combout & ( (!\read_data2[0]~1_combout &
+// (\reg_file|reg_read_data_1[7]~21_combout )) # (\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[6]~19_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[4]~7_combout & ( !\read_data2[1]~0_combout & ( (!\read_data2[0]~1_combout &
+// (\reg_file|reg_read_data_1[7]~21_combout )) # (\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[6]~19_combout ))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datab(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .datac(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datad(!\read_data2[0]~1_combout ),
+ .datae(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .dataf(!\read_data2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[7]~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[7]~8 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[7]~8 .lut_mask = 64'h553355330F000FFF;
+defparam \alu_unit|shifter_left|st2[7]~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N0
+cyclonev_lcell_comb \alu_unit|Mux8~3 (
+// Equation(s):
+// \alu_unit|Mux8~3_combout = ( !\alu_unit|Mux10~7_combout & ( (!\alu_unit|Mux10~1_combout & ((((\alu_unit|Mult0~15 ))))) # (\alu_unit|Mux10~1_combout & ((!\alu_unit|Mux10~0_combout & (((\alu_unit|Mux8~1_combout )))) # (\alu_unit|Mux10~0_combout &
+// (\alu_unit|shifter_left|st2[7]~8_combout )))) ) ) # ( \alu_unit|Mux10~7_combout & ( (!\alu_unit|Mux10~1_combout & ((((\alu_unit|Mult0~15 ))))) # (\alu_unit|Mux10~1_combout & (!\alu_unit|Mux10~0_combout & (((\alu_unit|Mux8~1_combout )) #
+// (\alu_unit|shifter_left|st2[3]~3_combout )))) ) )
+
+ .dataa(!\alu_unit|Mux10~1_combout ),
+ .datab(!\alu_unit|Mux10~0_combout ),
+ .datac(!\alu_unit|shifter_left|st2[3]~3_combout ),
+ .datad(!\alu_unit|Mux8~1_combout ),
+ .datae(!\alu_unit|Mux10~7_combout ),
+ .dataf(!\alu_unit|Mult0~15 ),
+ .datag(!\alu_unit|shifter_left|st2[7]~8_combout ),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux8~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux8~3 .extended_lut = "on";
+defparam \alu_unit|Mux8~3 .lut_mask = 64'h01450444ABEFAEEE;
+defparam \alu_unit|Mux8~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y17_N27
+cyclonev_lcell_comb \alu_unit|Mux8~2 (
+// Equation(s):
+// \alu_unit|Mux8~2_combout = ( \alu_unit|Mux8~0_combout & ( \alu_unit|Mux8~3_combout ) ) # ( !\alu_unit|Mux8~0_combout & ( \alu_unit|Mux8~3_combout & ( \ALU_Control_unit|WideOr0~0_combout ) ) ) # ( \alu_unit|Mux8~0_combout & (
+// !\alu_unit|Mux8~3_combout & ( !\ALU_Control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux8~0_combout ),
+ .dataf(!\alu_unit|Mux8~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux8~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux8~2 .extended_lut = "off";
+defparam \alu_unit|Mux8~2 .lut_mask = 64'h0000F0F00F0FFFFF;
+defparam \alu_unit|Mux8~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y22_N51
+cyclonev_lcell_comb \datamem|ram~1319feeder (
+// Equation(s):
+// \datamem|ram~1319feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1319feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1319feeder .extended_lut = "off";
+defparam \datamem|ram~1319feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1319feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N27
+cyclonev_lcell_comb \control_unit|mem_write~1 (
+// Equation(s):
+// \control_unit|mem_write~1_combout = ( !\instrucion_memory|instruction[14]~0_combout & ( (\control_unit|mem_write~0_combout & \instrucion_memory|rom~1_combout ) ) )
+
+ .dataa(!\control_unit|mem_write~0_combout ),
+ .datab(gnd),
+ .datac(!\instrucion_memory|rom~1_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|instruction[14]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\control_unit|mem_write~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \control_unit|mem_write~1 .extended_lut = "off";
+defparam \control_unit|mem_write~1 .lut_mask = 64'h0505050500000000;
+defparam \control_unit|mem_write~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N39
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[0]~5 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[0]~5_combout = ( \reg_file|reg_read_data_1[0]~31_combout & ( (!\read_data2[0]~1_combout & !\read_data2[1]~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\read_data2[0]~1_combout ),
+ .datad(!\read_data2[1]~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[0]~31_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[0]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[0]~5 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[0]~5 .lut_mask = 64'h00000000F000F000;
+defparam \alu_unit|shifter_left|st2[0]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N6
+cyclonev_lcell_comb \alu_unit|Mux6~1 (
+// Equation(s):
+// \alu_unit|Mux6~1_combout = ( \read_data2[2]~3_combout & ( (!\ALU_Control_unit|WideOr2~0_combout ) # (!\ALU_Control_unit|WideOr1~0_combout ) ) ) # ( !\read_data2[2]~3_combout & ( (!\ALU_Control_unit|WideOr2~0_combout & (\read_data2[3]~2_combout &
+// \ALU_Control_unit|WideOr1~0_combout )) # (\ALU_Control_unit|WideOr2~0_combout & ((!\ALU_Control_unit|WideOr1~0_combout ))) ) )
+
+ .dataa(gnd),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux6~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux6~1 .extended_lut = "off";
+defparam \alu_unit|Mux6~1 .lut_mask = 64'h0F300F30FFF0FFF0;
+defparam \alu_unit|Mux6~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N12
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[8]~9 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[8]~9_combout = ( \reg_file|reg_read_data_1[7]~21_combout & ( \reg_file|reg_read_data_1[8]~23_combout & ( (!\read_data2[1]~0_combout ) # ((!\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[6]~19_combout ))) #
+// (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[5]~17_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[7]~21_combout & ( \reg_file|reg_read_data_1[8]~23_combout & ( (!\read_data2[0]~1_combout & (((!\read_data2[1]~0_combout ) #
+// (\reg_file|reg_read_data_1[6]~19_combout )))) # (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[5]~17_combout & ((\read_data2[1]~0_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[7]~21_combout & ( !\reg_file|reg_read_data_1[8]~23_combout & (
+// (!\read_data2[0]~1_combout & (((\reg_file|reg_read_data_1[6]~19_combout & \read_data2[1]~0_combout )))) # (\read_data2[0]~1_combout & (((!\read_data2[1]~0_combout )) # (\reg_file|reg_read_data_1[5]~17_combout ))) ) ) ) # (
+// !\reg_file|reg_read_data_1[7]~21_combout & ( !\reg_file|reg_read_data_1[8]~23_combout & ( (\read_data2[1]~0_combout & ((!\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[6]~19_combout ))) # (\read_data2[0]~1_combout &
+// (\reg_file|reg_read_data_1[5]~17_combout )))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datab(!\read_data2[0]~1_combout ),
+ .datac(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .datad(!\read_data2[1]~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .dataf(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[8]~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[8]~9 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[8]~9 .lut_mask = 64'h001D331DCC1DFF1D;
+defparam \alu_unit|shifter_left|st2[8]~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N18
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[4]~4 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[4]~4_combout = ( \read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[3]~5_combout & ( (!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[1]~1_combout ) ) ) ) # ( !\read_data2[0]~1_combout & (
+// \reg_file|reg_read_data_1[3]~5_combout & ( (!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[4]~7_combout ))) # (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[2]~3_combout )) ) ) ) # ( \read_data2[0]~1_combout & (
+// !\reg_file|reg_read_data_1[3]~5_combout & ( (\reg_file|reg_read_data_1[1]~1_combout & \read_data2[1]~0_combout ) ) ) ) # ( !\read_data2[0]~1_combout & ( !\reg_file|reg_read_data_1[3]~5_combout & ( (!\read_data2[1]~0_combout &
+// ((\reg_file|reg_read_data_1[4]~7_combout ))) # (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[2]~3_combout )) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .datab(!\reg_file|reg_read_data_1[1]~1_combout ),
+ .datac(!\read_data2[1]~0_combout ),
+ .datad(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .datae(!\read_data2[0]~1_combout ),
+ .dataf(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[4]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[4]~4 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[4]~4 .lut_mask = 64'h05F5030305F5F3F3;
+defparam \alu_unit|shifter_left|st2[4]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N48
+cyclonev_lcell_comb \alu_unit|Mux7~1 (
+// Equation(s):
+// \alu_unit|Mux7~1_combout = ( \alu_unit|shifter_left|st2[8]~9_combout & ( \alu_unit|shifter_left|st2[4]~4_combout & ( (!\alu_unit|Mux6~1_combout & ((!\read_data2[3]~2_combout ) # ((!\read_data2[2]~3_combout & \alu_unit|shifter_left|st2[0]~5_combout
+// )))) ) ) ) # ( !\alu_unit|shifter_left|st2[8]~9_combout & ( \alu_unit|shifter_left|st2[4]~4_combout & ( (!\alu_unit|Mux6~1_combout & ((!\read_data2[2]~3_combout & (\read_data2[3]~2_combout & \alu_unit|shifter_left|st2[0]~5_combout )) #
+// (\read_data2[2]~3_combout & (!\read_data2[3]~2_combout )))) ) ) ) # ( \alu_unit|shifter_left|st2[8]~9_combout & ( !\alu_unit|shifter_left|st2[4]~4_combout & ( (!\read_data2[2]~3_combout & (!\alu_unit|Mux6~1_combout & ((!\read_data2[3]~2_combout ) #
+// (\alu_unit|shifter_left|st2[0]~5_combout )))) ) ) ) # ( !\alu_unit|shifter_left|st2[8]~9_combout & ( !\alu_unit|shifter_left|st2[4]~4_combout & ( (!\read_data2[2]~3_combout & (\read_data2[3]~2_combout & (\alu_unit|shifter_left|st2[0]~5_combout &
+// !\alu_unit|Mux6~1_combout ))) ) ) )
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\alu_unit|shifter_left|st2[0]~5_combout ),
+ .datad(!\alu_unit|Mux6~1_combout ),
+ .datae(!\alu_unit|shifter_left|st2[8]~9_combout ),
+ .dataf(!\alu_unit|shifter_left|st2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux7~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux7~1 .extended_lut = "off";
+defparam \alu_unit|Mux7~1 .lut_mask = 64'h02008A004600CE00;
+defparam \alu_unit|Mux7~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N36
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[3]~13 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[3]~13_combout = ( \reg_file|reg_read_data_1[12]~15_combout & ( \reg_file|reg_read_data_1[13]~27_combout & ( (!\read_data2[1]~0_combout ) # ((!\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[14]~29_combout )) #
+// (\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[15]~25_combout )))) ) ) ) # ( !\reg_file|reg_read_data_1[12]~15_combout & ( \reg_file|reg_read_data_1[13]~27_combout & ( (!\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[14]~29_combout &
+// ((\read_data2[1]~0_combout )))) # (\read_data2[0]~1_combout & (((!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[15]~25_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[12]~15_combout & ( !\reg_file|reg_read_data_1[13]~27_combout & (
+// (!\read_data2[0]~1_combout & (((!\read_data2[1]~0_combout )) # (\reg_file|reg_read_data_1[14]~29_combout ))) # (\read_data2[0]~1_combout & (((\reg_file|reg_read_data_1[15]~25_combout & \read_data2[1]~0_combout )))) ) ) ) # (
+// !\reg_file|reg_read_data_1[12]~15_combout & ( !\reg_file|reg_read_data_1[13]~27_combout & ( (\read_data2[1]~0_combout & ((!\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[14]~29_combout )) # (\read_data2[0]~1_combout &
+// ((\reg_file|reg_read_data_1[15]~25_combout ))))) ) ) )
+
+ .dataa(!\read_data2[0]~1_combout ),
+ .datab(!\reg_file|reg_read_data_1[14]~29_combout ),
+ .datac(!\reg_file|reg_read_data_1[15]~25_combout ),
+ .datad(!\read_data2[1]~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .dataf(!\reg_file|reg_read_data_1[13]~27_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[3]~13_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[3]~13 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[3]~13 .lut_mask = 64'h0027AA275527FF27;
+defparam \alu_unit|shifter_right|st2[3]~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N18
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[7]~12 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[7]~12_combout = ( \read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[10]~11_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[9]~9_combout )) # (\read_data2[1]~0_combout &
+// ((\reg_file|reg_read_data_1[11]~13_combout ))) ) ) ) # ( !\read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[10]~11_combout & ( (\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[8]~23_combout ) ) ) ) # ( \read_data2[0]~1_combout & (
+// !\reg_file|reg_read_data_1[10]~11_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[9]~9_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[11]~13_combout ))) ) ) ) # ( !\read_data2[0]~1_combout & (
+// !\reg_file|reg_read_data_1[10]~11_combout & ( (\reg_file|reg_read_data_1[8]~23_combout & !\read_data2[1]~0_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .datab(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datac(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .datad(!\read_data2[1]~0_combout ),
+ .datae(!\read_data2[0]~1_combout ),
+ .dataf(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[7]~12_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[7]~12 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[7]~12 .lut_mask = 64'h5500330F55FF330F;
+defparam \alu_unit|shifter_right|st2[7]~12 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N30
+cyclonev_lcell_comb \alu_unit|Mux7~3 (
+// Equation(s):
+// \alu_unit|Mux7~3_combout = ( !\alu_unit|Mux6~1_combout & ( (!\alu_unit|Mux10~1_combout & ((((\alu_unit|Mult0~16 ))))) # (\alu_unit|Mux10~1_combout & ((!\alu_unit|Mux6~0_combout & (\alu_unit|Mux7~1_combout )) # (\alu_unit|Mux6~0_combout &
+// (((\alu_unit|shifter_right|st2[7]~12_combout )))))) ) ) # ( \alu_unit|Mux6~1_combout & ( (!\alu_unit|Mux10~1_combout & ((((\alu_unit|Mult0~16 ))))) # (\alu_unit|Mux10~1_combout & (!\alu_unit|Mux6~0_combout &
+// (((\alu_unit|shifter_right|st2[3]~13_combout )) # (\alu_unit|Mux7~1_combout )))) ) )
+
+ .dataa(!\alu_unit|Mux10~1_combout ),
+ .datab(!\alu_unit|Mux7~1_combout ),
+ .datac(!\alu_unit|shifter_right|st2[3]~13_combout ),
+ .datad(!\alu_unit|Mux6~0_combout ),
+ .datae(!\alu_unit|Mux6~1_combout ),
+ .dataf(!\alu_unit|Mult0~16 ),
+ .datag(!\alu_unit|shifter_right|st2[7]~12_combout ),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux7~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux7~3 .extended_lut = "on";
+defparam \alu_unit|Mux7~3 .lut_mask = 64'h11051500BBAFBFAA;
+defparam \alu_unit|Mux7~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N36
+cyclonev_lcell_comb \datamem|ram~5585 (
+// Equation(s):
+// \datamem|ram~5585_combout = ( \alu_unit|Mux8~3_combout & ( \alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (\alu_unit|Mux8~0_combout & !\alu_unit|Mux7~0_combout ))) ) ) ) # (
+// !\alu_unit|Mux8~3_combout & ( \alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (\alu_unit|Mux8~0_combout & !\alu_unit|Mux7~0_combout ))) ) ) ) # ( \alu_unit|Mux8~3_combout & (
+// !\alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (((\alu_unit|Mux8~0_combout & !\alu_unit|Mux7~0_combout )) # (\ALU_Control_unit|WideOr0~0_combout ))) ) ) ) # ( !\alu_unit|Mux8~3_combout & ( !\alu_unit|Mux7~3_combout & (
+// (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (\alu_unit|Mux8~0_combout & !\alu_unit|Mux7~0_combout ))) ) ) )
+
+ .dataa(!\control_unit|mem_write~1_combout ),
+ .datab(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datac(!\alu_unit|Mux8~0_combout ),
+ .datad(!\alu_unit|Mux7~0_combout ),
+ .datae(!\alu_unit|Mux8~3_combout ),
+ .dataf(!\alu_unit|Mux7~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5585_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5585 .extended_lut = "off";
+defparam \datamem|ram~5585 .lut_mask = 64'h0400151104000400;
+defparam \datamem|ram~5585 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y18_N9
+cyclonev_lcell_comb \datamem|ram~5469 (
+// Equation(s):
+// \datamem|ram~5469_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & !\alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5469_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5469 .extended_lut = "off";
+defparam \datamem|ram~5469 .lut_mask = 64'h0000000000008000;
+defparam \datamem|ram~5469 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y18_N36
+cyclonev_lcell_comb \datamem|ram~5610 (
+// Equation(s):
+// \datamem|ram~5610_combout = ( \datamem|ram~5469_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5469_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5610_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5610 .extended_lut = "off";
+defparam \datamem|ram~5610 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5610 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y22_N52
+dffeas \datamem|ram~1319 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1319feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1319_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1319 .is_wysiwyg = "true";
+defparam \datamem|ram~1319 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N48
+cyclonev_lcell_comb \datamem|ram~5501 (
+// Equation(s):
+// \datamem|ram~5501_combout = ( \alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux12~2_combout & !\alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5501_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5501 .extended_lut = "off";
+defparam \datamem|ram~5501 .lut_mask = 64'h0000040000000000;
+defparam \datamem|ram~5501 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N3
+cyclonev_lcell_comb \datamem|ram~5611 (
+// Equation(s):
+// \datamem|ram~5611_combout = ( \datamem|ram~5501_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5501_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5611_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5611 .extended_lut = "off";
+defparam \datamem|ram~5611 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5611 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N7
+dffeas \datamem|ram~1383 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1383_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1383 .is_wysiwyg = "true";
+defparam \datamem|ram~1383 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y22_N30
+cyclonev_lcell_comb \datamem|ram~1447feeder (
+// Equation(s):
+// \datamem|ram~1447feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1447feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1447feeder .extended_lut = "off";
+defparam \datamem|ram~1447feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1447feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N30
+cyclonev_lcell_comb \datamem|ram~5539 (
+// Equation(s):
+// \datamem|ram~5539_combout = ( \alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\alu_unit|Mux11~4_combout & !\alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5539_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5539 .extended_lut = "off";
+defparam \datamem|ram~5539 .lut_mask = 64'h0000020000000000;
+defparam \datamem|ram~5539 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N15
+cyclonev_lcell_comb \datamem|ram~5612 (
+// Equation(s):
+// \datamem|ram~5612_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5539_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5539_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5612_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5612 .extended_lut = "off";
+defparam \datamem|ram~5612 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5612 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y22_N31
+dffeas \datamem|ram~1447 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1447feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1447_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1447 .is_wysiwyg = "true";
+defparam \datamem|ram~1447 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N21
+cyclonev_lcell_comb \datamem|ram~5565 (
+// Equation(s):
+// \datamem|ram~5565_combout = ( \alu_unit|Mux11~4_combout & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux12~2_combout & !\alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5565_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5565 .extended_lut = "off";
+defparam \datamem|ram~5565 .lut_mask = 64'h0000000000000400;
+defparam \datamem|ram~5565 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N3
+cyclonev_lcell_comb \datamem|ram~5613 (
+// Equation(s):
+// \datamem|ram~5613_combout = ( \datamem|ram~5565_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5565_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5613_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5613 .extended_lut = "off";
+defparam \datamem|ram~5613 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5613 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N40
+dffeas \datamem|ram~1511 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1511_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1511 .is_wysiwyg = "true";
+defparam \datamem|ram~1511 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y18_N24
+cyclonev_lcell_comb \datamem|ram~5059 (
+// Equation(s):
+// \datamem|ram~5059_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1511_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1447_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1383_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1319_q ) ) )
+
+ .dataa(!\datamem|ram~1319_q ),
+ .datab(!\datamem|ram~1383_q ),
+ .datac(!\datamem|ram~1447_q ),
+ .datad(!\datamem|ram~1511_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5059_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5059 .extended_lut = "off";
+defparam \datamem|ram~5059 .lut_mask = 64'h555533330F0F00FF;
+defparam \datamem|ram~5059 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N54
+cyclonev_lcell_comb \datamem|ram~5563 (
+// Equation(s):
+// \datamem|ram~5563_combout = ( \alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux11~4_combout & \alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5563_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5563 .extended_lut = "off";
+defparam \datamem|ram~5563 .lut_mask = 64'h0000000400000000;
+defparam \datamem|ram~5563 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N33
+cyclonev_lcell_comb \datamem|ram~5609 (
+// Equation(s):
+// \datamem|ram~5609_combout = ( \datamem|ram~5563_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5563_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5609_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5609 .extended_lut = "off";
+defparam \datamem|ram~5609 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5609 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N53
+dffeas \datamem|ram~1495 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1495_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1495 .is_wysiwyg = "true";
+defparam \datamem|ram~1495 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N18
+cyclonev_lcell_comb \datamem|ram~5531 (
+// Equation(s):
+// \datamem|ram~5531_combout = ( \alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\alu_unit|Mux11~4_combout & !\alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5531_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5531 .extended_lut = "off";
+defparam \datamem|ram~5531 .lut_mask = 64'h0000020000000000;
+defparam \datamem|ram~5531 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y17_N51
+cyclonev_lcell_comb \datamem|ram~5608 (
+// Equation(s):
+// \datamem|ram~5608_combout = ( \datamem|ram~5531_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5531_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5608_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5608 .extended_lut = "off";
+defparam \datamem|ram~5608 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5608 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N59
+dffeas \datamem|ram~1431 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1431_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1431 .is_wysiwyg = "true";
+defparam \datamem|ram~1431 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y18_N54
+cyclonev_lcell_comb \datamem|ram~5467 (
+// Equation(s):
+// \datamem|ram~5467_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux12~2_combout & !\alu_unit|Mux13~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5467_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5467 .extended_lut = "off";
+defparam \datamem|ram~5467 .lut_mask = 64'h0000000000008000;
+defparam \datamem|ram~5467 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y18_N45
+cyclonev_lcell_comb \datamem|ram~5606 (
+// Equation(s):
+// \datamem|ram~5606_combout = ( \datamem|ram~5467_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5467_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5606_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5606 .extended_lut = "off";
+defparam \datamem|ram~5606 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5606 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y7_N31
+dffeas \datamem|ram~1303 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1303_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1303 .is_wysiwyg = "true";
+defparam \datamem|ram~1303 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y10_N57
+cyclonev_lcell_comb \datamem|ram~5499 (
+// Equation(s):
+// \datamem|ram~5499_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux11~4_combout & \alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5499_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5499 .extended_lut = "off";
+defparam \datamem|ram~5499 .lut_mask = 64'h0000000000000080;
+defparam \datamem|ram~5499 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y10_N30
+cyclonev_lcell_comb \datamem|ram~5607 (
+// Equation(s):
+// \datamem|ram~5607_combout = ( \datamem|ram~5499_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5499_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5607_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5607 .extended_lut = "off";
+defparam \datamem|ram~5607 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5607 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N32
+dffeas \datamem|ram~1367 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1367_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1367 .is_wysiwyg = "true";
+defparam \datamem|ram~1367 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N30
+cyclonev_lcell_comb \datamem|ram~5058 (
+// Equation(s):
+// \datamem|ram~5058_combout = ( \datamem|ram~1367_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1431_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1495_q )) ) ) ) # ( !\datamem|ram~1367_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1431_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1495_q )) ) ) ) # ( \datamem|ram~1367_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1303_q ) ) ) ) # ( !\datamem|ram~1367_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1303_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1495_q ),
+ .datab(!\datamem|ram~1431_q ),
+ .datac(!\datamem|ram~1303_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1367_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5058_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5058 .extended_lut = "off";
+defparam \datamem|ram~5058 .lut_mask = 64'h0F000FFF33553355;
+defparam \datamem|ram~5058 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N48
+cyclonev_lcell_comb \datamem|ram~1463feeder (
+// Equation(s):
+// \datamem|ram~1463feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1463feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1463feeder .extended_lut = "off";
+defparam \datamem|ram~1463feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1463feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N54
+cyclonev_lcell_comb \datamem|ram~5547 (
+// Equation(s):
+// \datamem|ram~5547_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux10~6_combout & \alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5547_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5547 .extended_lut = "off";
+defparam \datamem|ram~5547 .lut_mask = 64'h0000000000000008;
+defparam \datamem|ram~5547 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N12
+cyclonev_lcell_comb \datamem|ram~5616 (
+// Equation(s):
+// \datamem|ram~5616_combout = ( \datamem|ram~5547_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5547_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5616_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5616 .extended_lut = "off";
+defparam \datamem|ram~5616 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5616 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N49
+dffeas \datamem|ram~1463 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1463feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1463_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1463 .is_wysiwyg = "true";
+defparam \datamem|ram~1463 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N6
+cyclonev_lcell_comb \datamem|ram~1399feeder (
+// Equation(s):
+// \datamem|ram~1399feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1399feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1399feeder .extended_lut = "off";
+defparam \datamem|ram~1399feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1399feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N24
+cyclonev_lcell_comb \datamem|ram~5503 (
+// Equation(s):
+// \datamem|ram~5503_combout = ( \alu_unit|Mux12~2_combout & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux10~6_combout & (\alu_unit|Mux13~4_combout & \alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5503_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5503 .extended_lut = "off";
+defparam \datamem|ram~5503 .lut_mask = 64'h0000000200000000;
+defparam \datamem|ram~5503 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y6_N30
+cyclonev_lcell_comb \datamem|ram~5615 (
+// Equation(s):
+// \datamem|ram~5615_combout = ( \datamem|ram~5503_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5503_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5615_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5615 .extended_lut = "off";
+defparam \datamem|ram~5615 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5615 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N8
+dffeas \datamem|ram~1399 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1399feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1399_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1399 .is_wysiwyg = "true";
+defparam \datamem|ram~1399 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N57
+cyclonev_lcell_comb \datamem|ram~5471 (
+// Equation(s):
+// \datamem|ram~5471_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux12~2_combout & !\alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5471_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5471 .extended_lut = "off";
+defparam \datamem|ram~5471 .lut_mask = 64'h0000000000002000;
+defparam \datamem|ram~5471 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N36
+cyclonev_lcell_comb \datamem|ram~5614 (
+// Equation(s):
+// \datamem|ram~5614_combout = ( \datamem|ram~5471_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5471_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5614_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5614 .extended_lut = "off";
+defparam \datamem|ram~5614 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5614 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N46
+dffeas \datamem|ram~1335 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1335_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1335 .is_wysiwyg = "true";
+defparam \datamem|ram~1335 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y9_N51
+cyclonev_lcell_comb \datamem|ram~5567 (
+// Equation(s):
+// \datamem|ram~5567_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux9~4_combout & \alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5567_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5567 .extended_lut = "off";
+defparam \datamem|ram~5567 .lut_mask = 64'h0000000000000010;
+defparam \datamem|ram~5567 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y9_N30
+cyclonev_lcell_comb \datamem|ram~5617 (
+// Equation(s):
+// \datamem|ram~5617_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5567_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5567_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5617_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5617 .extended_lut = "off";
+defparam \datamem|ram~5617 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5617 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N14
+dffeas \datamem|ram~1527 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1527_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1527 .is_wysiwyg = "true";
+defparam \datamem|ram~1527 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N12
+cyclonev_lcell_comb \datamem|ram~5060 (
+// Equation(s):
+// \datamem|ram~5060_combout = ( \datamem|ram~1527_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1463_q ) ) ) ) # ( !\datamem|ram~1527_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1463_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1527_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1335_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1399_q )) ) ) ) # ( !\datamem|ram~1527_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1335_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1399_q )) ) ) )
+
+ .dataa(!\datamem|ram~1463_q ),
+ .datab(!\datamem|ram~1399_q ),
+ .datac(!\datamem|ram~1335_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1527_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5060_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5060 .extended_lut = "off";
+defparam \datamem|ram~5060 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5060 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N15
+cyclonev_lcell_comb \datamem|ram~5523 (
+// Equation(s):
+// \datamem|ram~5523_combout = ( \alu_unit|Mux11~4_combout & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux12~2_combout & \alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5523_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5523 .extended_lut = "off";
+defparam \datamem|ram~5523 .lut_mask = 64'h0000008000000000;
+defparam \datamem|ram~5523 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y18_N36
+cyclonev_lcell_comb \datamem|ram~5604 (
+// Equation(s):
+// \datamem|ram~5604_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5523_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5523_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5604_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5604 .extended_lut = "off";
+defparam \datamem|ram~5604 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5604 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N37
+dffeas \datamem|ram~1415 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1415_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1415 .is_wysiwyg = "true";
+defparam \datamem|ram~1415 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N51
+cyclonev_lcell_comb \datamem|ram~5465 (
+// Equation(s):
+// \datamem|ram~5465_combout = ( \alu_unit|Mux10~6_combout & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux13~4_combout & !\alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5465_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5465 .extended_lut = "off";
+defparam \datamem|ram~5465 .lut_mask = 64'h0000800000000000;
+defparam \datamem|ram~5465 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N21
+cyclonev_lcell_comb \datamem|ram~5602 (
+// Equation(s):
+// \datamem|ram~5602_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5465_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5465_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5602_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5602 .extended_lut = "off";
+defparam \datamem|ram~5602 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5602 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y18_N59
+dffeas \datamem|ram~1287 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1287_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1287 .is_wysiwyg = "true";
+defparam \datamem|ram~1287 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N33
+cyclonev_lcell_comb \datamem|ram~5561 (
+// Equation(s):
+// \datamem|ram~5561_combout = ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\alu_unit|Mux12~2_combout & \alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5561_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5561 .extended_lut = "off";
+defparam \datamem|ram~5561 .lut_mask = 64'h0002000000000000;
+defparam \datamem|ram~5561 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N15
+cyclonev_lcell_comb \datamem|ram~5605 (
+// Equation(s):
+// \datamem|ram~5605_combout = ( \datamem|ram~5561_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5561_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5605_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5605 .extended_lut = "off";
+defparam \datamem|ram~5605 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5605 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N46
+dffeas \datamem|ram~1479 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1479_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1479 .is_wysiwyg = "true";
+defparam \datamem|ram~1479 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N36
+cyclonev_lcell_comb \datamem|ram~5497 (
+// Equation(s):
+// \datamem|ram~5497_combout = ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux11~4_combout & \alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5497_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5497 .extended_lut = "off";
+defparam \datamem|ram~5497 .lut_mask = 64'h0020000000000000;
+defparam \datamem|ram~5497 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N45
+cyclonev_lcell_comb \datamem|ram~5603 (
+// Equation(s):
+// \datamem|ram~5603_combout = ( \datamem|ram~5497_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(!\datamem|ram~5497_combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5603_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5603 .extended_lut = "off";
+defparam \datamem|ram~5603 .lut_mask = 64'h000000FF000000FF;
+defparam \datamem|ram~5603 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y18_N2
+dffeas \datamem|ram~1351 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1351_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1351 .is_wysiwyg = "true";
+defparam \datamem|ram~1351 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y18_N0
+cyclonev_lcell_comb \datamem|ram~5057 (
+// Equation(s):
+// \datamem|ram~5057_combout = ( \datamem|ram~1351_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~1479_q ) ) ) ) # ( !\datamem|ram~1351_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1479_q &
+// \alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1351_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1287_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1415_q )) ) ) ) # ( !\datamem|ram~1351_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1287_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1415_q )) ) ) )
+
+ .dataa(!\datamem|ram~1415_q ),
+ .datab(!\datamem|ram~1287_q ),
+ .datac(!\datamem|ram~1479_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1351_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5057_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5057 .extended_lut = "off";
+defparam \datamem|ram~5057 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~5057 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N12
+cyclonev_lcell_comb \datamem|ram~5061 (
+// Equation(s):
+// \datamem|ram~5061_combout = ( \datamem|ram~5060_combout & ( \datamem|ram~5057_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~5058_combout )))) # (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) #
+// (\datamem|ram~5059_combout ))) ) ) ) # ( !\datamem|ram~5060_combout & ( \datamem|ram~5057_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~5058_combout )))) # (\alu_unit|Mux13~4_combout &
+// (\datamem|ram~5059_combout & ((!\alu_unit|Mux14~6_combout )))) ) ) ) # ( \datamem|ram~5060_combout & ( !\datamem|ram~5057_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~5058_combout & \alu_unit|Mux14~6_combout )))) #
+// (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) # (\datamem|ram~5059_combout ))) ) ) ) # ( !\datamem|ram~5060_combout & ( !\datamem|ram~5057_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~5058_combout &
+// \alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~5059_combout & ((!\alu_unit|Mux14~6_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~5059_combout ),
+ .datac(!\datamem|ram~5058_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~5060_combout ),
+ .dataf(!\datamem|ram~5057_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5061_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5061 .extended_lut = "off";
+defparam \datamem|ram~5061 .lut_mask = 64'h110A115FBB0ABB5F;
+defparam \datamem|ram~5061 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y10_N39
+cyclonev_lcell_comb \datamem|ram~5459 (
+// Equation(s):
+// \datamem|ram~5459_combout = ( !\alu_unit|Mux11~4_combout & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux13~4_combout & \alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5459_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5459 .extended_lut = "off";
+defparam \datamem|ram~5459 .lut_mask = 64'h0080000000000000;
+defparam \datamem|ram~5459 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y10_N3
+cyclonev_lcell_comb \datamem|ram~5590 (
+// Equation(s):
+// \datamem|ram~5590_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5459_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5459_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5590_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5590 .extended_lut = "off";
+defparam \datamem|ram~5590 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5590 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y14_N26
+dffeas \datamem|ram~1047 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1047_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1047 .is_wysiwyg = "true";
+defparam \datamem|ram~1047 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y10_N21
+cyclonev_lcell_comb \datamem|ram~1175feeder (
+// Equation(s):
+// \datamem|ram~1175feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1175feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1175feeder .extended_lut = "off";
+defparam \datamem|ram~1175feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1175feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N12
+cyclonev_lcell_comb \datamem|ram~5529 (
+// Equation(s):
+// \datamem|ram~5529_combout = ( \alu_unit|Mux11~4_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & !\alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5529_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5529 .extended_lut = "off";
+defparam \datamem|ram~5529 .lut_mask = 64'h0000000000008000;
+defparam \datamem|ram~5529 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N9
+cyclonev_lcell_comb \datamem|ram~5592 (
+// Equation(s):
+// \datamem|ram~5592_combout = ( \datamem|ram~5529_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5529_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5592_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5592 .extended_lut = "off";
+defparam \datamem|ram~5592 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5592 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y10_N22
+dffeas \datamem|ram~1175 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1175feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1175_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1175 .is_wysiwyg = "true";
+defparam \datamem|ram~1175 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y23_N33
+cyclonev_lcell_comb \datamem|ram~5555 (
+// Equation(s):
+// \datamem|ram~5555_combout = ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux12~2_combout & !\alu_unit|Mux13~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5555_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5555 .extended_lut = "off";
+defparam \datamem|ram~5555 .lut_mask = 64'h0000000004000000;
+defparam \datamem|ram~5555 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y19_N0
+cyclonev_lcell_comb \datamem|ram~5593 (
+// Equation(s):
+// \datamem|ram~5593_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5555_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5555_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5593_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5593 .extended_lut = "off";
+defparam \datamem|ram~5593 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5593 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N5
+dffeas \datamem|ram~1239 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1239_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1239 .is_wysiwyg = "true";
+defparam \datamem|ram~1239 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N18
+cyclonev_lcell_comb \datamem|ram~5491 (
+// Equation(s):
+// \datamem|ram~5491_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux13~4_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5491_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5491 .extended_lut = "off";
+defparam \datamem|ram~5491 .lut_mask = 64'h0000000000008000;
+defparam \datamem|ram~5491 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N45
+cyclonev_lcell_comb \datamem|ram~5591 (
+// Equation(s):
+// \datamem|ram~5591_combout = ( \datamem|ram~5491_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(!\datamem|ram~5585_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5491_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5591_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5591 .extended_lut = "off";
+defparam \datamem|ram~5591 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5591 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y14_N8
+dffeas \datamem|ram~1111 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1111_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1111 .is_wysiwyg = "true";
+defparam \datamem|ram~1111 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y14_N6
+cyclonev_lcell_comb \datamem|ram~5053 (
+// Equation(s):
+// \datamem|ram~5053_combout = ( \datamem|ram~1111_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1175_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1239_q ))) ) ) ) # ( !\datamem|ram~1111_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1175_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1239_q ))) ) ) ) # ( \datamem|ram~1111_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1047_q ) ) ) ) # ( !\datamem|ram~1111_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1047_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1047_q ),
+ .datab(!\datamem|ram~1175_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1239_q ),
+ .datae(!\datamem|ram~1111_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5053_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5053 .extended_lut = "off";
+defparam \datamem|ram~5053 .lut_mask = 64'h50505F5F303F303F;
+defparam \datamem|ram~5053 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y15_N18
+cyclonev_lcell_comb \datamem|ram~5521 (
+// Equation(s):
+// \datamem|ram~5521_combout = ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux13~4_combout & !\alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5521_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5521 .extended_lut = "off";
+defparam \datamem|ram~5521 .lut_mask = 64'h0000000080000000;
+defparam \datamem|ram~5521 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y17_N48
+cyclonev_lcell_comb \datamem|ram~5588 (
+// Equation(s):
+// \datamem|ram~5588_combout = ( \datamem|ram~5521_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5521_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5588_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5588 .extended_lut = "off";
+defparam \datamem|ram~5588 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5588 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N17
+dffeas \datamem|ram~1159 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1159_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1159 .is_wysiwyg = "true";
+defparam \datamem|ram~1159 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N24
+cyclonev_lcell_comb \datamem|ram~5553 (
+// Equation(s):
+// \datamem|ram~5553_combout = ( \alu_unit|Mux11~4_combout & ( !\alu_unit|Mux13~4_combout & ( (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux14~6_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5553_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5553 .extended_lut = "off";
+defparam \datamem|ram~5553 .lut_mask = 64'h0000400000000000;
+defparam \datamem|ram~5553 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N3
+cyclonev_lcell_comb \datamem|ram~5589 (
+// Equation(s):
+// \datamem|ram~5589_combout = ( \datamem|ram~5553_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5553_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5589_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5589 .extended_lut = "off";
+defparam \datamem|ram~5589 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5589 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N41
+dffeas \datamem|ram~1223 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1223_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1223 .is_wysiwyg = "true";
+defparam \datamem|ram~1223 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N33
+cyclonev_lcell_comb \datamem|ram~5456 (
+// Equation(s):
+// \datamem|ram~5456_combout = ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux14~6_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5456_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5456 .extended_lut = "off";
+defparam \datamem|ram~5456 .lut_mask = 64'h8000000000000000;
+defparam \datamem|ram~5456 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N27
+cyclonev_lcell_comb \datamem|ram~5586 (
+// Equation(s):
+// \datamem|ram~5586_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5456_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5456_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5586_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5586 .extended_lut = "off";
+defparam \datamem|ram~5586 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5586 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N29
+dffeas \datamem|ram~1031 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1031_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1031 .is_wysiwyg = "true";
+defparam \datamem|ram~1031 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N48
+cyclonev_lcell_comb \datamem|ram~5489 (
+// Equation(s):
+// \datamem|ram~5489_combout = ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux13~4_combout & ( (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux14~6_combout & !\alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5489_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5489 .extended_lut = "off";
+defparam \datamem|ram~5489 .lut_mask = 64'h4000000000000000;
+defparam \datamem|ram~5489 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N54
+cyclonev_lcell_comb \datamem|ram~5587 (
+// Equation(s):
+// \datamem|ram~5587_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5489_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5489_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5587_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5587 .extended_lut = "off";
+defparam \datamem|ram~5587 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5587 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N20
+dffeas \datamem|ram~1095 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1095_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1095 .is_wysiwyg = "true";
+defparam \datamem|ram~1095 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N18
+cyclonev_lcell_comb \datamem|ram~5052 (
+// Equation(s):
+// \datamem|ram~5052_combout = ( \datamem|ram~1095_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1159_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1223_q ))) ) ) ) # ( !\datamem|ram~1095_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1159_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1223_q ))) ) ) ) # ( \datamem|ram~1095_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1031_q ) ) ) ) # ( !\datamem|ram~1095_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1031_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1159_q ),
+ .datab(!\datamem|ram~1223_q ),
+ .datac(!\datamem|ram~1031_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1095_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5052_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5052 .extended_lut = "off";
+defparam \datamem|ram~5052 .lut_mask = 64'h0F000FFF55335533;
+defparam \datamem|ram~5052 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N39
+cyclonev_lcell_comb \datamem|ram~1127feeder (
+// Equation(s):
+// \datamem|ram~1127feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1127feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1127feeder .extended_lut = "off";
+defparam \datamem|ram~1127feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1127feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N39
+cyclonev_lcell_comb \datamem|ram~5493 (
+// Equation(s):
+// \datamem|ram~5493_combout = ( \alu_unit|Mux12~2_combout & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux10~6_combout & \alu_unit|Mux13~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5493_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5493 .extended_lut = "off";
+defparam \datamem|ram~5493 .lut_mask = 64'h0000008000000000;
+defparam \datamem|ram~5493 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N42
+cyclonev_lcell_comb \datamem|ram~5595 (
+// Equation(s):
+// \datamem|ram~5595_combout = ( \datamem|ram~5493_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(!\datamem|ram~5585_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5493_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5595_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5595 .extended_lut = "off";
+defparam \datamem|ram~5595 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5595 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N40
+dffeas \datamem|ram~1127 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1127feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1127_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1127 .is_wysiwyg = "true";
+defparam \datamem|ram~1127 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N24
+cyclonev_lcell_comb \datamem|ram~1063feeder (
+// Equation(s):
+// \datamem|ram~1063feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1063feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1063feeder .extended_lut = "off";
+defparam \datamem|ram~1063feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1063feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y9_N21
+cyclonev_lcell_comb \datamem|ram~5461 (
+// Equation(s):
+// \datamem|ram~5461_combout = ( !\alu_unit|Mux11~4_combout & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux14~6_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5461_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5461 .extended_lut = "off";
+defparam \datamem|ram~5461 .lut_mask = 64'h0000000080000000;
+defparam \datamem|ram~5461 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y9_N33
+cyclonev_lcell_comb \datamem|ram~5594 (
+// Equation(s):
+// \datamem|ram~5594_combout = ( \datamem|ram~5461_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5461_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5594_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5594 .extended_lut = "off";
+defparam \datamem|ram~5594 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5594 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N25
+dffeas \datamem|ram~1063 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1063feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1063_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1063 .is_wysiwyg = "true";
+defparam \datamem|ram~1063 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N54
+cyclonev_lcell_comb \datamem|ram~5537 (
+// Equation(s):
+// \datamem|ram~5537_combout = ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux9~4_combout & \alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5537_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5537 .extended_lut = "off";
+defparam \datamem|ram~5537 .lut_mask = 64'h0000000000800000;
+defparam \datamem|ram~5537 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N30
+cyclonev_lcell_comb \datamem|ram~5596 (
+// Equation(s):
+// \datamem|ram~5596_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5537_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5537_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5596_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5596 .extended_lut = "off";
+defparam \datamem|ram~5596 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5596 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N16
+dffeas \datamem|ram~1191 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1191_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1191 .is_wysiwyg = "true";
+defparam \datamem|ram~1191 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y16_N51
+cyclonev_lcell_comb \datamem|ram~5557 (
+// Equation(s):
+// \datamem|ram~5557_combout = ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux13~4_combout & !\alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5557_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5557 .extended_lut = "off";
+defparam \datamem|ram~5557 .lut_mask = 64'h0000000004000000;
+defparam \datamem|ram~5557 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N48
+cyclonev_lcell_comb \datamem|ram~5597 (
+// Equation(s):
+// \datamem|ram~5597_combout = ( \datamem|ram~5557_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5557_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5597_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5597 .extended_lut = "off";
+defparam \datamem|ram~5597 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5597 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N50
+dffeas \datamem|ram~1255 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1255_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1255 .is_wysiwyg = "true";
+defparam \datamem|ram~1255 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N48
+cyclonev_lcell_comb \datamem|ram~5054 (
+// Equation(s):
+// \datamem|ram~5054_combout = ( \datamem|ram~1255_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1127_q ) ) ) ) # ( !\datamem|ram~1255_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1127_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1255_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1063_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1191_q ))) ) ) ) # ( !\datamem|ram~1255_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1063_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1191_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1127_q ),
+ .datab(!\datamem|ram~1063_q ),
+ .datac(!\datamem|ram~1191_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1255_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5054_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5054 .extended_lut = "off";
+defparam \datamem|ram~5054 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5054 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N48
+cyclonev_lcell_comb \datamem|ram~1079feeder (
+// Equation(s):
+// \datamem|ram~1079feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1079feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1079feeder .extended_lut = "off";
+defparam \datamem|ram~1079feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1079feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y9_N18
+cyclonev_lcell_comb \datamem|ram~5463 (
+// Equation(s):
+// \datamem|ram~5463_combout = ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux13~4_combout & !\alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5463_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5463 .extended_lut = "off";
+defparam \datamem|ram~5463 .lut_mask = 64'h0000000008000000;
+defparam \datamem|ram~5463 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N54
+cyclonev_lcell_comb \datamem|ram~5598 (
+// Equation(s):
+// \datamem|ram~5598_combout = ( \datamem|ram~5463_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5463_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5598_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5598 .extended_lut = "off";
+defparam \datamem|ram~5598 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5598 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N50
+dffeas \datamem|ram~1079 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1079feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1079_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1079 .is_wysiwyg = "true";
+defparam \datamem|ram~1079 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N6
+cyclonev_lcell_comb \datamem|ram~1207feeder (
+// Equation(s):
+// \datamem|ram~1207feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1207feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1207feeder .extended_lut = "off";
+defparam \datamem|ram~1207feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1207feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N33
+cyclonev_lcell_comb \datamem|ram~5545 (
+// Equation(s):
+// \datamem|ram~5545_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux12~2_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5545_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5545 .extended_lut = "off";
+defparam \datamem|ram~5545 .lut_mask = 64'h0000000000004000;
+defparam \datamem|ram~5545 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N24
+cyclonev_lcell_comb \datamem|ram~5600 (
+// Equation(s):
+// \datamem|ram~5600_combout = ( \datamem|ram~5545_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5545_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5600_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5600 .extended_lut = "off";
+defparam \datamem|ram~5600 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5600 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N8
+dffeas \datamem|ram~1207 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1207feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1207_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1207 .is_wysiwyg = "true";
+defparam \datamem|ram~1207 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N0
+cyclonev_lcell_comb \datamem|ram~1143feeder (
+// Equation(s):
+// \datamem|ram~1143feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1143feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1143feeder .extended_lut = "off";
+defparam \datamem|ram~1143feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1143feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y6_N21
+cyclonev_lcell_comb \datamem|ram~5495 (
+// Equation(s):
+// \datamem|ram~5495_combout = ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux12~2_combout & (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux10~6_combout & !\alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5495_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5495 .extended_lut = "off";
+defparam \datamem|ram~5495 .lut_mask = 64'h0000000010000000;
+defparam \datamem|ram~5495 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N3
+cyclonev_lcell_comb \datamem|ram~5599 (
+// Equation(s):
+// \datamem|ram~5599_combout = ( \datamem|ram~5495_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5495_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5599_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5599 .extended_lut = "off";
+defparam \datamem|ram~5599 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5599 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N2
+dffeas \datamem|ram~1143 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1143feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1143_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1143 .is_wysiwyg = "true";
+defparam \datamem|ram~1143 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N42
+cyclonev_lcell_comb \datamem|ram~5559 (
+// Equation(s):
+// \datamem|ram~5559_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux9~4_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5559_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5559 .extended_lut = "off";
+defparam \datamem|ram~5559 .lut_mask = 64'h0000000000001000;
+defparam \datamem|ram~5559 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N6
+cyclonev_lcell_comb \datamem|ram~5601 (
+// Equation(s):
+// \datamem|ram~5601_combout = ( \datamem|ram~5559_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5559_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5601_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5601 .extended_lut = "off";
+defparam \datamem|ram~5601 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5601 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y7_N37
+dffeas \datamem|ram~1271 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1271_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1271 .is_wysiwyg = "true";
+defparam \datamem|ram~1271 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N45
+cyclonev_lcell_comb \datamem|ram~5055 (
+// Equation(s):
+// \datamem|ram~5055_combout = ( \alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~1271_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~1143_q ) ) ) # ( \alu_unit|Mux11~4_combout & (
+// !\alu_unit|Mux12~2_combout & ( \datamem|ram~1207_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( !\alu_unit|Mux12~2_combout & ( \datamem|ram~1079_q ) ) )
+
+ .dataa(!\datamem|ram~1079_q ),
+ .datab(!\datamem|ram~1207_q ),
+ .datac(!\datamem|ram~1143_q ),
+ .datad(!\datamem|ram~1271_q ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5055_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5055 .extended_lut = "off";
+defparam \datamem|ram~5055 .lut_mask = 64'h555533330F0F00FF;
+defparam \datamem|ram~5055 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y14_N9
+cyclonev_lcell_comb \datamem|ram~5056 (
+// Equation(s):
+// \datamem|ram~5056_combout = ( \datamem|ram~5054_combout & ( \datamem|ram~5055_combout & ( ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5052_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5053_combout ))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~5054_combout & ( \datamem|ram~5055_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout & \datamem|ram~5052_combout )))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~5053_combout ))) ) ) ) # ( \datamem|ram~5054_combout & ( !\datamem|ram~5055_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~5052_combout ) # (\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~5053_combout & (!\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5054_combout & ( !\datamem|ram~5055_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5052_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~5053_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5053_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~5052_combout ),
+ .datae(!\datamem|ram~5054_combout ),
+ .dataf(!\datamem|ram~5055_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5056_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5056 .extended_lut = "off";
+defparam \datamem|ram~5056 .lut_mask = 64'h10D01CDC13D31FDF;
+defparam \datamem|ram~5056 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N54
+cyclonev_lcell_comb \datamem|ram~5479 (
+// Equation(s):
+// \datamem|ram~5479_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout & !\alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5479_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5479 .extended_lut = "off";
+defparam \datamem|ram~5479 .lut_mask = 64'h0000000000000800;
+defparam \datamem|ram~5479 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N36
+cyclonev_lcell_comb \datamem|ram~5630 (
+// Equation(s):
+// \datamem|ram~5630_combout = (\datamem|ram~5585_combout & \datamem|ram~5479_combout )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5585_combout ),
+ .datac(!\datamem|ram~5479_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5630_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5630 .extended_lut = "off";
+defparam \datamem|ram~5630 .lut_mask = 64'h0303030303030303;
+defparam \datamem|ram~5630 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y13_N31
+dffeas \datamem|ram~1591 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1591_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1591 .is_wysiwyg = "true";
+defparam \datamem|ram~1591 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N12
+cyclonev_lcell_comb \datamem|ram~1655feeder (
+// Equation(s):
+// \datamem|ram~1655feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1655feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1655feeder .extended_lut = "off";
+defparam \datamem|ram~1655feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1655feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N30
+cyclonev_lcell_comb \datamem|ram~5511 (
+// Equation(s):
+// \datamem|ram~5511_combout = ( \alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( (\alu_unit|Mux12~2_combout & (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux11~4_combout & \alu_unit|Mux13~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5511_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5511 .extended_lut = "off";
+defparam \datamem|ram~5511 .lut_mask = 64'h0000001000000000;
+defparam \datamem|ram~5511 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N9
+cyclonev_lcell_comb \datamem|ram~5631 (
+// Equation(s):
+// \datamem|ram~5631_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5511_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5511_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5631_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5631 .extended_lut = "off";
+defparam \datamem|ram~5631 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5631 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N13
+dffeas \datamem|ram~1655 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1655feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1655_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1655 .is_wysiwyg = "true";
+defparam \datamem|ram~1655 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N42
+cyclonev_lcell_comb \datamem|ram~1719feeder (
+// Equation(s):
+// \datamem|ram~1719feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1719feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1719feeder .extended_lut = "off";
+defparam \datamem|ram~1719feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1719feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N9
+cyclonev_lcell_comb \datamem|ram~5549 (
+// Equation(s):
+// \datamem|ram~5549_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux11~4_combout & \alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5549_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5549 .extended_lut = "off";
+defparam \datamem|ram~5549 .lut_mask = 64'h0000000000000008;
+defparam \datamem|ram~5549 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N27
+cyclonev_lcell_comb \datamem|ram~5632 (
+// Equation(s):
+// \datamem|ram~5632_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5549_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5549_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5632_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5632 .extended_lut = "off";
+defparam \datamem|ram~5632 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5632 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N43
+dffeas \datamem|ram~1719 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1719feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1719_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1719 .is_wysiwyg = "true";
+defparam \datamem|ram~1719 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N33
+cyclonev_lcell_comb \datamem|ram~5575 (
+// Equation(s):
+// \datamem|ram~5575_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & \alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5575_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5575 .extended_lut = "off";
+defparam \datamem|ram~5575 .lut_mask = 64'h0000000000000002;
+defparam \datamem|ram~5575 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N12
+cyclonev_lcell_comb \datamem|ram~5633 (
+// Equation(s):
+// \datamem|ram~5633_combout = ( \datamem|ram~5575_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5575_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5633_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5633 .extended_lut = "off";
+defparam \datamem|ram~5633 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5633 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y14_N38
+dffeas \datamem|ram~1783 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1783_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1783 .is_wysiwyg = "true";
+defparam \datamem|ram~1783 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y14_N36
+cyclonev_lcell_comb \datamem|ram~5065 (
+// Equation(s):
+// \datamem|ram~5065_combout = ( \datamem|ram~1783_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1719_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1783_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1719_q ) ) ) ) # ( \datamem|ram~1783_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1591_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1655_q ))) ) ) ) # ( !\datamem|ram~1783_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1591_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1655_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1591_q ),
+ .datab(!\datamem|ram~1655_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1719_q ),
+ .datae(!\datamem|ram~1783_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5065_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5065 .extended_lut = "off";
+defparam \datamem|ram~5065 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5065 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N30
+cyclonev_lcell_comb \datamem|ram~5573 (
+// Equation(s):
+// \datamem|ram~5573_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & !\alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5573_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5573 .extended_lut = "off";
+defparam \datamem|ram~5573 .lut_mask = 64'h0000000000000200;
+defparam \datamem|ram~5573 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N54
+cyclonev_lcell_comb \datamem|ram~5629 (
+// Equation(s):
+// \datamem|ram~5629_combout = ( \datamem|ram~5573_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5573_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5629_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5629 .extended_lut = "off";
+defparam \datamem|ram~5629 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5629 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N56
+dffeas \datamem|ram~1767 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1767_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1767 .is_wysiwyg = "true";
+defparam \datamem|ram~1767 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y22_N54
+cyclonev_lcell_comb \datamem|ram~1703feeder (
+// Equation(s):
+// \datamem|ram~1703feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1703feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1703feeder .extended_lut = "off";
+defparam \datamem|ram~1703feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1703feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N42
+cyclonev_lcell_comb \datamem|ram~5541 (
+// Equation(s):
+// \datamem|ram~5541_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux10~6_combout & \alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5541_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5541 .extended_lut = "off";
+defparam \datamem|ram~5541 .lut_mask = 64'h0000000000000080;
+defparam \datamem|ram~5541 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N54
+cyclonev_lcell_comb \datamem|ram~5628 (
+// Equation(s):
+// \datamem|ram~5628_combout = ( \datamem|ram~5541_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5541_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5628_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5628 .extended_lut = "off";
+defparam \datamem|ram~5628 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5628 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y22_N55
+dffeas \datamem|ram~1703 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1703feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1703_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1703 .is_wysiwyg = "true";
+defparam \datamem|ram~1703 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N51
+cyclonev_lcell_comb \datamem|ram~5477 (
+// Equation(s):
+// \datamem|ram~5477_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux12~2_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5477_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5477 .extended_lut = "off";
+defparam \datamem|ram~5477 .lut_mask = 64'h0000000000008000;
+defparam \datamem|ram~5477 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N42
+cyclonev_lcell_comb \datamem|ram~5626 (
+// Equation(s):
+// \datamem|ram~5626_combout = ( \datamem|ram~5477_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5477_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5626_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5626 .extended_lut = "off";
+defparam \datamem|ram~5626 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5626 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N46
+dffeas \datamem|ram~1575 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1575_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1575 .is_wysiwyg = "true";
+defparam \datamem|ram~1575 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y23_N24
+cyclonev_lcell_comb \datamem|ram~1639feeder (
+// Equation(s):
+// \datamem|ram~1639feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1639feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1639feeder .extended_lut = "off";
+defparam \datamem|ram~1639feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1639feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N18
+cyclonev_lcell_comb \datamem|ram~5509 (
+// Equation(s):
+// \datamem|ram~5509_combout = ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux9~4_combout & \alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5509_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5509 .extended_lut = "off";
+defparam \datamem|ram~5509 .lut_mask = 64'h0000000000080000;
+defparam \datamem|ram~5509 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N12
+cyclonev_lcell_comb \datamem|ram~5627 (
+// Equation(s):
+// \datamem|ram~5627_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5509_combout ) )
+
+ .dataa(!\datamem|ram~5509_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5627_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5627 .extended_lut = "off";
+defparam \datamem|ram~5627 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5627 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y23_N25
+dffeas \datamem|ram~1639 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1639feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1639_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1639 .is_wysiwyg = "true";
+defparam \datamem|ram~1639 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N57
+cyclonev_lcell_comb \datamem|ram~5064 (
+// Equation(s):
+// \datamem|ram~5064_combout = ( \datamem|ram~1639_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1703_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1767_q )) ) ) ) # ( !\datamem|ram~1639_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1703_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1767_q )) ) ) ) # ( \datamem|ram~1639_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1575_q ) ) ) ) # ( !\datamem|ram~1639_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1575_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1767_q ),
+ .datab(!\datamem|ram~1703_q ),
+ .datac(!\datamem|ram~1575_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1639_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5064_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5064 .extended_lut = "off";
+defparam \datamem|ram~5064 .lut_mask = 64'h0F000FFF33553355;
+defparam \datamem|ram~5064 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y8_N3
+cyclonev_lcell_comb \datamem|ram~1543feeder (
+// Equation(s):
+// \datamem|ram~1543feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1543feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1543feeder .extended_lut = "off";
+defparam \datamem|ram~1543feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1543feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y7_N12
+cyclonev_lcell_comb \datamem|ram~5473 (
+// Equation(s):
+// \datamem|ram~5473_combout = ( !\alu_unit|Mux11~4_combout & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux13~4_combout & \alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5473_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5473 .extended_lut = "off";
+defparam \datamem|ram~5473 .lut_mask = 64'h0080000000000000;
+defparam \datamem|ram~5473 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N30
+cyclonev_lcell_comb \datamem|ram~5618 (
+// Equation(s):
+// \datamem|ram~5618_combout = ( \datamem|ram~5473_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5473_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5618_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5618 .extended_lut = "off";
+defparam \datamem|ram~5618 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5618 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N4
+dffeas \datamem|ram~1543 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1543feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1543_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1543 .is_wysiwyg = "true";
+defparam \datamem|ram~1543 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N45
+cyclonev_lcell_comb \datamem|ram~1607feeder (
+// Equation(s):
+// \datamem|ram~1607feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1607feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1607feeder .extended_lut = "off";
+defparam \datamem|ram~1607feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1607feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N54
+cyclonev_lcell_comb \datamem|ram~5505 (
+// Equation(s):
+// \datamem|ram~5505_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux10~6_combout & !\alu_unit|Mux13~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5505_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5505 .extended_lut = "off";
+defparam \datamem|ram~5505 .lut_mask = 64'h0000000000008000;
+defparam \datamem|ram~5505 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N24
+cyclonev_lcell_comb \datamem|ram~5619 (
+// Equation(s):
+// \datamem|ram~5619_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5505_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5505_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5619_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5619 .extended_lut = "off";
+defparam \datamem|ram~5619 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5619 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N47
+dffeas \datamem|ram~1607 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1607feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1607_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1607 .is_wysiwyg = "true";
+defparam \datamem|ram~1607 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N18
+cyclonev_lcell_comb \datamem|ram~5525 (
+// Equation(s):
+// \datamem|ram~5525_combout = ( \alu_unit|Mux11~4_combout & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux14~6_combout & \alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5525_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5525 .extended_lut = "off";
+defparam \datamem|ram~5525 .lut_mask = 64'h0000008000000000;
+defparam \datamem|ram~5525 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N36
+cyclonev_lcell_comb \datamem|ram~5620 (
+// Equation(s):
+// \datamem|ram~5620_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5525_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5525_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5620_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5620 .extended_lut = "off";
+defparam \datamem|ram~5620 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5620 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y18_N17
+dffeas \datamem|ram~1671 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1671_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1671 .is_wysiwyg = "true";
+defparam \datamem|ram~1671 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N42
+cyclonev_lcell_comb \datamem|ram~5569 (
+// Equation(s):
+// \datamem|ram~5569_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux13~4_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5569_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5569 .extended_lut = "off";
+defparam \datamem|ram~5569 .lut_mask = 64'h0000000000002000;
+defparam \datamem|ram~5569 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N21
+cyclonev_lcell_comb \datamem|ram~5621 (
+// Equation(s):
+// \datamem|ram~5621_combout = ( \datamem|ram~5569_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5569_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5621_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5621 .extended_lut = "off";
+defparam \datamem|ram~5621 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5621 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N38
+dffeas \datamem|ram~1735 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1735_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1735 .is_wysiwyg = "true";
+defparam \datamem|ram~1735 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N36
+cyclonev_lcell_comb \datamem|ram~5062 (
+// Equation(s):
+// \datamem|ram~5062_combout = ( \datamem|ram~1735_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1671_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1735_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1671_q ) ) ) ) # ( \datamem|ram~1735_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1543_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1607_q ))) ) ) ) # ( !\datamem|ram~1735_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1543_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1607_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1543_q ),
+ .datab(!\datamem|ram~1607_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1671_q ),
+ .datae(!\datamem|ram~1735_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5062_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5062 .extended_lut = "off";
+defparam \datamem|ram~5062 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5062 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N36
+cyclonev_lcell_comb \datamem|ram~1623feeder (
+// Equation(s):
+// \datamem|ram~1623feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1623feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1623feeder .extended_lut = "off";
+defparam \datamem|ram~1623feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1623feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N24
+cyclonev_lcell_comb \datamem|ram~5507 (
+// Equation(s):
+// \datamem|ram~5507_combout = ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux14~6_combout & (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5507_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5507 .extended_lut = "off";
+defparam \datamem|ram~5507 .lut_mask = 64'h0000000010000000;
+defparam \datamem|ram~5507 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N51
+cyclonev_lcell_comb \datamem|ram~5623 (
+// Equation(s):
+// \datamem|ram~5623_combout = ( \datamem|ram~5507_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5507_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5623_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5623 .extended_lut = "off";
+defparam \datamem|ram~5623 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5623 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N38
+dffeas \datamem|ram~1623 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1623feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1623_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1623 .is_wysiwyg = "true";
+defparam \datamem|ram~1623 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y10_N9
+cyclonev_lcell_comb \datamem|ram~5475 (
+// Equation(s):
+// \datamem|ram~5475_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux13~4_combout & !\alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5475_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5475 .extended_lut = "off";
+defparam \datamem|ram~5475 .lut_mask = 64'h0000000000008000;
+defparam \datamem|ram~5475 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y10_N15
+cyclonev_lcell_comb \datamem|ram~5622 (
+// Equation(s):
+// \datamem|ram~5622_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5475_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5475_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5622_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5622 .extended_lut = "off";
+defparam \datamem|ram~5622 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5622 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N25
+dffeas \datamem|ram~1559 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1559_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1559 .is_wysiwyg = "true";
+defparam \datamem|ram~1559 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N57
+cyclonev_lcell_comb \datamem|ram~1687feeder (
+// Equation(s):
+// \datamem|ram~1687feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1687feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1687feeder .extended_lut = "off";
+defparam \datamem|ram~1687feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1687feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N18
+cyclonev_lcell_comb \datamem|ram~5533 (
+// Equation(s):
+// \datamem|ram~5533_combout = ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & !\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5533_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5533 .extended_lut = "off";
+defparam \datamem|ram~5533 .lut_mask = 64'h0000000004000000;
+defparam \datamem|ram~5533 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N57
+cyclonev_lcell_comb \datamem|ram~5624 (
+// Equation(s):
+// \datamem|ram~5624_combout = ( \datamem|ram~5533_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5533_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5624_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5624 .extended_lut = "off";
+defparam \datamem|ram~5624 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5624 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N58
+dffeas \datamem|ram~1687 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1687feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1687_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1687 .is_wysiwyg = "true";
+defparam \datamem|ram~1687 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N57
+cyclonev_lcell_comb \datamem|ram~5571 (
+// Equation(s):
+// \datamem|ram~5571_combout = ( \alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout & \alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5571_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5571 .extended_lut = "off";
+defparam \datamem|ram~5571 .lut_mask = 64'h0000000400000000;
+defparam \datamem|ram~5571 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N21
+cyclonev_lcell_comb \datamem|ram~5625 (
+// Equation(s):
+// \datamem|ram~5625_combout = ( \datamem|ram~5571_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5571_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5625_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5625 .extended_lut = "off";
+defparam \datamem|ram~5625 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5625 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N14
+dffeas \datamem|ram~1751 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1751_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1751 .is_wysiwyg = "true";
+defparam \datamem|ram~1751 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N12
+cyclonev_lcell_comb \datamem|ram~5063 (
+// Equation(s):
+// \datamem|ram~5063_combout = ( \datamem|ram~1751_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1687_q ) ) ) ) # ( !\datamem|ram~1751_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1687_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1751_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1559_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1623_q )) ) ) ) # ( !\datamem|ram~1751_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1559_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1623_q )) ) ) )
+
+ .dataa(!\datamem|ram~1623_q ),
+ .datab(!\datamem|ram~1559_q ),
+ .datac(!\datamem|ram~1687_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1751_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5063_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5063 .extended_lut = "off";
+defparam \datamem|ram~5063 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5063 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N45
+cyclonev_lcell_comb \datamem|ram~5066 (
+// Equation(s):
+// \datamem|ram~5066_combout = ( \datamem|ram~5062_combout & ( \datamem|ram~5063_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5064_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5065_combout ))) ) )
+// ) # ( !\datamem|ram~5062_combout & ( \datamem|ram~5063_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~5064_combout & \alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~5065_combout ))) ) ) ) # ( \datamem|ram~5062_combout & ( !\datamem|ram~5063_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) # (\datamem|ram~5064_combout )))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~5065_combout & ((\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~5062_combout & ( !\datamem|ram~5063_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5064_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~5065_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~5065_combout ),
+ .datac(!\datamem|ram~5064_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~5062_combout ),
+ .dataf(!\datamem|ram~5063_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5066_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5066 .extended_lut = "off";
+defparam \datamem|ram~5066 .lut_mask = 64'h001BAA1B551BFF1B;
+defparam \datamem|ram~5066 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N0
+cyclonev_lcell_comb \datamem|ram~5583 (
+// Equation(s):
+// \datamem|ram~5583_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & (\alu_unit|Mux13~4_combout & \alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5583_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5583 .extended_lut = "off";
+defparam \datamem|ram~5583 .lut_mask = 64'h0000000000000001;
+defparam \datamem|ram~5583 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N54
+cyclonev_lcell_comb \datamem|ram~5649 (
+// Equation(s):
+// \datamem|ram~5649_combout = ( \datamem|ram~5583_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5583_combout ),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5649_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5649 .extended_lut = "off";
+defparam \datamem|ram~5649 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5649 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N22
+dffeas \datamem|ram~2039 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2039_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2039 .is_wysiwyg = "true";
+defparam \datamem|ram~2039 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N48
+cyclonev_lcell_comb \datamem|ram~5577 (
+// Equation(s):
+// \datamem|ram~5577_combout = ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( (\alu_unit|Mux12~2_combout & (\alu_unit|Mux10~6_combout & (\alu_unit|Mux11~4_combout & \alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5577_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5577 .extended_lut = "off";
+defparam \datamem|ram~5577 .lut_mask = 64'h0001000000000000;
+defparam \datamem|ram~5577 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N45
+cyclonev_lcell_comb \datamem|ram~5646 (
+// Equation(s):
+// \datamem|ram~5646_combout = ( \datamem|ram~5577_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5577_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5646_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5646 .extended_lut = "off";
+defparam \datamem|ram~5646 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5646 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N52
+dffeas \datamem|ram~1991 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1991_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1991 .is_wysiwyg = "true";
+defparam \datamem|ram~1991 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y11_N9
+cyclonev_lcell_comb \datamem|ram~5579 (
+// Equation(s):
+// \datamem|ram~5579_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux11~4_combout & \alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5579_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5579 .extended_lut = "off";
+defparam \datamem|ram~5579 .lut_mask = 64'h0000000000000004;
+defparam \datamem|ram~5579 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y13_N24
+cyclonev_lcell_comb \datamem|ram~5647 (
+// Equation(s):
+// \datamem|ram~5647_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5579_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5579_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5647_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5647 .extended_lut = "off";
+defparam \datamem|ram~5647 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5647 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N58
+dffeas \datamem|ram~2007 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2007_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2007 .is_wysiwyg = "true";
+defparam \datamem|ram~2007 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N48
+cyclonev_lcell_comb \datamem|ram~5581 (
+// Equation(s):
+// \datamem|ram~5581_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout & (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux14~6_combout & \alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5581_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5581 .extended_lut = "off";
+defparam \datamem|ram~5581 .lut_mask = 64'h0000000000000010;
+defparam \datamem|ram~5581 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y14_N0
+cyclonev_lcell_comb \datamem|ram~5648 (
+// Equation(s):
+// \datamem|ram~5648_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5581_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5581_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5648_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5648 .extended_lut = "off";
+defparam \datamem|ram~5648 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5648 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N49
+dffeas \datamem|ram~2023 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2023_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2023 .is_wysiwyg = "true";
+defparam \datamem|ram~2023 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N27
+cyclonev_lcell_comb \datamem|ram~5070 (
+// Equation(s):
+// \datamem|ram~5070_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~2039_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~2023_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~2007_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~1991_q ) ) )
+
+ .dataa(!\datamem|ram~2039_q ),
+ .datab(!\datamem|ram~1991_q ),
+ .datac(!\datamem|ram~2007_q ),
+ .datad(!\datamem|ram~2023_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5070_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5070 .extended_lut = "off";
+defparam \datamem|ram~5070 .lut_mask = 64'h33330F0F00FF5555;
+defparam \datamem|ram~5070 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N54
+cyclonev_lcell_comb \datamem|ram~1799feeder (
+// Equation(s):
+// \datamem|ram~1799feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1799feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1799feeder .extended_lut = "off";
+defparam \datamem|ram~1799feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1799feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N33
+cyclonev_lcell_comb \datamem|ram~5481 (
+// Equation(s):
+// \datamem|ram~5481_combout = ( \alu_unit|Mux10~6_combout & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux11~4_combout & \alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5481_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5481 .extended_lut = "off";
+defparam \datamem|ram~5481 .lut_mask = 64'h0000008000000000;
+defparam \datamem|ram~5481 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N39
+cyclonev_lcell_comb \datamem|ram~5634 (
+// Equation(s):
+// \datamem|ram~5634_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5481_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5481_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5634_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5634 .extended_lut = "off";
+defparam \datamem|ram~5634 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5634 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N56
+dffeas \datamem|ram~1799 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1799feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1799_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1799 .is_wysiwyg = "true";
+defparam \datamem|ram~1799 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N30
+cyclonev_lcell_comb \datamem|ram~1815feeder (
+// Equation(s):
+// \datamem|ram~1815feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1815feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1815feeder .extended_lut = "off";
+defparam \datamem|ram~1815feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1815feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N9
+cyclonev_lcell_comb \datamem|ram~5483 (
+// Equation(s):
+// \datamem|ram~5483_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux10~6_combout & !\alu_unit|Mux13~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5483_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5483 .extended_lut = "off";
+defparam \datamem|ram~5483 .lut_mask = 64'h0000000000000800;
+defparam \datamem|ram~5483 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N54
+cyclonev_lcell_comb \datamem|ram~5635 (
+// Equation(s):
+// \datamem|ram~5635_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5483_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5483_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5635_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5635 .extended_lut = "off";
+defparam \datamem|ram~5635 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5635 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N32
+dffeas \datamem|ram~1815 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1815feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1815_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1815 .is_wysiwyg = "true";
+defparam \datamem|ram~1815 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N6
+cyclonev_lcell_comb \datamem|ram~1831feeder (
+// Equation(s):
+// \datamem|ram~1831feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1831feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1831feeder .extended_lut = "off";
+defparam \datamem|ram~1831feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1831feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N24
+cyclonev_lcell_comb \datamem|ram~5485 (
+// Equation(s):
+// \datamem|ram~5485_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux12~2_combout & !\alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5485_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5485 .extended_lut = "off";
+defparam \datamem|ram~5485 .lut_mask = 64'h0000000000002000;
+defparam \datamem|ram~5485 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N15
+cyclonev_lcell_comb \datamem|ram~5636 (
+// Equation(s):
+// \datamem|ram~5636_combout = ( \datamem|ram~5485_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5485_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5636_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5636 .extended_lut = "off";
+defparam \datamem|ram~5636 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5636 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N7
+dffeas \datamem|ram~1831 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1831feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1831_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1831 .is_wysiwyg = "true";
+defparam \datamem|ram~1831 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N27
+cyclonev_lcell_comb \datamem|ram~5487 (
+// Equation(s):
+// \datamem|ram~5487_combout = ( \alu_unit|Mux9~4_combout & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux10~6_combout & (\alu_unit|Mux14~6_combout & \alu_unit|Mux13~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5487_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5487 .extended_lut = "off";
+defparam \datamem|ram~5487 .lut_mask = 64'h0000000200000000;
+defparam \datamem|ram~5487 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N54
+cyclonev_lcell_comb \datamem|ram~5637 (
+// Equation(s):
+// \datamem|ram~5637_combout = (\datamem|ram~5487_combout & \datamem|ram~5585_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5487_combout ),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5637_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5637 .extended_lut = "off";
+defparam \datamem|ram~5637 .lut_mask = 64'h000F000F000F000F;
+defparam \datamem|ram~5637 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N38
+dffeas \datamem|ram~1847 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1847_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1847 .is_wysiwyg = "true";
+defparam \datamem|ram~1847 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N36
+cyclonev_lcell_comb \datamem|ram~5067 (
+// Equation(s):
+// \datamem|ram~5067_combout = ( \datamem|ram~1847_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1815_q ) ) ) ) # ( !\datamem|ram~1847_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1815_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1847_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1799_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1831_q ))) ) ) ) # ( !\datamem|ram~1847_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1799_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1831_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1799_q ),
+ .datab(!\datamem|ram~1815_q ),
+ .datac(!\datamem|ram~1831_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1847_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5067_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5067 .extended_lut = "off";
+defparam \datamem|ram~5067 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5067 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N30
+cyclonev_lcell_comb \datamem|ram~5543 (
+// Equation(s):
+// \datamem|ram~5543_combout = ( \alu_unit|Mux11~4_combout & ( !\alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout & (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux12~2_combout & \alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5543_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5543 .extended_lut = "off";
+defparam \datamem|ram~5543 .lut_mask = 64'h0000001000000000;
+defparam \datamem|ram~5543 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N15
+cyclonev_lcell_comb \datamem|ram~5644 (
+// Equation(s):
+// \datamem|ram~5644_combout = ( \datamem|ram~5543_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5543_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5644_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5644 .extended_lut = "off";
+defparam \datamem|ram~5644 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5644 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N35
+dffeas \datamem|ram~1959 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1959_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1959 .is_wysiwyg = "true";
+defparam \datamem|ram~1959 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y15_N27
+cyclonev_lcell_comb \datamem|ram~1927feeder (
+// Equation(s):
+// \datamem|ram~1927feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1927feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1927feeder .extended_lut = "off";
+defparam \datamem|ram~1927feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1927feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y15_N39
+cyclonev_lcell_comb \datamem|ram~5527 (
+// Equation(s):
+// \datamem|ram~5527_combout = ( \alu_unit|Mux11~4_combout & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux9~4_combout & \alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5527_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5527 .extended_lut = "off";
+defparam \datamem|ram~5527 .lut_mask = 64'h0000000800000000;
+defparam \datamem|ram~5527 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y15_N18
+cyclonev_lcell_comb \datamem|ram~5642 (
+// Equation(s):
+// \datamem|ram~5642_combout = ( \datamem|ram~5527_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5527_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5642_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5642 .extended_lut = "off";
+defparam \datamem|ram~5642 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5642 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N28
+dffeas \datamem|ram~1927 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1927feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1927_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1927 .is_wysiwyg = "true";
+defparam \datamem|ram~1927 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N30
+cyclonev_lcell_comb \datamem|ram~5535 (
+// Equation(s):
+// \datamem|ram~5535_combout = ( \alu_unit|Mux11~4_combout & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout & (\alu_unit|Mux9~4_combout & !\alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5535_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5535 .extended_lut = "off";
+defparam \datamem|ram~5535 .lut_mask = 64'h0000000000000200;
+defparam \datamem|ram~5535 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N48
+cyclonev_lcell_comb \datamem|ram~5643 (
+// Equation(s):
+// \datamem|ram~5643_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5535_combout ) )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5535_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5585_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5643_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5643 .extended_lut = "off";
+defparam \datamem|ram~5643 .lut_mask = 64'h0000000033333333;
+defparam \datamem|ram~5643 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y14_N58
+dffeas \datamem|ram~1943 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1943_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1943 .is_wysiwyg = "true";
+defparam \datamem|ram~1943 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N6
+cyclonev_lcell_comb \datamem|ram~5551 (
+// Equation(s):
+// \datamem|ram~5551_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux14~6_combout & (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & \alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5551_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5551 .extended_lut = "off";
+defparam \datamem|ram~5551 .lut_mask = 64'h0000000000000010;
+defparam \datamem|ram~5551 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y10_N48
+cyclonev_lcell_comb \datamem|ram~5645 (
+// Equation(s):
+// \datamem|ram~5645_combout = ( \datamem|ram~5551_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5585_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5551_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5645_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5645 .extended_lut = "off";
+defparam \datamem|ram~5645 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5645 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N26
+dffeas \datamem|ram~1975 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1975_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1975 .is_wysiwyg = "true";
+defparam \datamem|ram~1975 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y12_N24
+cyclonev_lcell_comb \datamem|ram~5069 (
+// Equation(s):
+// \datamem|ram~5069_combout = ( \datamem|ram~1975_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1943_q ) ) ) ) # ( !\datamem|ram~1975_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1943_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1975_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1927_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1959_q )) ) ) ) # ( !\datamem|ram~1975_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1927_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1959_q )) ) ) )
+
+ .dataa(!\datamem|ram~1959_q ),
+ .datab(!\datamem|ram~1927_q ),
+ .datac(!\datamem|ram~1943_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1975_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5069_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5069 .extended_lut = "off";
+defparam \datamem|ram~5069 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5069 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N9
+cyclonev_lcell_comb \datamem|ram~1879feeder (
+// Equation(s):
+// \datamem|ram~1879feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1879feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1879feeder .extended_lut = "off";
+defparam \datamem|ram~1879feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1879feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N24
+cyclonev_lcell_comb \datamem|ram~5515 (
+// Equation(s):
+// \datamem|ram~5515_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux13~4_combout & \alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5515_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5515 .extended_lut = "off";
+defparam \datamem|ram~5515 .lut_mask = 64'h0000000000000020;
+defparam \datamem|ram~5515 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N21
+cyclonev_lcell_comb \datamem|ram~5639 (
+// Equation(s):
+// \datamem|ram~5639_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5515_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5515_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5639_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5639 .extended_lut = "off";
+defparam \datamem|ram~5639 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5639 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N10
+dffeas \datamem|ram~1879 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1879feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1879_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1879 .is_wysiwyg = "true";
+defparam \datamem|ram~1879 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N12
+cyclonev_lcell_comb \datamem|ram~1863feeder (
+// Equation(s):
+// \datamem|ram~1863feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1863feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1863feeder .extended_lut = "off";
+defparam \datamem|ram~1863feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1863feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y11_N51
+cyclonev_lcell_comb \datamem|ram~5513 (
+// Equation(s):
+// \datamem|ram~5513_combout = ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux13~4_combout & \alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5513_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5513 .extended_lut = "off";
+defparam \datamem|ram~5513 .lut_mask = 64'h0000000000200000;
+defparam \datamem|ram~5513 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y11_N30
+cyclonev_lcell_comb \datamem|ram~5638 (
+// Equation(s):
+// \datamem|ram~5638_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5513_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5513_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5638_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5638 .extended_lut = "off";
+defparam \datamem|ram~5638 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5638 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N13
+dffeas \datamem|ram~1863 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1863feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1863_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1863 .is_wysiwyg = "true";
+defparam \datamem|ram~1863 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y9_N0
+cyclonev_lcell_comb \datamem|ram~1895feeder (
+// Equation(s):
+// \datamem|ram~1895feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1895feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1895feeder .extended_lut = "off";
+defparam \datamem|ram~1895feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1895feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y14_N6
+cyclonev_lcell_comb \datamem|ram~5517 (
+// Equation(s):
+// \datamem|ram~5517_combout = ( \alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( (\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux11~4_combout & \alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5517_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5517 .extended_lut = "off";
+defparam \datamem|ram~5517 .lut_mask = 64'h0000001000000000;
+defparam \datamem|ram~5517 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y12_N3
+cyclonev_lcell_comb \datamem|ram~5640 (
+// Equation(s):
+// \datamem|ram~5640_combout = ( \datamem|ram~5517_combout & ( \datamem|ram~5585_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5585_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5517_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5640_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5640 .extended_lut = "off";
+defparam \datamem|ram~5640 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5640 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y9_N2
+dffeas \datamem|ram~1895 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1895feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1895_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1895 .is_wysiwyg = "true";
+defparam \datamem|ram~1895 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y11_N12
+cyclonev_lcell_comb \datamem|ram~5519 (
+// Equation(s):
+// \datamem|ram~5519_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux12~2_combout & (\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout & !\alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5519_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5519 .extended_lut = "off";
+defparam \datamem|ram~5519 .lut_mask = 64'h0000000000000100;
+defparam \datamem|ram~5519 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y11_N51
+cyclonev_lcell_comb \datamem|ram~5641 (
+// Equation(s):
+// \datamem|ram~5641_combout = ( \datamem|ram~5585_combout & ( \datamem|ram~5519_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5585_combout ),
+ .dataf(!\datamem|ram~5519_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5641_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5641 .extended_lut = "off";
+defparam \datamem|ram~5641 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5641 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y9_N56
+dffeas \datamem|ram~1911 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1911_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1911 .is_wysiwyg = "true";
+defparam \datamem|ram~1911 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y9_N54
+cyclonev_lcell_comb \datamem|ram~5068 (
+// Equation(s):
+// \datamem|ram~5068_combout = ( \datamem|ram~1911_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1895_q ) ) ) ) # ( !\datamem|ram~1911_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1895_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1911_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1863_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1879_q )) ) ) ) # ( !\datamem|ram~1911_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1863_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1879_q )) ) ) )
+
+ .dataa(!\datamem|ram~1879_q ),
+ .datab(!\datamem|ram~1863_q ),
+ .datac(!\datamem|ram~1895_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1911_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5068_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5068 .extended_lut = "off";
+defparam \datamem|ram~5068 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5068 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N3
+cyclonev_lcell_comb \datamem|ram~5071 (
+// Equation(s):
+// \datamem|ram~5071_combout = ( \datamem|ram~5069_combout & ( \datamem|ram~5068_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~5067_combout ) # (\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) #
+// (\datamem|ram~5070_combout ))) ) ) ) # ( !\datamem|ram~5069_combout & ( \datamem|ram~5068_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout & \datamem|ram~5067_combout )))) # (\alu_unit|Mux12~2_combout &
+// (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~5070_combout ))) ) ) ) # ( \datamem|ram~5069_combout & ( !\datamem|ram~5068_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~5067_combout ) # (\alu_unit|Mux11~4_combout )))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~5070_combout & (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~5069_combout & ( !\datamem|ram~5068_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout & \datamem|ram~5067_combout
+// )))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~5070_combout & (\alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\datamem|ram~5070_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~5067_combout ),
+ .datae(!\datamem|ram~5069_combout ),
+ .dataf(!\datamem|ram~5068_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5071_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5071 .extended_lut = "off";
+defparam \datamem|ram~5071 .lut_mask = 64'h01C10DCD31F13DFD;
+defparam \datamem|ram~5071 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N36
+cyclonev_lcell_comb \datamem|ram~5072 (
+// Equation(s):
+// \datamem|ram~5072_combout = ( \datamem|ram~5066_combout & ( \datamem|ram~5071_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5056_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5061_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~5066_combout & ( \datamem|ram~5071_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5056_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5061_combout )))) # (\alu_unit|Mux9~4_combout &
+// (((\alu_unit|Mux10~6_combout )))) ) ) ) # ( \datamem|ram~5066_combout & ( !\datamem|ram~5071_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5056_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~5061_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~5066_combout & ( !\datamem|ram~5071_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~5056_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5061_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~5061_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~5056_combout ),
+ .datae(!\datamem|ram~5066_combout ),
+ .dataf(!\datamem|ram~5071_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5072_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5072 .extended_lut = "off";
+defparam \datamem|ram~5072 .lut_mask = 64'h02A252F207A757F7;
+defparam \datamem|ram~5072 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N57
+cyclonev_lcell_comb \datamem|ram~5457 (
+// Equation(s):
+// \datamem|ram~5457_combout = ( \alu_unit|Mux8~3_combout & ( \alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux7~0_combout & !\alu_unit|Mux8~0_combout ))) ) ) ) # (
+// !\alu_unit|Mux8~3_combout & ( \alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux7~0_combout & !\alu_unit|Mux8~0_combout ))) ) ) ) # ( \alu_unit|Mux8~3_combout & (
+// !\alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux7~0_combout & !\alu_unit|Mux8~0_combout ))) ) ) ) # ( !\alu_unit|Mux8~3_combout & ( !\alu_unit|Mux7~3_combout & (
+// (\control_unit|mem_write~1_combout & (((!\alu_unit|Mux7~0_combout & !\alu_unit|Mux8~0_combout )) # (\ALU_Control_unit|WideOr0~0_combout ))) ) ) )
+
+ .dataa(!\control_unit|mem_write~1_combout ),
+ .datab(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datac(!\alu_unit|Mux7~0_combout ),
+ .datad(!\alu_unit|Mux8~0_combout ),
+ .datae(!\alu_unit|Mux8~3_combout ),
+ .dataf(!\alu_unit|Mux7~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5457_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5457 .extended_lut = "off";
+defparam \datamem|ram~5457 .lut_mask = 64'h5111400040004000;
+defparam \datamem|ram~5457 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N51
+cyclonev_lcell_comb \datamem|ram~5554 (
+// Equation(s):
+// \datamem|ram~5554_combout = ( \datamem|ram~5553_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5457_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5553_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5554_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5554 .extended_lut = "off";
+defparam \datamem|ram~5554 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5554 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N10
+dffeas \datamem|ram~199 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~199_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~199 .is_wysiwyg = "true";
+defparam \datamem|ram~199 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N21
+cyclonev_lcell_comb \datamem|ram~5556 (
+// Equation(s):
+// \datamem|ram~5556_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5555_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5555_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5556_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5556 .extended_lut = "off";
+defparam \datamem|ram~5556 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5556 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N22
+dffeas \datamem|ram~215 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~215_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~215 .is_wysiwyg = "true";
+defparam \datamem|ram~215 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y9_N51
+cyclonev_lcell_comb \datamem|ram~247feeder (
+// Equation(s):
+// \datamem|ram~247feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~247feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~247feeder .extended_lut = "off";
+defparam \datamem|ram~247feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~247feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N27
+cyclonev_lcell_comb \datamem|ram~5560 (
+// Equation(s):
+// \datamem|ram~5560_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5559_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5559_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5560_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5560 .extended_lut = "off";
+defparam \datamem|ram~5560 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5560 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y9_N52
+dffeas \datamem|ram~247 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~247feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~247_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~247 .is_wysiwyg = "true";
+defparam \datamem|ram~247 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y21_N3
+cyclonev_lcell_comb \datamem|ram~231feeder (
+// Equation(s):
+// \datamem|ram~231feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~231feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~231feeder .extended_lut = "off";
+defparam \datamem|ram~231feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~231feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y17_N24
+cyclonev_lcell_comb \datamem|ram~5558 (
+// Equation(s):
+// \datamem|ram~5558_combout = ( \datamem|ram~5557_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5557_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5558_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5558 .extended_lut = "off";
+defparam \datamem|ram~5558 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5558 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y21_N5
+dffeas \datamem|ram~231 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~231feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~231_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~231 .is_wysiwyg = "true";
+defparam \datamem|ram~231 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N51
+cyclonev_lcell_comb \datamem|ram~5046 (
+// Equation(s):
+// \datamem|ram~5046_combout = ( \datamem|ram~231_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~215_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~247_q ))) ) ) ) # ( !\datamem|ram~231_q & (
+// \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~215_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~247_q ))) ) ) ) # ( \datamem|ram~231_q & ( !\alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~199_q ) ) ) ) # ( !\datamem|ram~231_q & ( !\alu_unit|Mux14~6_combout & ( (\datamem|ram~199_q & !\alu_unit|Mux13~4_combout ) ) ) )
+
+ .dataa(!\datamem|ram~199_q ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~215_q ),
+ .datad(!\datamem|ram~247_q ),
+ .datae(!\datamem|ram~231_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5046_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5046 .extended_lut = "off";
+defparam \datamem|ram~5046 .lut_mask = 64'h444477770C3F0C3F;
+defparam \datamem|ram~5046 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N36
+cyclonev_lcell_comb \datamem|ram~487feeder (
+// Equation(s):
+// \datamem|ram~487feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~487feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~487feeder .extended_lut = "off";
+defparam \datamem|ram~487feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~487feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N39
+cyclonev_lcell_comb \datamem|ram~5566 (
+// Equation(s):
+// \datamem|ram~5566_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5565_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5565_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5566_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5566 .extended_lut = "off";
+defparam \datamem|ram~5566 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5566 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N38
+dffeas \datamem|ram~487 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~487feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~487_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~487 .is_wysiwyg = "true";
+defparam \datamem|ram~487 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N24
+cyclonev_lcell_comb \datamem|ram~5562 (
+// Equation(s):
+// \datamem|ram~5562_combout = ( \datamem|ram~5561_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5457_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5561_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5562_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5562 .extended_lut = "off";
+defparam \datamem|ram~5562 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5562 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N55
+dffeas \datamem|ram~455 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~455_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~455 .is_wysiwyg = "true";
+defparam \datamem|ram~455 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N48
+cyclonev_lcell_comb \datamem|ram~471feeder (
+// Equation(s):
+// \datamem|ram~471feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~471feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~471feeder .extended_lut = "off";
+defparam \datamem|ram~471feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~471feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N0
+cyclonev_lcell_comb \datamem|ram~5564 (
+// Equation(s):
+// \datamem|ram~5564_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5563_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5563_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5564_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5564 .extended_lut = "off";
+defparam \datamem|ram~5564 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5564 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N50
+dffeas \datamem|ram~471 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~471feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~471_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~471 .is_wysiwyg = "true";
+defparam \datamem|ram~471 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N9
+cyclonev_lcell_comb \datamem|ram~5568 (
+// Equation(s):
+// \datamem|ram~5568_combout = ( \datamem|ram~5567_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5567_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5568_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5568 .extended_lut = "off";
+defparam \datamem|ram~5568 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5568 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N8
+dffeas \datamem|ram~503 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~503_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~503 .is_wysiwyg = "true";
+defparam \datamem|ram~503 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N6
+cyclonev_lcell_comb \datamem|ram~5047 (
+// Equation(s):
+// \datamem|ram~5047_combout = ( \datamem|ram~503_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~487_q ) ) ) ) # ( !\datamem|ram~503_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~487_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~503_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~455_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~471_q ))) ) ) ) # ( !\datamem|ram~503_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~455_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~471_q ))) ) ) )
+
+ .dataa(!\datamem|ram~487_q ),
+ .datab(!\datamem|ram~455_q ),
+ .datac(!\datamem|ram~471_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~503_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5047_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5047 .extended_lut = "off";
+defparam \datamem|ram~5047 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5047 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y7_N39
+cyclonev_lcell_comb \datamem|ram~743feeder (
+// Equation(s):
+// \datamem|ram~743feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~743feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~743feeder .extended_lut = "off";
+defparam \datamem|ram~743feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~743feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N12
+cyclonev_lcell_comb \datamem|ram~5574 (
+// Equation(s):
+// \datamem|ram~5574_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5573_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5573_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5574_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5574 .extended_lut = "off";
+defparam \datamem|ram~5574 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5574 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y7_N40
+dffeas \datamem|ram~743 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~743feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~743_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~743 .is_wysiwyg = "true";
+defparam \datamem|ram~743 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y8_N54
+cyclonev_lcell_comb \datamem|ram~759feeder (
+// Equation(s):
+// \datamem|ram~759feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~759feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~759feeder .extended_lut = "off";
+defparam \datamem|ram~759feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~759feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N24
+cyclonev_lcell_comb \datamem|ram~5576 (
+// Equation(s):
+// \datamem|ram~5576_combout = (\datamem|ram~5575_combout & \datamem|ram~5457_combout )
+
+ .dataa(!\datamem|ram~5575_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5457_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5576_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5576 .extended_lut = "off";
+defparam \datamem|ram~5576 .lut_mask = 64'h0055005500550055;
+defparam \datamem|ram~5576 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y8_N55
+dffeas \datamem|ram~759 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~759feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~759_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~759 .is_wysiwyg = "true";
+defparam \datamem|ram~759 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y17_N0
+cyclonev_lcell_comb \datamem|ram~5570 (
+// Equation(s):
+// \datamem|ram~5570_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5569_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5569_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5570_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5570 .extended_lut = "off";
+defparam \datamem|ram~5570 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5570 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N59
+dffeas \datamem|ram~711 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~711_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~711 .is_wysiwyg = "true";
+defparam \datamem|ram~711 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y10_N39
+cyclonev_lcell_comb \datamem|ram~5572 (
+// Equation(s):
+// \datamem|ram~5572_combout = ( \datamem|ram~5571_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5571_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5572_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5572 .extended_lut = "off";
+defparam \datamem|ram~5572 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5572 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y4_N10
+dffeas \datamem|ram~727 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~727_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~727 .is_wysiwyg = "true";
+defparam \datamem|ram~727 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N6
+cyclonev_lcell_comb \datamem|ram~5048 (
+// Equation(s):
+// \datamem|ram~5048_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~759_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~727_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~743_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~711_q ) ) )
+
+ .dataa(!\datamem|ram~743_q ),
+ .datab(!\datamem|ram~759_q ),
+ .datac(!\datamem|ram~711_q ),
+ .datad(!\datamem|ram~727_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5048_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5048 .extended_lut = "off";
+defparam \datamem|ram~5048 .lut_mask = 64'h0F0F555500FF3333;
+defparam \datamem|ram~5048 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y19_N30
+cyclonev_lcell_comb \datamem|ram~999feeder (
+// Equation(s):
+// \datamem|ram~999feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~999feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~999feeder .extended_lut = "off";
+defparam \datamem|ram~999feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~999feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N6
+cyclonev_lcell_comb \datamem|ram~5582 (
+// Equation(s):
+// \datamem|ram~5582_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5581_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5581_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5582_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5582 .extended_lut = "off";
+defparam \datamem|ram~5582 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5582 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N31
+dffeas \datamem|ram~999 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~999feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~999_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~999 .is_wysiwyg = "true";
+defparam \datamem|ram~999 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N6
+cyclonev_lcell_comb \datamem|ram~5584 (
+// Equation(s):
+// \datamem|ram~5584_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5583_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5583_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5584_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5584 .extended_lut = "off";
+defparam \datamem|ram~5584 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5584 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N55
+dffeas \datamem|ram~1015 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1015_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1015 .is_wysiwyg = "true";
+defparam \datamem|ram~1015 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N15
+cyclonev_lcell_comb \datamem|ram~5580 (
+// Equation(s):
+// \datamem|ram~5580_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5579_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5579_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5580_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5580 .extended_lut = "off";
+defparam \datamem|ram~5580 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5580 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N38
+dffeas \datamem|ram~983 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~983_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~983 .is_wysiwyg = "true";
+defparam \datamem|ram~983 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N12
+cyclonev_lcell_comb \datamem|ram~5578 (
+// Equation(s):
+// \datamem|ram~5578_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5577_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5577_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5578_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5578 .extended_lut = "off";
+defparam \datamem|ram~5578 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5578 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N52
+dffeas \datamem|ram~967 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~967_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~967 .is_wysiwyg = "true";
+defparam \datamem|ram~967 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N15
+cyclonev_lcell_comb \datamem|ram~5049 (
+// Equation(s):
+// \datamem|ram~5049_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1015_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~983_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~999_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~967_q ) ) )
+
+ .dataa(!\datamem|ram~999_q ),
+ .datab(!\datamem|ram~1015_q ),
+ .datac(!\datamem|ram~983_q ),
+ .datad(!\datamem|ram~967_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5049_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5049 .extended_lut = "off";
+defparam \datamem|ram~5049 .lut_mask = 64'h00FF55550F0F3333;
+defparam \datamem|ram~5049 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N39
+cyclonev_lcell_comb \datamem|ram~5050 (
+// Equation(s):
+// \datamem|ram~5050_combout = ( \datamem|ram~5048_combout & ( \datamem|ram~5049_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5046_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5047_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~5048_combout & ( \datamem|ram~5049_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5046_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5047_combout ))))) # (\alu_unit|Mux9~4_combout &
+// (((\alu_unit|Mux10~6_combout )))) ) ) ) # ( \datamem|ram~5048_combout & ( !\datamem|ram~5049_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5046_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~5047_combout ))))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~5048_combout & ( !\datamem|ram~5049_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// (\datamem|ram~5046_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5047_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5046_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5047_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~5048_combout ),
+ .dataf(!\datamem|ram~5049_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5050_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5050 .extended_lut = "off";
+defparam \datamem|ram~5050 .lut_mask = 64'h440C770C443F773F;
+defparam \datamem|ram~5050 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y15_N3
+cyclonev_lcell_comb \datamem|ram~5522 (
+// Equation(s):
+// \datamem|ram~5522_combout = ( \datamem|ram~5521_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5521_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5522_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5522 .extended_lut = "off";
+defparam \datamem|ram~5522 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5522 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N37
+dffeas \datamem|ram~135 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~135_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~135 .is_wysiwyg = "true";
+defparam \datamem|ram~135 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N57
+cyclonev_lcell_comb \datamem|ram~5526 (
+// Equation(s):
+// \datamem|ram~5526_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5525_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5525_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5526_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5526 .extended_lut = "off";
+defparam \datamem|ram~5526 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5526 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N49
+dffeas \datamem|ram~647 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~647_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~647 .is_wysiwyg = "true";
+defparam \datamem|ram~647 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N24
+cyclonev_lcell_comb \datamem|ram~5524 (
+// Equation(s):
+// \datamem|ram~5524_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5523_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5523_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5524_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5524 .extended_lut = "off";
+defparam \datamem|ram~5524 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5524 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N55
+dffeas \datamem|ram~391 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~391_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~391 .is_wysiwyg = "true";
+defparam \datamem|ram~391 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N0
+cyclonev_lcell_comb \datamem|ram~5528 (
+// Equation(s):
+// \datamem|ram~5528_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5527_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5527_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5528_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5528 .extended_lut = "off";
+defparam \datamem|ram~5528 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5528 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y14_N2
+dffeas \datamem|ram~903 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~903_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~903 .is_wysiwyg = "true";
+defparam \datamem|ram~903 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y14_N0
+cyclonev_lcell_comb \datamem|ram~5041 (
+// Equation(s):
+// \datamem|ram~5041_combout = ( \datamem|ram~903_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~647_q ) ) ) ) # ( !\datamem|ram~903_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~647_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~903_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~135_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~391_q ))) ) ) ) # ( !\datamem|ram~903_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & (\datamem|ram~135_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~391_q ))) ) ) )
+
+ .dataa(!\datamem|ram~135_q ),
+ .datab(!\datamem|ram~647_q ),
+ .datac(!\datamem|ram~391_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~903_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5041_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5041 .extended_lut = "off";
+defparam \datamem|ram~5041 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5041 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y17_N0
+cyclonev_lcell_comb \datamem|ram~663feeder (
+// Equation(s):
+// \datamem|ram~663feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~663feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~663feeder .extended_lut = "off";
+defparam \datamem|ram~663feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~663feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y13_N12
+cyclonev_lcell_comb \datamem|ram~5534 (
+// Equation(s):
+// \datamem|ram~5534_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5533_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5533_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5534_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5534 .extended_lut = "off";
+defparam \datamem|ram~5534 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5534 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y17_N1
+dffeas \datamem|ram~663 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~663feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~663_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~663 .is_wysiwyg = "true";
+defparam \datamem|ram~663 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N36
+cyclonev_lcell_comb \datamem|ram~5530 (
+// Equation(s):
+// \datamem|ram~5530_combout = ( \datamem|ram~5529_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5529_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5530_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5530 .extended_lut = "off";
+defparam \datamem|ram~5530 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5530 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N32
+dffeas \datamem|ram~151 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~151_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~151 .is_wysiwyg = "true";
+defparam \datamem|ram~151 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N57
+cyclonev_lcell_comb \datamem|ram~5532 (
+// Equation(s):
+// \datamem|ram~5532_combout = ( \datamem|ram~5531_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(!\datamem|ram~5457_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5531_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5532_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5532 .extended_lut = "off";
+defparam \datamem|ram~5532 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5532 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N47
+dffeas \datamem|ram~407 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~407_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~407 .is_wysiwyg = "true";
+defparam \datamem|ram~407 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N15
+cyclonev_lcell_comb \datamem|ram~5536 (
+// Equation(s):
+// \datamem|ram~5536_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5535_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5535_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5536_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5536 .extended_lut = "off";
+defparam \datamem|ram~5536 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5536 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y14_N44
+dffeas \datamem|ram~919 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~919_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~919 .is_wysiwyg = "true";
+defparam \datamem|ram~919 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y14_N42
+cyclonev_lcell_comb \datamem|ram~5042 (
+// Equation(s):
+// \datamem|ram~5042_combout = ( \datamem|ram~919_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~407_q ) ) ) ) # ( !\datamem|ram~919_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~407_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~919_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~151_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~663_q )) ) ) ) # ( !\datamem|ram~919_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~151_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~663_q )) ) ) )
+
+ .dataa(!\datamem|ram~663_q ),
+ .datab(!\datamem|ram~151_q ),
+ .datac(!\datamem|ram~407_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~919_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5042_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5042 .extended_lut = "off";
+defparam \datamem|ram~5042 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5042 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N15
+cyclonev_lcell_comb \datamem|ram~167feeder (
+// Equation(s):
+// \datamem|ram~167feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~167feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~167feeder .extended_lut = "off";
+defparam \datamem|ram~167feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~167feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N3
+cyclonev_lcell_comb \datamem|ram~5538 (
+// Equation(s):
+// \datamem|ram~5538_combout = ( \datamem|ram~5537_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5537_combout ),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5538_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5538 .extended_lut = "off";
+defparam \datamem|ram~5538 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5538 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N16
+dffeas \datamem|ram~167 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~167feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~167_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~167 .is_wysiwyg = "true";
+defparam \datamem|ram~167 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N42
+cyclonev_lcell_comb \datamem|ram~5540 (
+// Equation(s):
+// \datamem|ram~5540_combout = ( \datamem|ram~5539_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5539_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5540_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5540 .extended_lut = "off";
+defparam \datamem|ram~5540 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5540 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N7
+dffeas \datamem|ram~423 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~423_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~423 .is_wysiwyg = "true";
+defparam \datamem|ram~423 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y18_N48
+cyclonev_lcell_comb \datamem|ram~5542 (
+// Equation(s):
+// \datamem|ram~5542_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5541_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5541_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5542_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5542 .extended_lut = "off";
+defparam \datamem|ram~5542 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5542 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N37
+dffeas \datamem|ram~679 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~679_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~679 .is_wysiwyg = "true";
+defparam \datamem|ram~679 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N6
+cyclonev_lcell_comb \datamem|ram~935feeder (
+// Equation(s):
+// \datamem|ram~935feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~935feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~935feeder .extended_lut = "off";
+defparam \datamem|ram~935feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~935feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N0
+cyclonev_lcell_comb \datamem|ram~5544 (
+// Equation(s):
+// \datamem|ram~5544_combout = ( \datamem|ram~5543_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5543_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5544_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5544 .extended_lut = "off";
+defparam \datamem|ram~5544 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5544 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N7
+dffeas \datamem|ram~935 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~935feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~935_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~935 .is_wysiwyg = "true";
+defparam \datamem|ram~935 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N3
+cyclonev_lcell_comb \datamem|ram~5043 (
+// Equation(s):
+// \datamem|ram~5043_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~935_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~679_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~423_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~167_q ) ) )
+
+ .dataa(!\datamem|ram~167_q ),
+ .datab(!\datamem|ram~423_q ),
+ .datac(!\datamem|ram~679_q ),
+ .datad(!\datamem|ram~935_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5043_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5043 .extended_lut = "off";
+defparam \datamem|ram~5043 .lut_mask = 64'h555533330F0F00FF;
+defparam \datamem|ram~5043 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y15_N3
+cyclonev_lcell_comb \datamem|ram~439feeder (
+// Equation(s):
+// \datamem|ram~439feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~439feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~439feeder .extended_lut = "off";
+defparam \datamem|ram~439feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~439feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N33
+cyclonev_lcell_comb \datamem|ram~5548 (
+// Equation(s):
+// \datamem|ram~5548_combout = ( \datamem|ram~5547_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5457_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5547_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5548_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5548 .extended_lut = "off";
+defparam \datamem|ram~5548 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5548 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y15_N4
+dffeas \datamem|ram~439 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~439feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~439_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~439 .is_wysiwyg = "true";
+defparam \datamem|ram~439 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N6
+cyclonev_lcell_comb \datamem|ram~183feeder (
+// Equation(s):
+// \datamem|ram~183feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~183feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~183feeder .extended_lut = "off";
+defparam \datamem|ram~183feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~183feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N48
+cyclonev_lcell_comb \datamem|ram~5546 (
+// Equation(s):
+// \datamem|ram~5546_combout = ( \datamem|ram~5545_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5545_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5546_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5546 .extended_lut = "off";
+defparam \datamem|ram~5546 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5546 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N7
+dffeas \datamem|ram~183 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~183feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~183_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~183 .is_wysiwyg = "true";
+defparam \datamem|ram~183 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y17_N51
+cyclonev_lcell_comb \datamem|ram~5550 (
+// Equation(s):
+// \datamem|ram~5550_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5549_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5549_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5550_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5550 .extended_lut = "off";
+defparam \datamem|ram~5550 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5550 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N29
+dffeas \datamem|ram~695 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~695_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~695 .is_wysiwyg = "true";
+defparam \datamem|ram~695 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N45
+cyclonev_lcell_comb \datamem|ram~5552 (
+// Equation(s):
+// \datamem|ram~5552_combout = (\datamem|ram~5551_combout & \datamem|ram~5457_combout )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5551_combout ),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5552_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5552 .extended_lut = "off";
+defparam \datamem|ram~5552 .lut_mask = 64'h0303030303030303;
+defparam \datamem|ram~5552 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y14_N38
+dffeas \datamem|ram~951 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~951_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~951 .is_wysiwyg = "true";
+defparam \datamem|ram~951 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y14_N36
+cyclonev_lcell_comb \datamem|ram~5044 (
+// Equation(s):
+// \datamem|ram~5044_combout = ( \datamem|ram~951_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~695_q ) ) ) ) # ( !\datamem|ram~951_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~695_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~951_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~183_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~439_q )) ) ) ) # ( !\datamem|ram~951_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~183_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~439_q )) ) ) )
+
+ .dataa(!\datamem|ram~439_q ),
+ .datab(!\datamem|ram~183_q ),
+ .datac(!\datamem|ram~695_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~951_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5044_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5044 .extended_lut = "off";
+defparam \datamem|ram~5044 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5044 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y14_N54
+cyclonev_lcell_comb \datamem|ram~5045 (
+// Equation(s):
+// \datamem|ram~5045_combout = ( \datamem|ram~5043_combout & ( \datamem|ram~5044_combout & ( ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5041_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~5042_combout )))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~5043_combout & ( \datamem|ram~5044_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5041_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~5042_combout ))))) #
+// (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )))) ) ) ) # ( \datamem|ram~5043_combout & ( !\datamem|ram~5044_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5041_combout )) #
+// (\alu_unit|Mux14~6_combout & ((\datamem|ram~5042_combout ))))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~5043_combout & ( !\datamem|ram~5044_combout & ( (!\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5041_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~5042_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~5041_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~5042_combout ),
+ .datae(!\datamem|ram~5043_combout ),
+ .dataf(!\datamem|ram~5044_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5045_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5045 .extended_lut = "off";
+defparam \datamem|ram~5045 .lut_mask = 64'h202A707A252F757F;
+defparam \datamem|ram~5045 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N24
+cyclonev_lcell_comb \datamem|ram~5490 (
+// Equation(s):
+// \datamem|ram~5490_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5489_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5489_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5490_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5490 .extended_lut = "off";
+defparam \datamem|ram~5490 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5490 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N25
+dffeas \datamem|ram~71 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~71_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~71 .is_wysiwyg = "true";
+defparam \datamem|ram~71 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N12
+cyclonev_lcell_comb \datamem|ram~103feeder (
+// Equation(s):
+// \datamem|ram~103feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~103feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~103feeder .extended_lut = "off";
+defparam \datamem|ram~103feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~103feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N57
+cyclonev_lcell_comb \datamem|ram~5494 (
+// Equation(s):
+// \datamem|ram~5494_combout = ( \datamem|ram~5493_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5457_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5493_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5494_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5494 .extended_lut = "off";
+defparam \datamem|ram~5494 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5494 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N14
+dffeas \datamem|ram~103 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~103feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~103_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~103 .is_wysiwyg = "true";
+defparam \datamem|ram~103 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N42
+cyclonev_lcell_comb \datamem|ram~119feeder (
+// Equation(s):
+// \datamem|ram~119feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~119feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~119feeder .extended_lut = "off";
+defparam \datamem|ram~119feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~119feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N30
+cyclonev_lcell_comb \datamem|ram~5496 (
+// Equation(s):
+// \datamem|ram~5496_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5495_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5495_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5496_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5496 .extended_lut = "off";
+defparam \datamem|ram~5496 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5496 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N43
+dffeas \datamem|ram~119 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~119feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~119_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~119 .is_wysiwyg = "true";
+defparam \datamem|ram~119 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N24
+cyclonev_lcell_comb \datamem|ram~5492 (
+// Equation(s):
+// \datamem|ram~5492_combout = ( \datamem|ram~5491_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5491_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5492_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5492 .extended_lut = "off";
+defparam \datamem|ram~5492 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5492 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N8
+dffeas \datamem|ram~87 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~87_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~87 .is_wysiwyg = "true";
+defparam \datamem|ram~87 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N6
+cyclonev_lcell_comb \datamem|ram~5036 (
+// Equation(s):
+// \datamem|ram~5036_combout = ( \datamem|ram~87_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~119_q ) ) ) ) # ( !\datamem|ram~87_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~119_q & \alu_unit|Mux13~4_combout )
+// ) ) ) # ( \datamem|ram~87_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~71_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~103_q ))) ) ) ) # ( !\datamem|ram~87_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~71_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~103_q ))) ) ) )
+
+ .dataa(!\datamem|ram~71_q ),
+ .datab(!\datamem|ram~103_q ),
+ .datac(!\datamem|ram~119_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~87_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5036_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5036 .extended_lut = "off";
+defparam \datamem|ram~5036 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~5036 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N30
+cyclonev_lcell_comb \datamem|ram~839feeder (
+// Equation(s):
+// \datamem|ram~839feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~839feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~839feeder .extended_lut = "off";
+defparam \datamem|ram~839feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~839feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y11_N15
+cyclonev_lcell_comb \datamem|ram~5514 (
+// Equation(s):
+// \datamem|ram~5514_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5513_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5513_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5514_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5514 .extended_lut = "off";
+defparam \datamem|ram~5514 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5514 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N32
+dffeas \datamem|ram~839 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~839feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~839_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~839 .is_wysiwyg = "true";
+defparam \datamem|ram~839 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N6
+cyclonev_lcell_comb \datamem|ram~855feeder (
+// Equation(s):
+// \datamem|ram~855feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~855feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~855feeder .extended_lut = "off";
+defparam \datamem|ram~855feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~855feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N0
+cyclonev_lcell_comb \datamem|ram~5516 (
+// Equation(s):
+// \datamem|ram~5516_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5515_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5515_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5516_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5516 .extended_lut = "off";
+defparam \datamem|ram~5516 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5516 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N8
+dffeas \datamem|ram~855 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~855feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~855_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~855 .is_wysiwyg = "true";
+defparam \datamem|ram~855 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y14_N0
+cyclonev_lcell_comb \datamem|ram~5518 (
+// Equation(s):
+// \datamem|ram~5518_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5517_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5517_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5518_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5518 .extended_lut = "off";
+defparam \datamem|ram~5518 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5518 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N2
+dffeas \datamem|ram~871 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~871_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~871 .is_wysiwyg = "true";
+defparam \datamem|ram~871 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N57
+cyclonev_lcell_comb \datamem|ram~5520 (
+// Equation(s):
+// \datamem|ram~5520_combout = ( \datamem|ram~5519_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5519_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5520_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5520 .extended_lut = "off";
+defparam \datamem|ram~5520 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5520 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N20
+dffeas \datamem|ram~887 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~887_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~887 .is_wysiwyg = "true";
+defparam \datamem|ram~887 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N18
+cyclonev_lcell_comb \datamem|ram~5039 (
+// Equation(s):
+// \datamem|ram~5039_combout = ( \datamem|ram~887_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~855_q ) ) ) ) # ( !\datamem|ram~887_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~855_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~887_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~839_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~871_q ))) ) ) ) # ( !\datamem|ram~887_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~839_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~871_q ))) ) ) )
+
+ .dataa(!\datamem|ram~839_q ),
+ .datab(!\datamem|ram~855_q ),
+ .datac(!\datamem|ram~871_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~887_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5039_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5039 .extended_lut = "off";
+defparam \datamem|ram~5039 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5039 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N3
+cyclonev_lcell_comb \datamem|ram~5498 (
+// Equation(s):
+// \datamem|ram~5498_combout = ( \datamem|ram~5497_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(!\datamem|ram~5497_combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5498_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5498 .extended_lut = "off";
+defparam \datamem|ram~5498 .lut_mask = 64'h00000F0F00000F0F;
+defparam \datamem|ram~5498 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N53
+dffeas \datamem|ram~327 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~327_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~327 .is_wysiwyg = "true";
+defparam \datamem|ram~327 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y8_N30
+cyclonev_lcell_comb \datamem|ram~375feeder (
+// Equation(s):
+// \datamem|ram~375feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~375feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~375feeder .extended_lut = "off";
+defparam \datamem|ram~375feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~375feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N0
+cyclonev_lcell_comb \datamem|ram~5504 (
+// Equation(s):
+// \datamem|ram~5504_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5503_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5503_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5504_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5504 .extended_lut = "off";
+defparam \datamem|ram~5504 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5504 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y8_N31
+dffeas \datamem|ram~375 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~375feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~375_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~375 .is_wysiwyg = "true";
+defparam \datamem|ram~375 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N42
+cyclonev_lcell_comb \datamem|ram~5502 (
+// Equation(s):
+// \datamem|ram~5502_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5501_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5501_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5502_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5502 .extended_lut = "off";
+defparam \datamem|ram~5502 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5502 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N43
+dffeas \datamem|ram~359 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~359_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~359 .is_wysiwyg = "true";
+defparam \datamem|ram~359 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N9
+cyclonev_lcell_comb \datamem|ram~5500 (
+// Equation(s):
+// \datamem|ram~5500_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5499_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5499_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5500_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5500 .extended_lut = "off";
+defparam \datamem|ram~5500 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5500 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N32
+dffeas \datamem|ram~343 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~343_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~343 .is_wysiwyg = "true";
+defparam \datamem|ram~343 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N30
+cyclonev_lcell_comb \datamem|ram~5037 (
+// Equation(s):
+// \datamem|ram~5037_combout = ( \datamem|ram~343_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~375_q ) ) ) ) # ( !\datamem|ram~343_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~375_q & \alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~343_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~327_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~359_q ))) ) ) ) # ( !\datamem|ram~343_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~327_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~359_q ))) ) ) )
+
+ .dataa(!\datamem|ram~327_q ),
+ .datab(!\datamem|ram~375_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~359_q ),
+ .datae(!\datamem|ram~343_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5037_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5037 .extended_lut = "off";
+defparam \datamem|ram~5037 .lut_mask = 64'h505F505F0303F3F3;
+defparam \datamem|ram~5037 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y4_N36
+cyclonev_lcell_comb \datamem|ram~5508 (
+// Equation(s):
+// \datamem|ram~5508_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5507_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5507_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5508_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5508 .extended_lut = "off";
+defparam \datamem|ram~5508 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5508 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N52
+dffeas \datamem|ram~599 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~599_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~599 .is_wysiwyg = "true";
+defparam \datamem|ram~599 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N6
+cyclonev_lcell_comb \datamem|ram~615feeder (
+// Equation(s):
+// \datamem|ram~615feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~615feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~615feeder .extended_lut = "off";
+defparam \datamem|ram~615feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~615feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N15
+cyclonev_lcell_comb \datamem|ram~5510 (
+// Equation(s):
+// \datamem|ram~5510_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5509_combout ) )
+
+ .dataa(!\datamem|ram~5509_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5510_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5510 .extended_lut = "off";
+defparam \datamem|ram~5510 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5510 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N8
+dffeas \datamem|ram~615 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~615feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~615_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~615 .is_wysiwyg = "true";
+defparam \datamem|ram~615 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N54
+cyclonev_lcell_comb \datamem|ram~583feeder (
+// Equation(s):
+// \datamem|ram~583feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~583feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~583feeder .extended_lut = "off";
+defparam \datamem|ram~583feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~583feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N27
+cyclonev_lcell_comb \datamem|ram~5506 (
+// Equation(s):
+// \datamem|ram~5506_combout = (\datamem|ram~5457_combout & \datamem|ram~5505_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(!\datamem|ram~5505_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5506_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5506 .extended_lut = "off";
+defparam \datamem|ram~5506 .lut_mask = 64'h000F000F000F000F;
+defparam \datamem|ram~5506 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N55
+dffeas \datamem|ram~583 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~583feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~583_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~583 .is_wysiwyg = "true";
+defparam \datamem|ram~583 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N42
+cyclonev_lcell_comb \datamem|ram~5512 (
+// Equation(s):
+// \datamem|ram~5512_combout = ( \datamem|ram~5511_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5457_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5511_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5512_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5512 .extended_lut = "off";
+defparam \datamem|ram~5512 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5512 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N26
+dffeas \datamem|ram~631 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~631_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~631 .is_wysiwyg = "true";
+defparam \datamem|ram~631 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N24
+cyclonev_lcell_comb \datamem|ram~5038 (
+// Equation(s):
+// \datamem|ram~5038_combout = ( \datamem|ram~631_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~615_q ) ) ) ) # ( !\datamem|ram~631_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~615_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~631_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~583_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~599_q )) ) ) ) # ( !\datamem|ram~631_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & ((\datamem|ram~583_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~599_q )) ) ) )
+
+ .dataa(!\datamem|ram~599_q ),
+ .datab(!\datamem|ram~615_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~583_q ),
+ .datae(!\datamem|ram~631_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5038_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5038 .extended_lut = "off";
+defparam \datamem|ram~5038 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5038 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N54
+cyclonev_lcell_comb \datamem|ram~5040 (
+// Equation(s):
+// \datamem|ram~5040_combout = ( \datamem|ram~5037_combout & ( \datamem|ram~5038_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~5036_combout ))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) #
+// (\datamem|ram~5039_combout )))) ) ) ) # ( !\datamem|ram~5037_combout & ( \datamem|ram~5038_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~5036_combout ))) # (\alu_unit|Mux10~6_combout &
+// (((\alu_unit|Mux9~4_combout & \datamem|ram~5039_combout )))) ) ) ) # ( \datamem|ram~5037_combout & ( !\datamem|ram~5038_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~5036_combout & (!\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout
+// & (((!\alu_unit|Mux9~4_combout ) # (\datamem|ram~5039_combout )))) ) ) ) # ( !\datamem|ram~5037_combout & ( !\datamem|ram~5038_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~5036_combout & (!\alu_unit|Mux9~4_combout ))) #
+// (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout & \datamem|ram~5039_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5036_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~5039_combout ),
+ .datae(!\datamem|ram~5037_combout ),
+ .dataf(!\datamem|ram~5038_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5040_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5040 .extended_lut = "off";
+defparam \datamem|ram~5040 .lut_mask = 64'h404370734C4F7C7F;
+defparam \datamem|ram~5040 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y5_N51
+cyclonev_lcell_comb \datamem|ram~39feeder (
+// Equation(s):
+// \datamem|ram~39feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~39feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~39feeder .extended_lut = "off";
+defparam \datamem|ram~39feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~39feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N30
+cyclonev_lcell_comb \datamem|ram~5462 (
+// Equation(s):
+// \datamem|ram~5462_combout = ( \datamem|ram~5461_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5461_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5462_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5462 .extended_lut = "off";
+defparam \datamem|ram~5462 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5462 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N52
+dffeas \datamem|ram~39 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~39feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~39_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~39 .is_wysiwyg = "true";
+defparam \datamem|ram~39 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y9_N0
+cyclonev_lcell_comb \datamem|ram~5458 (
+// Equation(s):
+// \datamem|ram~5458_combout = ( \datamem|ram~5456_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5457_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5456_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5458_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5458 .extended_lut = "off";
+defparam \datamem|ram~5458 .lut_mask = 64'h0000000033333333;
+defparam \datamem|ram~5458 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N7
+dffeas \datamem|ram~7 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~7_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~7 .is_wysiwyg = "true";
+defparam \datamem|ram~7 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y5_N9
+cyclonev_lcell_comb \datamem|ram~55feeder (
+// Equation(s):
+// \datamem|ram~55feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~55feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~55feeder .extended_lut = "off";
+defparam \datamem|ram~55feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~55feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N12
+cyclonev_lcell_comb \datamem|ram~5464 (
+// Equation(s):
+// \datamem|ram~5464_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5463_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5463_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5464_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5464 .extended_lut = "off";
+defparam \datamem|ram~5464 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5464 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N10
+dffeas \datamem|ram~55 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~55feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~55_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~55 .is_wysiwyg = "true";
+defparam \datamem|ram~55 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N48
+cyclonev_lcell_comb \datamem|ram~5460 (
+// Equation(s):
+// \datamem|ram~5460_combout = ( \datamem|ram~5459_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5459_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5460_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5460 .extended_lut = "off";
+defparam \datamem|ram~5460 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5460 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N8
+dffeas \datamem|ram~23 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~23_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~23 .is_wysiwyg = "true";
+defparam \datamem|ram~23 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N6
+cyclonev_lcell_comb \datamem|ram~5031 (
+// Equation(s):
+// \datamem|ram~5031_combout = ( \datamem|ram~23_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~55_q ) ) ) ) # ( !\datamem|ram~23_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout & \datamem|ram~55_q ) )
+// ) ) # ( \datamem|ram~23_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~7_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~39_q )) ) ) ) # ( !\datamem|ram~23_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~7_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~39_q )) ) ) )
+
+ .dataa(!\datamem|ram~39_q ),
+ .datab(!\datamem|ram~7_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~55_q ),
+ .datae(!\datamem|ram~23_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5031_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5031 .extended_lut = "off";
+defparam \datamem|ram~5031 .lut_mask = 64'h35353535000FF0FF;
+defparam \datamem|ram~5031 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N9
+cyclonev_lcell_comb \datamem|ram~5478 (
+// Equation(s):
+// \datamem|ram~5478_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5477_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5477_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5478_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5478 .extended_lut = "off";
+defparam \datamem|ram~5478 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5478 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N53
+dffeas \datamem|ram~551 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~551_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~551 .is_wysiwyg = "true";
+defparam \datamem|ram~551 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y10_N30
+cyclonev_lcell_comb \datamem|ram~5476 (
+// Equation(s):
+// \datamem|ram~5476_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5475_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5475_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5476_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5476 .extended_lut = "off";
+defparam \datamem|ram~5476 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5476 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N50
+dffeas \datamem|ram~535 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~535_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~535 .is_wysiwyg = "true";
+defparam \datamem|ram~535 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N48
+cyclonev_lcell_comb \datamem|ram~5474 (
+// Equation(s):
+// \datamem|ram~5474_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5473_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5473_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5474_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5474 .extended_lut = "off";
+defparam \datamem|ram~5474 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5474 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N38
+dffeas \datamem|ram~519 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~519_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~519 .is_wysiwyg = "true";
+defparam \datamem|ram~519 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N24
+cyclonev_lcell_comb \datamem|ram~5480 (
+// Equation(s):
+// \datamem|ram~5480_combout = ( \datamem|ram~5479_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5457_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5479_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5480_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5480 .extended_lut = "off";
+defparam \datamem|ram~5480 .lut_mask = 64'h0000000033333333;
+defparam \datamem|ram~5480 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N32
+dffeas \datamem|ram~567 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~567_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~567 .is_wysiwyg = "true";
+defparam \datamem|ram~567 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N30
+cyclonev_lcell_comb \datamem|ram~5033 (
+// Equation(s):
+// \datamem|ram~5033_combout = ( \datamem|ram~567_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~535_q ) ) ) ) # ( !\datamem|ram~567_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~535_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~567_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~519_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~551_q )) ) ) ) # ( !\datamem|ram~567_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~519_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~551_q )) ) ) )
+
+ .dataa(!\datamem|ram~551_q ),
+ .datab(!\datamem|ram~535_q ),
+ .datac(!\datamem|ram~519_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~567_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5033_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5033 .extended_lut = "off";
+defparam \datamem|ram~5033 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5033 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y18_N51
+cyclonev_lcell_comb \datamem|ram~5470 (
+// Equation(s):
+// \datamem|ram~5470_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5469_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5469_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5470_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5470 .extended_lut = "off";
+defparam \datamem|ram~5470 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5470 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N53
+dffeas \datamem|ram~295 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~295_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~295 .is_wysiwyg = "true";
+defparam \datamem|ram~295 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y7_N57
+cyclonev_lcell_comb \datamem|ram~5472 (
+// Equation(s):
+// \datamem|ram~5472_combout = ( \datamem|ram~5471_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5457_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5471_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5472_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5472 .extended_lut = "off";
+defparam \datamem|ram~5472 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5472 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N37
+dffeas \datamem|ram~311 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~311_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~311 .is_wysiwyg = "true";
+defparam \datamem|ram~311 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N3
+cyclonev_lcell_comb \datamem|ram~5466 (
+// Equation(s):
+// \datamem|ram~5466_combout = ( \datamem|ram~5465_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5465_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5466_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5466 .extended_lut = "off";
+defparam \datamem|ram~5466 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5466 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N5
+dffeas \datamem|ram~263 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~263_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~263 .is_wysiwyg = "true";
+defparam \datamem|ram~263 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y18_N12
+cyclonev_lcell_comb \datamem|ram~5468 (
+// Equation(s):
+// \datamem|ram~5468_combout = ( \datamem|ram~5467_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5467_combout ),
+ .dataf(!\datamem|ram~5457_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5468_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5468 .extended_lut = "off";
+defparam \datamem|ram~5468 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5468 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y7_N38
+dffeas \datamem|ram~279 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~279_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~279 .is_wysiwyg = "true";
+defparam \datamem|ram~279 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y7_N36
+cyclonev_lcell_comb \datamem|ram~5032 (
+// Equation(s):
+// \datamem|ram~5032_combout = ( \datamem|ram~279_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~311_q ) ) ) ) # ( !\datamem|ram~279_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~311_q & \alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~279_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~263_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~295_q )) ) ) ) # ( !\datamem|ram~279_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~263_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~295_q )) ) ) )
+
+ .dataa(!\datamem|ram~295_q ),
+ .datab(!\datamem|ram~311_q ),
+ .datac(!\datamem|ram~263_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~279_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5032_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5032 .extended_lut = "off";
+defparam \datamem|ram~5032 .lut_mask = 64'h0F550F550033FF33;
+defparam \datamem|ram~5032 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N42
+cyclonev_lcell_comb \datamem|ram~791feeder (
+// Equation(s):
+// \datamem|ram~791feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~791feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~791feeder .extended_lut = "off";
+defparam \datamem|ram~791feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~791feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N48
+cyclonev_lcell_comb \datamem|ram~5484 (
+// Equation(s):
+// \datamem|ram~5484_combout = ( \datamem|ram~5457_combout & ( \datamem|ram~5483_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5457_combout ),
+ .dataf(!\datamem|ram~5483_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5484_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5484 .extended_lut = "off";
+defparam \datamem|ram~5484 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5484 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N43
+dffeas \datamem|ram~791 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~791feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~791_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~791 .is_wysiwyg = "true";
+defparam \datamem|ram~791 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N6
+cyclonev_lcell_comb \datamem|ram~775feeder (
+// Equation(s):
+// \datamem|ram~775feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~775feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~775feeder .extended_lut = "off";
+defparam \datamem|ram~775feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~775feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N9
+cyclonev_lcell_comb \datamem|ram~5482 (
+// Equation(s):
+// \datamem|ram~5482_combout = ( \datamem|ram~5481_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5457_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5481_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5482_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5482 .extended_lut = "off";
+defparam \datamem|ram~5482 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5482 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N8
+dffeas \datamem|ram~775 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~775feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~775_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~775 .is_wysiwyg = "true";
+defparam \datamem|ram~775 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N3
+cyclonev_lcell_comb \datamem|ram~807feeder (
+// Equation(s):
+// \datamem|ram~807feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~807feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~807feeder .extended_lut = "off";
+defparam \datamem|ram~807feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~807feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N57
+cyclonev_lcell_comb \datamem|ram~5486 (
+// Equation(s):
+// \datamem|ram~5486_combout = ( \datamem|ram~5485_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5457_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5485_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5486_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5486 .extended_lut = "off";
+defparam \datamem|ram~5486 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5486 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N5
+dffeas \datamem|ram~807 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~807feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~807_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~807 .is_wysiwyg = "true";
+defparam \datamem|ram~807 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N15
+cyclonev_lcell_comb \datamem|ram~5488 (
+// Equation(s):
+// \datamem|ram~5488_combout = ( \datamem|ram~5487_combout & ( \datamem|ram~5457_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5457_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5487_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5488_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5488 .extended_lut = "off";
+defparam \datamem|ram~5488 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5488 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N56
+dffeas \datamem|ram~823 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~823_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~823 .is_wysiwyg = "true";
+defparam \datamem|ram~823 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N54
+cyclonev_lcell_comb \datamem|ram~5034 (
+// Equation(s):
+// \datamem|ram~5034_combout = ( \datamem|ram~823_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~791_q ) ) ) ) # ( !\datamem|ram~823_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~791_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~823_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~775_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~807_q ))) ) ) ) # ( !\datamem|ram~823_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~775_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~807_q ))) ) ) )
+
+ .dataa(!\datamem|ram~791_q ),
+ .datab(!\datamem|ram~775_q ),
+ .datac(!\datamem|ram~807_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~823_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5034_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5034 .extended_lut = "off";
+defparam \datamem|ram~5034 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5034 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N6
+cyclonev_lcell_comb \datamem|ram~5035 (
+// Equation(s):
+// \datamem|ram~5035_combout = ( \datamem|ram~5032_combout & ( \datamem|ram~5034_combout & ( ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5031_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5033_combout )))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~5032_combout & ( \datamem|ram~5034_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5031_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5033_combout ))))) # (\alu_unit|Mux10~6_combout &
+// (((\alu_unit|Mux9~4_combout )))) ) ) ) # ( \datamem|ram~5032_combout & ( !\datamem|ram~5034_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5031_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5033_combout ))))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~5032_combout & ( !\datamem|ram~5034_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5031_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5033_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5031_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~5033_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~5032_combout ),
+ .dataf(!\datamem|ram~5034_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5035_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5035 .extended_lut = "off";
+defparam \datamem|ram~5035 .lut_mask = 64'h440C770C443F773F;
+defparam \datamem|ram~5035 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N18
+cyclonev_lcell_comb \datamem|ram~5051 (
+// Equation(s):
+// \datamem|ram~5051_combout = ( \datamem|ram~5040_combout & ( \datamem|ram~5035_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~5045_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~5050_combout ))) ) )
+// ) # ( !\datamem|ram~5040_combout & ( \datamem|ram~5035_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~5045_combout )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~5050_combout
+// ))) ) ) ) # ( \datamem|ram~5040_combout & ( !\datamem|ram~5035_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~5045_combout )))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~5050_combout )))) ) ) ) # ( !\datamem|ram~5040_combout & ( !\datamem|ram~5035_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~5045_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~5050_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5050_combout ),
+ .datad(!\datamem|ram~5045_combout ),
+ .datae(!\datamem|ram~5040_combout ),
+ .dataf(!\datamem|ram~5035_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5051_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5051 .extended_lut = "off";
+defparam \datamem|ram~5051 .lut_mask = 64'h0123456789ABCDEF;
+defparam \datamem|ram~5051 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N24
+cyclonev_lcell_comb \datamem|ram~5715 (
+// Equation(s):
+// \datamem|ram~5715_combout = ( \alu_unit|Mux8~3_combout & ( \alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (((\alu_unit|Mux8~0_combout & \alu_unit|Mux7~0_combout )) # (\ALU_Control_unit|WideOr0~0_combout ))) ) ) ) # (
+// !\alu_unit|Mux8~3_combout & ( \alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (\alu_unit|Mux8~0_combout & \alu_unit|Mux7~0_combout ))) ) ) ) # ( \alu_unit|Mux8~3_combout & (
+// !\alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (\alu_unit|Mux8~0_combout & \alu_unit|Mux7~0_combout ))) ) ) ) # ( !\alu_unit|Mux8~3_combout & ( !\alu_unit|Mux7~3_combout & (
+// (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (\alu_unit|Mux8~0_combout & \alu_unit|Mux7~0_combout ))) ) ) )
+
+ .dataa(!\control_unit|mem_write~1_combout ),
+ .datab(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datac(!\alu_unit|Mux8~0_combout ),
+ .datad(!\alu_unit|Mux7~0_combout ),
+ .datae(!\alu_unit|Mux8~3_combout ),
+ .dataf(!\alu_unit|Mux7~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5715_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5715 .extended_lut = "off";
+defparam \datamem|ram~5715 .lut_mask = 64'h0004000400041115;
+defparam \datamem|ram~5715 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N21
+cyclonev_lcell_comb \datamem|ram~5773 (
+// Equation(s):
+// \datamem|ram~5773_combout = ( \datamem|ram~5571_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5571_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5773_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5773 .extended_lut = "off";
+defparam \datamem|ram~5773 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5773 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N38
+dffeas \datamem|ram~3799 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3799_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3799 .is_wysiwyg = "true";
+defparam \datamem|ram~3799 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N30
+cyclonev_lcell_comb \datamem|ram~3783feeder (
+// Equation(s):
+// \datamem|ram~3783feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3783feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3783feeder .extended_lut = "off";
+defparam \datamem|ram~3783feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3783feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N51
+cyclonev_lcell_comb \datamem|ram~5772 (
+// Equation(s):
+// \datamem|ram~5772_combout = ( \datamem|ram~5569_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5569_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5772_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5772 .extended_lut = "off";
+defparam \datamem|ram~5772 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5772 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N32
+dffeas \datamem|ram~3783 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3783feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3783_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3783 .is_wysiwyg = "true";
+defparam \datamem|ram~3783 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N30
+cyclonev_lcell_comb \datamem|ram~3815feeder (
+// Equation(s):
+// \datamem|ram~3815feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3815feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3815feeder .extended_lut = "off";
+defparam \datamem|ram~3815feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3815feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N12
+cyclonev_lcell_comb \datamem|ram~5774 (
+// Equation(s):
+// \datamem|ram~5774_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5573_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5573_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5774_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5774 .extended_lut = "off";
+defparam \datamem|ram~5774 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5774 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N31
+dffeas \datamem|ram~3815 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3815feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3815_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3815 .is_wysiwyg = "true";
+defparam \datamem|ram~3815 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N24
+cyclonev_lcell_comb \datamem|ram~5775 (
+// Equation(s):
+// \datamem|ram~5775_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5575_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5575_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5775_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5775 .extended_lut = "off";
+defparam \datamem|ram~5775 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5775 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N2
+dffeas \datamem|ram~3831 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3831_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3831 .is_wysiwyg = "true";
+defparam \datamem|ram~3831 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N0
+cyclonev_lcell_comb \datamem|ram~5111 (
+// Equation(s):
+// \datamem|ram~5111_combout = ( \datamem|ram~3831_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3815_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~3831_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~3815_q ) ) ) ) # ( \datamem|ram~3831_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3783_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3799_q )) ) ) ) # ( !\datamem|ram~3831_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3783_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3799_q )) ) ) )
+
+ .dataa(!\datamem|ram~3799_q ),
+ .datab(!\datamem|ram~3783_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3815_q ),
+ .datae(!\datamem|ram~3831_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5111_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5111 .extended_lut = "off";
+defparam \datamem|ram~5111 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5111 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N24
+cyclonev_lcell_comb \datamem|ram~5767 (
+// Equation(s):
+// \datamem|ram~5767_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5559_combout ) )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5559_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5715_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5767_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5767 .extended_lut = "off";
+defparam \datamem|ram~5767 .lut_mask = 64'h0000000033333333;
+defparam \datamem|ram~5767 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y10_N31
+dffeas \datamem|ram~3319 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3319_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3319 .is_wysiwyg = "true";
+defparam \datamem|ram~3319 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N0
+cyclonev_lcell_comb \datamem|ram~5765 (
+// Equation(s):
+// \datamem|ram~5765_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5555_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5555_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5765_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5765 .extended_lut = "off";
+defparam \datamem|ram~5765 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5765 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N40
+dffeas \datamem|ram~3287 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3287_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3287 .is_wysiwyg = "true";
+defparam \datamem|ram~3287 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y20_N48
+cyclonev_lcell_comb \datamem|ram~5764 (
+// Equation(s):
+// \datamem|ram~5764_combout = ( \datamem|ram~5553_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5553_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5764_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5764 .extended_lut = "off";
+defparam \datamem|ram~5764 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5764 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y10_N19
+dffeas \datamem|ram~3271 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3271_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3271 .is_wysiwyg = "true";
+defparam \datamem|ram~3271 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y16_N33
+cyclonev_lcell_comb \datamem|ram~5766 (
+// Equation(s):
+// \datamem|ram~5766_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5557_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5557_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5766_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5766 .extended_lut = "off";
+defparam \datamem|ram~5766 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5766 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y10_N25
+dffeas \datamem|ram~3303 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3303_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3303 .is_wysiwyg = "true";
+defparam \datamem|ram~3303 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N57
+cyclonev_lcell_comb \datamem|ram~5109 (
+// Equation(s):
+// \datamem|ram~5109_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3319_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3287_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~3303_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~3271_q ) ) )
+
+ .dataa(!\datamem|ram~3319_q ),
+ .datab(!\datamem|ram~3287_q ),
+ .datac(!\datamem|ram~3271_q ),
+ .datad(!\datamem|ram~3303_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5109_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5109 .extended_lut = "off";
+defparam \datamem|ram~5109 .lut_mask = 64'h0F0F00FF33335555;
+defparam \datamem|ram~5109 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N18
+cyclonev_lcell_comb \datamem|ram~3527feeder (
+// Equation(s):
+// \datamem|ram~3527feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3527feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3527feeder .extended_lut = "off";
+defparam \datamem|ram~3527feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3527feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N57
+cyclonev_lcell_comb \datamem|ram~5768 (
+// Equation(s):
+// \datamem|ram~5768_combout = ( \datamem|ram~5561_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5715_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5561_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5768_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5768 .extended_lut = "off";
+defparam \datamem|ram~5768 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5768 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N20
+dffeas \datamem|ram~3527 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3527feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3527_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3527 .is_wysiwyg = "true";
+defparam \datamem|ram~3527 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N6
+cyclonev_lcell_comb \datamem|ram~3543feeder (
+// Equation(s):
+// \datamem|ram~3543feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3543feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3543feeder .extended_lut = "off";
+defparam \datamem|ram~3543feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3543feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y17_N42
+cyclonev_lcell_comb \datamem|ram~5769 (
+// Equation(s):
+// \datamem|ram~5769_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5563_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5563_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5769_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5769 .extended_lut = "off";
+defparam \datamem|ram~5769 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5769 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N8
+dffeas \datamem|ram~3543 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3543feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3543_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3543 .is_wysiwyg = "true";
+defparam \datamem|ram~3543 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y17_N33
+cyclonev_lcell_comb \datamem|ram~5770 (
+// Equation(s):
+// \datamem|ram~5770_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5565_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5565_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5770_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5770 .extended_lut = "off";
+defparam \datamem|ram~5770 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5770 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N1
+dffeas \datamem|ram~3559 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3559_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3559 .is_wysiwyg = "true";
+defparam \datamem|ram~3559 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y10_N15
+cyclonev_lcell_comb \datamem|ram~5771 (
+// Equation(s):
+// \datamem|ram~5771_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5567_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5567_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5771_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5771 .extended_lut = "off";
+defparam \datamem|ram~5771 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5771 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N2
+dffeas \datamem|ram~3575 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3575_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3575 .is_wysiwyg = "true";
+defparam \datamem|ram~3575 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N0
+cyclonev_lcell_comb \datamem|ram~5110 (
+// Equation(s):
+// \datamem|ram~5110_combout = ( \datamem|ram~3575_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3543_q ) ) ) ) # ( !\datamem|ram~3575_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3543_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3575_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3527_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3559_q ))) ) ) ) # ( !\datamem|ram~3575_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3527_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3559_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3527_q ),
+ .datab(!\datamem|ram~3543_q ),
+ .datac(!\datamem|ram~3559_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3575_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5110_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5110 .extended_lut = "off";
+defparam \datamem|ram~5110 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5110 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y22_N24
+cyclonev_lcell_comb \datamem|ram~4039feeder (
+// Equation(s):
+// \datamem|ram~4039feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4039feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4039feeder .extended_lut = "off";
+defparam \datamem|ram~4039feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4039feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N27
+cyclonev_lcell_comb \datamem|ram~5776 (
+// Equation(s):
+// \datamem|ram~5776_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5577_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5577_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5776_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5776 .extended_lut = "off";
+defparam \datamem|ram~5776 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5776 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y22_N25
+dffeas \datamem|ram~4039 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4039feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4039_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4039 .is_wysiwyg = "true";
+defparam \datamem|ram~4039 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N45
+cyclonev_lcell_comb \datamem|ram~5778 (
+// Equation(s):
+// \datamem|ram~5778_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5581_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5581_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5778_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5778 .extended_lut = "off";
+defparam \datamem|ram~5778 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5778 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y17_N34
+dffeas \datamem|ram~4071 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4071_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4071 .is_wysiwyg = "true";
+defparam \datamem|ram~4071 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N27
+cyclonev_lcell_comb \datamem|ram~5777 (
+// Equation(s):
+// \datamem|ram~5777_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5579_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5579_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5777_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5777 .extended_lut = "off";
+defparam \datamem|ram~5777 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5777 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N25
+dffeas \datamem|ram~4055 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4055_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4055 .is_wysiwyg = "true";
+defparam \datamem|ram~4055 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N9
+cyclonev_lcell_comb \datamem|ram~5779 (
+// Equation(s):
+// \datamem|ram~5779_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5583_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5583_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5715_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5779_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5779 .extended_lut = "off";
+defparam \datamem|ram~5779 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5779 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y16_N41
+dffeas \datamem|ram~4087 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4087_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4087 .is_wysiwyg = "true";
+defparam \datamem|ram~4087 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y16_N39
+cyclonev_lcell_comb \datamem|ram~5112 (
+// Equation(s):
+// \datamem|ram~5112_combout = ( \datamem|ram~4087_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~4055_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~4087_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~4055_q ) ) ) ) # ( \datamem|ram~4087_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4039_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4071_q ))) ) ) ) # ( !\datamem|ram~4087_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4039_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4071_q ))) ) ) )
+
+ .dataa(!\datamem|ram~4039_q ),
+ .datab(!\datamem|ram~4071_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4055_q ),
+ .datae(!\datamem|ram~4087_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5112_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5112 .extended_lut = "off";
+defparam \datamem|ram~5112 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5112 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N24
+cyclonev_lcell_comb \datamem|ram~5113 (
+// Equation(s):
+// \datamem|ram~5113_combout = ( \datamem|ram~5110_combout & ( \datamem|ram~5112_combout & ( ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5109_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5111_combout ))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~5110_combout & ( \datamem|ram~5112_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5109_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5111_combout )))) # (\alu_unit|Mux10~6_combout &
+// (((\alu_unit|Mux9~4_combout )))) ) ) ) # ( \datamem|ram~5110_combout & ( !\datamem|ram~5112_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5109_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5111_combout )))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~5110_combout & ( !\datamem|ram~5112_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5109_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5111_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5111_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~5109_combout ),
+ .datae(!\datamem|ram~5110_combout ),
+ .dataf(!\datamem|ram~5112_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5113_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5113 .extended_lut = "off";
+defparam \datamem|ram~5113 .lut_mask = 64'h04C434F407C737F7;
+defparam \datamem|ram~5113 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y17_N42
+cyclonev_lcell_comb \datamem|ram~5732 (
+// Equation(s):
+// \datamem|ram~5732_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5489_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5489_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5732_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5732 .extended_lut = "off";
+defparam \datamem|ram~5732 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5732 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N22
+dffeas \datamem|ram~3143 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3143_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3143 .is_wysiwyg = "true";
+defparam \datamem|ram~3143 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N30
+cyclonev_lcell_comb \datamem|ram~5733 (
+// Equation(s):
+// \datamem|ram~5733_combout = ( \datamem|ram~5491_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(!\datamem|ram~5715_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5491_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5733_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5733 .extended_lut = "off";
+defparam \datamem|ram~5733 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5733 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N31
+dffeas \datamem|ram~3159 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3159_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3159 .is_wysiwyg = "true";
+defparam \datamem|ram~3159 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y6_N39
+cyclonev_lcell_comb \datamem|ram~3175feeder (
+// Equation(s):
+// \datamem|ram~3175feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3175feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3175feeder .extended_lut = "off";
+defparam \datamem|ram~3175feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3175feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N33
+cyclonev_lcell_comb \datamem|ram~5734 (
+// Equation(s):
+// \datamem|ram~5734_combout = ( \datamem|ram~5493_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(!\datamem|ram~5715_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5493_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5734_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5734 .extended_lut = "off";
+defparam \datamem|ram~5734 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5734 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N40
+dffeas \datamem|ram~3175 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3175feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3175_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3175 .is_wysiwyg = "true";
+defparam \datamem|ram~3175 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y6_N0
+cyclonev_lcell_comb \datamem|ram~3191feeder (
+// Equation(s):
+// \datamem|ram~3191feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3191feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3191feeder .extended_lut = "off";
+defparam \datamem|ram~3191feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3191feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y6_N12
+cyclonev_lcell_comb \datamem|ram~5735 (
+// Equation(s):
+// \datamem|ram~5735_combout = ( \datamem|ram~5495_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5495_combout ),
+ .dataf(!\datamem|ram~5715_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5735_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5735 .extended_lut = "off";
+defparam \datamem|ram~5735 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5735 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N1
+dffeas \datamem|ram~3191 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3191feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3191_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3191 .is_wysiwyg = "true";
+defparam \datamem|ram~3191 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N18
+cyclonev_lcell_comb \datamem|ram~5099 (
+// Equation(s):
+// \datamem|ram~5099_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3191_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3159_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~3175_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~3143_q ) ) )
+
+ .dataa(!\datamem|ram~3143_q ),
+ .datab(!\datamem|ram~3159_q ),
+ .datac(!\datamem|ram~3175_q ),
+ .datad(!\datamem|ram~3191_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5099_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5099 .extended_lut = "off";
+defparam \datamem|ram~5099 .lut_mask = 64'h55550F0F333300FF;
+defparam \datamem|ram~5099 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N15
+cyclonev_lcell_comb \datamem|ram~3431feeder (
+// Equation(s):
+// \datamem|ram~3431feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3431feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3431feeder .extended_lut = "off";
+defparam \datamem|ram~3431feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3431feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N0
+cyclonev_lcell_comb \datamem|ram~5738 (
+// Equation(s):
+// \datamem|ram~5738_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5501_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5501_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5738_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5738 .extended_lut = "off";
+defparam \datamem|ram~5738 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5738 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N16
+dffeas \datamem|ram~3431 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3431feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3431_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3431 .is_wysiwyg = "true";
+defparam \datamem|ram~3431 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N24
+cyclonev_lcell_comb \datamem|ram~5737 (
+// Equation(s):
+// \datamem|ram~5737_combout = ( \datamem|ram~5499_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5715_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5499_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5737_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5737 .extended_lut = "off";
+defparam \datamem|ram~5737 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5737 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N26
+dffeas \datamem|ram~3415 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3415_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3415 .is_wysiwyg = "true";
+defparam \datamem|ram~3415 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N27
+cyclonev_lcell_comb \datamem|ram~3399feeder (
+// Equation(s):
+// \datamem|ram~3399feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3399feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3399feeder .extended_lut = "off";
+defparam \datamem|ram~3399feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3399feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N48
+cyclonev_lcell_comb \datamem|ram~5736 (
+// Equation(s):
+// \datamem|ram~5736_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5497_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5497_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5715_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5736_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5736 .extended_lut = "off";
+defparam \datamem|ram~5736 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5736 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N28
+dffeas \datamem|ram~3399 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3399feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3399_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3399 .is_wysiwyg = "true";
+defparam \datamem|ram~3399 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N0
+cyclonev_lcell_comb \datamem|ram~5739 (
+// Equation(s):
+// \datamem|ram~5739_combout = ( \datamem|ram~5503_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5715_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5503_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5739_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5739 .extended_lut = "off";
+defparam \datamem|ram~5739 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5739 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y6_N44
+dffeas \datamem|ram~3447 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3447_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3447 .is_wysiwyg = "true";
+defparam \datamem|ram~3447 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y6_N42
+cyclonev_lcell_comb \datamem|ram~5100 (
+// Equation(s):
+// \datamem|ram~5100_combout = ( \datamem|ram~3447_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3415_q ) ) ) ) # ( !\datamem|ram~3447_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3415_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3447_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3399_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3431_q )) ) ) ) # ( !\datamem|ram~3447_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3399_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3431_q )) ) ) )
+
+ .dataa(!\datamem|ram~3431_q ),
+ .datab(!\datamem|ram~3415_q ),
+ .datac(!\datamem|ram~3399_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3447_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5100_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5100 .extended_lut = "off";
+defparam \datamem|ram~5100 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5100 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N12
+cyclonev_lcell_comb \datamem|ram~3703feeder (
+// Equation(s):
+// \datamem|ram~3703feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3703feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3703feeder .extended_lut = "off";
+defparam \datamem|ram~3703feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3703feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N21
+cyclonev_lcell_comb \datamem|ram~5743 (
+// Equation(s):
+// \datamem|ram~5743_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5511_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5511_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5715_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5743_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5743 .extended_lut = "off";
+defparam \datamem|ram~5743 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5743 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N13
+dffeas \datamem|ram~3703 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3703feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3703_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3703 .is_wysiwyg = "true";
+defparam \datamem|ram~3703 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N12
+cyclonev_lcell_comb \datamem|ram~5741 (
+// Equation(s):
+// \datamem|ram~5741_combout = ( \datamem|ram~5507_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5507_combout ),
+ .dataf(!\datamem|ram~5715_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5741_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5741 .extended_lut = "off";
+defparam \datamem|ram~5741 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5741 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N1
+dffeas \datamem|ram~3671 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3671_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3671 .is_wysiwyg = "true";
+defparam \datamem|ram~3671 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N48
+cyclonev_lcell_comb \datamem|ram~3687feeder (
+// Equation(s):
+// \datamem|ram~3687feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3687feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3687feeder .extended_lut = "off";
+defparam \datamem|ram~3687feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3687feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N6
+cyclonev_lcell_comb \datamem|ram~5742 (
+// Equation(s):
+// \datamem|ram~5742_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5509_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5509_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5742_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5742 .extended_lut = "off";
+defparam \datamem|ram~5742 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5742 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N49
+dffeas \datamem|ram~3687 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3687feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3687_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3687 .is_wysiwyg = "true";
+defparam \datamem|ram~3687 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N3
+cyclonev_lcell_comb \datamem|ram~3655feeder (
+// Equation(s):
+// \datamem|ram~3655feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3655feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3655feeder .extended_lut = "off";
+defparam \datamem|ram~3655feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3655feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N18
+cyclonev_lcell_comb \datamem|ram~5740 (
+// Equation(s):
+// \datamem|ram~5740_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5505_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5505_combout ),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5740_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5740 .extended_lut = "off";
+defparam \datamem|ram~5740 .lut_mask = 64'h00000F0F00000F0F;
+defparam \datamem|ram~5740 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N4
+dffeas \datamem|ram~3655 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3655feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3655_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3655 .is_wysiwyg = "true";
+defparam \datamem|ram~3655 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N15
+cyclonev_lcell_comb \datamem|ram~5101 (
+// Equation(s):
+// \datamem|ram~5101_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3703_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3671_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~3687_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~3655_q ) ) )
+
+ .dataa(!\datamem|ram~3703_q ),
+ .datab(!\datamem|ram~3671_q ),
+ .datac(!\datamem|ram~3687_q ),
+ .datad(!\datamem|ram~3655_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5101_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5101 .extended_lut = "off";
+defparam \datamem|ram~5101 .lut_mask = 64'h00FF0F0F33335555;
+defparam \datamem|ram~5101 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N27
+cyclonev_lcell_comb \datamem|ram~3927feeder (
+// Equation(s):
+// \datamem|ram~3927feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3927feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3927feeder .extended_lut = "off";
+defparam \datamem|ram~3927feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3927feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N12
+cyclonev_lcell_comb \datamem|ram~5745 (
+// Equation(s):
+// \datamem|ram~5745_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5515_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5515_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5745_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5745 .extended_lut = "off";
+defparam \datamem|ram~5745 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5745 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N29
+dffeas \datamem|ram~3927 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3927feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3927_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3927 .is_wysiwyg = "true";
+defparam \datamem|ram~3927 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y14_N51
+cyclonev_lcell_comb \datamem|ram~5746 (
+// Equation(s):
+// \datamem|ram~5746_combout = ( \datamem|ram~5517_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5715_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5517_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5746_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5746 .extended_lut = "off";
+defparam \datamem|ram~5746 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5746 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N59
+dffeas \datamem|ram~3943 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3943_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3943 .is_wysiwyg = "true";
+defparam \datamem|ram~3943 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y11_N39
+cyclonev_lcell_comb \datamem|ram~5744 (
+// Equation(s):
+// \datamem|ram~5744_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5513_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5513_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5744_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5744 .extended_lut = "off";
+defparam \datamem|ram~5744 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5744 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y7_N40
+dffeas \datamem|ram~3911 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3911_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3911 .is_wysiwyg = "true";
+defparam \datamem|ram~3911 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N21
+cyclonev_lcell_comb \datamem|ram~5747 (
+// Equation(s):
+// \datamem|ram~5747_combout = ( \datamem|ram~5519_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5715_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5519_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5747_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5747 .extended_lut = "off";
+defparam \datamem|ram~5747 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5747 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N44
+dffeas \datamem|ram~3959 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3959_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3959 .is_wysiwyg = "true";
+defparam \datamem|ram~3959 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N42
+cyclonev_lcell_comb \datamem|ram~5102 (
+// Equation(s):
+// \datamem|ram~5102_combout = ( \datamem|ram~3959_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3943_q ) ) ) ) # ( !\datamem|ram~3959_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3943_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3959_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3911_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3927_q )) ) ) ) # ( !\datamem|ram~3959_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3911_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3927_q )) ) ) )
+
+ .dataa(!\datamem|ram~3927_q ),
+ .datab(!\datamem|ram~3943_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3911_q ),
+ .datae(!\datamem|ram~3959_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5102_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5102 .extended_lut = "off";
+defparam \datamem|ram~5102 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5102 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N45
+cyclonev_lcell_comb \datamem|ram~5103 (
+// Equation(s):
+// \datamem|ram~5103_combout = ( \datamem|ram~5101_combout & ( \datamem|ram~5102_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5099_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5100_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~5101_combout & ( \datamem|ram~5102_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5099_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5100_combout ))))) # (\alu_unit|Mux9~4_combout &
+// (((\alu_unit|Mux10~6_combout )))) ) ) ) # ( \datamem|ram~5101_combout & ( !\datamem|ram~5102_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5099_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~5100_combout ))))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~5101_combout & ( !\datamem|ram~5102_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// (\datamem|ram~5099_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5100_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5099_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5100_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~5101_combout ),
+ .dataf(!\datamem|ram~5102_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5103_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5103 .extended_lut = "off";
+defparam \datamem|ram~5103 .lut_mask = 64'h440C770C443F773F;
+defparam \datamem|ram~5103 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y19_N36
+cyclonev_lcell_comb \datamem|ram~3239feeder (
+// Equation(s):
+// \datamem|ram~3239feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3239feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3239feeder .extended_lut = "off";
+defparam \datamem|ram~3239feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3239feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N33
+cyclonev_lcell_comb \datamem|ram~5756 (
+// Equation(s):
+// \datamem|ram~5756_combout = (\datamem|ram~5537_combout & \datamem|ram~5715_combout )
+
+ .dataa(!\datamem|ram~5537_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5715_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5756_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5756 .extended_lut = "off";
+defparam \datamem|ram~5756 .lut_mask = 64'h0055005500550055;
+defparam \datamem|ram~5756 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N38
+dffeas \datamem|ram~3239 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3239feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3239_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3239 .is_wysiwyg = "true";
+defparam \datamem|ram~3239 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y9_N36
+cyclonev_lcell_comb \datamem|ram~5758 (
+// Equation(s):
+// \datamem|ram~5758_combout = ( \datamem|ram~5541_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5715_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5541_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5758_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5758 .extended_lut = "off";
+defparam \datamem|ram~5758 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5758 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N19
+dffeas \datamem|ram~3751 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3751_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3751 .is_wysiwyg = "true";
+defparam \datamem|ram~3751 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y19_N30
+cyclonev_lcell_comb \datamem|ram~3495feeder (
+// Equation(s):
+// \datamem|ram~3495feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3495feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3495feeder .extended_lut = "off";
+defparam \datamem|ram~3495feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3495feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N39
+cyclonev_lcell_comb \datamem|ram~5757 (
+// Equation(s):
+// \datamem|ram~5757_combout = ( \datamem|ram~5539_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5539_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5757_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5757 .extended_lut = "off";
+defparam \datamem|ram~5757 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5757 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N32
+dffeas \datamem|ram~3495 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3495feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3495_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3495 .is_wysiwyg = "true";
+defparam \datamem|ram~3495 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N21
+cyclonev_lcell_comb \datamem|ram~5759 (
+// Equation(s):
+// \datamem|ram~5759_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5543_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5543_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5759_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5759 .extended_lut = "off";
+defparam \datamem|ram~5759 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5759 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N14
+dffeas \datamem|ram~4007 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4007_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4007 .is_wysiwyg = "true";
+defparam \datamem|ram~4007 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y19_N12
+cyclonev_lcell_comb \datamem|ram~5106 (
+// Equation(s):
+// \datamem|ram~5106_combout = ( \datamem|ram~4007_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3751_q ) ) ) ) # ( !\datamem|ram~4007_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3751_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4007_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3239_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3495_q ))) ) ) ) # ( !\datamem|ram~4007_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3239_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3495_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3239_q ),
+ .datab(!\datamem|ram~3751_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3495_q ),
+ .datae(!\datamem|ram~4007_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5106_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5106 .extended_lut = "off";
+defparam \datamem|ram~5106 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5106 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N36
+cyclonev_lcell_comb \datamem|ram~3479feeder (
+// Equation(s):
+// \datamem|ram~3479feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3479feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3479feeder .extended_lut = "off";
+defparam \datamem|ram~3479feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3479feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N36
+cyclonev_lcell_comb \datamem|ram~5753 (
+// Equation(s):
+// \datamem|ram~5753_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5531_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5531_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5753_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5753 .extended_lut = "off";
+defparam \datamem|ram~5753 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5753 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N37
+dffeas \datamem|ram~3479 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3479feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3479_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3479 .is_wysiwyg = "true";
+defparam \datamem|ram~3479 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N42
+cyclonev_lcell_comb \datamem|ram~3223feeder (
+// Equation(s):
+// \datamem|ram~3223feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3223feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3223feeder .extended_lut = "off";
+defparam \datamem|ram~3223feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3223feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N42
+cyclonev_lcell_comb \datamem|ram~5752 (
+// Equation(s):
+// \datamem|ram~5752_combout = ( \datamem|ram~5529_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5715_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5529_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5752_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5752 .extended_lut = "off";
+defparam \datamem|ram~5752 .lut_mask = 64'h0000000033333333;
+defparam \datamem|ram~5752 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N43
+dffeas \datamem|ram~3223 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3223feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3223_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3223 .is_wysiwyg = "true";
+defparam \datamem|ram~3223 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N57
+cyclonev_lcell_comb \datamem|ram~3735feeder (
+// Equation(s):
+// \datamem|ram~3735feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3735feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3735feeder .extended_lut = "off";
+defparam \datamem|ram~3735feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3735feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N3
+cyclonev_lcell_comb \datamem|ram~5754 (
+// Equation(s):
+// \datamem|ram~5754_combout = ( \datamem|ram~5533_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5533_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5754_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5754 .extended_lut = "off";
+defparam \datamem|ram~5754 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5754 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N58
+dffeas \datamem|ram~3735 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3735feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3735_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3735 .is_wysiwyg = "true";
+defparam \datamem|ram~3735 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N30
+cyclonev_lcell_comb \datamem|ram~5755 (
+// Equation(s):
+// \datamem|ram~5755_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5535_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5535_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5755_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5755 .extended_lut = "off";
+defparam \datamem|ram~5755 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5755 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y18_N14
+dffeas \datamem|ram~3991 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3991_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3991 .is_wysiwyg = "true";
+defparam \datamem|ram~3991 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y18_N12
+cyclonev_lcell_comb \datamem|ram~5105 (
+// Equation(s):
+// \datamem|ram~5105_combout = ( \datamem|ram~3991_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3479_q ) ) ) ) # ( !\datamem|ram~3991_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3479_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3991_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3223_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3735_q ))) ) ) ) # ( !\datamem|ram~3991_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3223_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3735_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3479_q ),
+ .datab(!\datamem|ram~3223_q ),
+ .datac(!\datamem|ram~3735_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~3991_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5105_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5105 .extended_lut = "off";
+defparam \datamem|ram~5105 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5105 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N27
+cyclonev_lcell_comb \datamem|ram~5760 (
+// Equation(s):
+// \datamem|ram~5760_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5545_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5545_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5760_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5760 .extended_lut = "off";
+defparam \datamem|ram~5760 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5760 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y21_N7
+dffeas \datamem|ram~3255 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3255_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3255 .is_wysiwyg = "true";
+defparam \datamem|ram~3255 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y18_N6
+cyclonev_lcell_comb \datamem|ram~3767feeder (
+// Equation(s):
+// \datamem|ram~3767feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3767feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3767feeder .extended_lut = "off";
+defparam \datamem|ram~3767feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3767feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y6_N48
+cyclonev_lcell_comb \datamem|ram~5762 (
+// Equation(s):
+// \datamem|ram~5762_combout = ( \datamem|ram~5549_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5715_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5549_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5762_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5762 .extended_lut = "off";
+defparam \datamem|ram~5762 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5762 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y18_N8
+dffeas \datamem|ram~3767 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3767feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3767_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3767 .is_wysiwyg = "true";
+defparam \datamem|ram~3767 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N42
+cyclonev_lcell_comb \datamem|ram~5761 (
+// Equation(s):
+// \datamem|ram~5761_combout = ( \datamem|ram~5547_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5547_combout ),
+ .dataf(!\datamem|ram~5715_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5761_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5761 .extended_lut = "off";
+defparam \datamem|ram~5761 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5761 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N44
+dffeas \datamem|ram~3511 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3511_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3511 .is_wysiwyg = "true";
+defparam \datamem|ram~3511 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N42
+cyclonev_lcell_comb \datamem|ram~5763 (
+// Equation(s):
+// \datamem|ram~5763_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5551_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5551_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5763_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5763 .extended_lut = "off";
+defparam \datamem|ram~5763 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5763 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y18_N38
+dffeas \datamem|ram~4023 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4023_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4023 .is_wysiwyg = "true";
+defparam \datamem|ram~4023 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y18_N36
+cyclonev_lcell_comb \datamem|ram~5107 (
+// Equation(s):
+// \datamem|ram~5107_combout = ( \datamem|ram~4023_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3511_q ) ) ) ) # ( !\datamem|ram~4023_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3511_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~4023_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3255_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3767_q ))) ) ) ) # ( !\datamem|ram~4023_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3255_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3767_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3255_q ),
+ .datab(!\datamem|ram~3767_q ),
+ .datac(!\datamem|ram~3511_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4023_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5107_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5107 .extended_lut = "off";
+defparam \datamem|ram~5107 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5107 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N33
+cyclonev_lcell_comb \datamem|ram~3463feeder (
+// Equation(s):
+// \datamem|ram~3463feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3463feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3463feeder .extended_lut = "off";
+defparam \datamem|ram~3463feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3463feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N57
+cyclonev_lcell_comb \datamem|ram~5749 (
+// Equation(s):
+// \datamem|ram~5749_combout = ( \datamem|ram~5523_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5523_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5749_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5749 .extended_lut = "off";
+defparam \datamem|ram~5749 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5749 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N34
+dffeas \datamem|ram~3463 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3463feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3463_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3463 .is_wysiwyg = "true";
+defparam \datamem|ram~3463 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y16_N12
+cyclonev_lcell_comb \datamem|ram~5748 (
+// Equation(s):
+// \datamem|ram~5748_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5521_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5521_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5748_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5748 .extended_lut = "off";
+defparam \datamem|ram~5748 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5748 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N19
+dffeas \datamem|ram~3207 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3207_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3207 .is_wysiwyg = "true";
+defparam \datamem|ram~3207 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y21_N6
+cyclonev_lcell_comb \datamem|ram~5750 (
+// Equation(s):
+// \datamem|ram~5750_combout = ( \datamem|ram~5525_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5525_combout ),
+ .dataf(!\datamem|ram~5715_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5750_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5750 .extended_lut = "off";
+defparam \datamem|ram~5750 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5750 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N31
+dffeas \datamem|ram~3719 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3719_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3719 .is_wysiwyg = "true";
+defparam \datamem|ram~3719 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N33
+cyclonev_lcell_comb \datamem|ram~5751 (
+// Equation(s):
+// \datamem|ram~5751_combout = ( \datamem|ram~5527_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5527_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5751_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5751 .extended_lut = "off";
+defparam \datamem|ram~5751 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5751 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N50
+dffeas \datamem|ram~3975 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3975_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3975 .is_wysiwyg = "true";
+defparam \datamem|ram~3975 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N48
+cyclonev_lcell_comb \datamem|ram~5104 (
+// Equation(s):
+// \datamem|ram~5104_combout = ( \datamem|ram~3975_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3719_q ) ) ) ) # ( !\datamem|ram~3975_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3719_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3975_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3207_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3463_q )) ) ) ) # ( !\datamem|ram~3975_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3207_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3463_q )) ) ) )
+
+ .dataa(!\datamem|ram~3463_q ),
+ .datab(!\datamem|ram~3207_q ),
+ .datac(!\datamem|ram~3719_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3975_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5104_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5104 .extended_lut = "off";
+defparam \datamem|ram~5104 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5104 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y18_N24
+cyclonev_lcell_comb \datamem|ram~5108 (
+// Equation(s):
+// \datamem|ram~5108_combout = ( \datamem|ram~5107_combout & ( \datamem|ram~5104_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~5105_combout )))) # (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) #
+// (\datamem|ram~5106_combout ))) ) ) ) # ( !\datamem|ram~5107_combout & ( \datamem|ram~5104_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~5105_combout )))) # (\alu_unit|Mux13~4_combout &
+// (\datamem|ram~5106_combout & (!\alu_unit|Mux14~6_combout ))) ) ) ) # ( \datamem|ram~5107_combout & ( !\datamem|ram~5104_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout & \datamem|ram~5105_combout )))) #
+// (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) # (\datamem|ram~5106_combout ))) ) ) ) # ( !\datamem|ram~5107_combout & ( !\datamem|ram~5104_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout &
+// \datamem|ram~5105_combout )))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~5106_combout & (!\alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~5106_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~5105_combout ),
+ .datae(!\datamem|ram~5107_combout ),
+ .dataf(!\datamem|ram~5104_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5108_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5108 .extended_lut = "off";
+defparam \datamem|ram~5108 .lut_mask = 64'h101A151FB0BAB5BF;
+defparam \datamem|ram~5108 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N33
+cyclonev_lcell_comb \datamem|ram~3863feeder (
+// Equation(s):
+// \datamem|ram~3863feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3863feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3863feeder .extended_lut = "off";
+defparam \datamem|ram~3863feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3863feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N39
+cyclonev_lcell_comb \datamem|ram~5729 (
+// Equation(s):
+// \datamem|ram~5729_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5483_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5483_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5729_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5729 .extended_lut = "off";
+defparam \datamem|ram~5729 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5729 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N35
+dffeas \datamem|ram~3863 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3863feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3863_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3863 .is_wysiwyg = "true";
+defparam \datamem|ram~3863 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N0
+cyclonev_lcell_comb \datamem|ram~5730 (
+// Equation(s):
+// \datamem|ram~5730_combout = ( \datamem|ram~5485_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5485_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5730_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5730 .extended_lut = "off";
+defparam \datamem|ram~5730 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5730 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N20
+dffeas \datamem|ram~3879 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3879_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3879 .is_wysiwyg = "true";
+defparam \datamem|ram~3879 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N42
+cyclonev_lcell_comb \datamem|ram~5728 (
+// Equation(s):
+// \datamem|ram~5728_combout = ( \datamem|ram~5481_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5481_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5728_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5728 .extended_lut = "off";
+defparam \datamem|ram~5728 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5728 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N10
+dffeas \datamem|ram~3847 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3847_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3847 .is_wysiwyg = "true";
+defparam \datamem|ram~3847 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N33
+cyclonev_lcell_comb \datamem|ram~5731 (
+// Equation(s):
+// \datamem|ram~5731_combout = ( \datamem|ram~5487_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5487_combout ),
+ .dataf(!\datamem|ram~5715_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5731_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5731 .extended_lut = "off";
+defparam \datamem|ram~5731 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5731 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N20
+dffeas \datamem|ram~3895 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3895_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3895 .is_wysiwyg = "true";
+defparam \datamem|ram~3895 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N18
+cyclonev_lcell_comb \datamem|ram~5097 (
+// Equation(s):
+// \datamem|ram~5097_combout = ( \datamem|ram~3895_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3863_q ) ) ) ) # ( !\datamem|ram~3895_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3863_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3895_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3847_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3879_q )) ) ) ) # ( !\datamem|ram~3895_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3847_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3879_q )) ) ) )
+
+ .dataa(!\datamem|ram~3863_q ),
+ .datab(!\datamem|ram~3879_q ),
+ .datac(!\datamem|ram~3847_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3895_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5097_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5097 .extended_lut = "off";
+defparam \datamem|ram~5097 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5097 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N48
+cyclonev_lcell_comb \datamem|ram~3367feeder (
+// Equation(s):
+// \datamem|ram~3367feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3367feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3367feeder .extended_lut = "off";
+defparam \datamem|ram~3367feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~3367feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N54
+cyclonev_lcell_comb \datamem|ram~5722 (
+// Equation(s):
+// \datamem|ram~5722_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5469_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5469_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5722_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5722 .extended_lut = "off";
+defparam \datamem|ram~5722 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5722 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N49
+dffeas \datamem|ram~3367 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3367feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3367_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3367 .is_wysiwyg = "true";
+defparam \datamem|ram~3367 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N33
+cyclonev_lcell_comb \datamem|ram~5721 (
+// Equation(s):
+// \datamem|ram~5721_combout = ( \datamem|ram~5467_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5467_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5721_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5721 .extended_lut = "off";
+defparam \datamem|ram~5721 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5721 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N1
+dffeas \datamem|ram~3351 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3351_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3351 .is_wysiwyg = "true";
+defparam \datamem|ram~3351 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N3
+cyclonev_lcell_comb \datamem|ram~5720 (
+// Equation(s):
+// \datamem|ram~5720_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5465_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5465_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5720_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5720 .extended_lut = "off";
+defparam \datamem|ram~5720 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5720 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y7_N37
+dffeas \datamem|ram~3335 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3335_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3335 .is_wysiwyg = "true";
+defparam \datamem|ram~3335 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y6_N15
+cyclonev_lcell_comb \datamem|ram~5723 (
+// Equation(s):
+// \datamem|ram~5723_combout = ( \datamem|ram~5471_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5715_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5471_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5723_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5723 .extended_lut = "off";
+defparam \datamem|ram~5723 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5723 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y11_N17
+dffeas \datamem|ram~3383 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3383_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3383 .is_wysiwyg = "true";
+defparam \datamem|ram~3383 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y11_N15
+cyclonev_lcell_comb \datamem|ram~5095 (
+// Equation(s):
+// \datamem|ram~5095_combout = ( \datamem|ram~3383_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3351_q ) ) ) ) # ( !\datamem|ram~3383_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3351_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3383_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3335_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3367_q )) ) ) ) # ( !\datamem|ram~3383_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3335_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3367_q )) ) ) )
+
+ .dataa(!\datamem|ram~3367_q ),
+ .datab(!\datamem|ram~3351_q ),
+ .datac(!\datamem|ram~3335_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3383_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5095_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5095 .extended_lut = "off";
+defparam \datamem|ram~5095 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5095 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y7_N30
+cyclonev_lcell_comb \datamem|ram~3623feeder (
+// Equation(s):
+// \datamem|ram~3623feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3623feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3623feeder .extended_lut = "off";
+defparam \datamem|ram~3623feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3623feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N15
+cyclonev_lcell_comb \datamem|ram~5726 (
+// Equation(s):
+// \datamem|ram~5726_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5477_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5477_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5726_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5726 .extended_lut = "off";
+defparam \datamem|ram~5726 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5726 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y7_N31
+dffeas \datamem|ram~3623 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3623feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3623_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3623 .is_wysiwyg = "true";
+defparam \datamem|ram~3623 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N30
+cyclonev_lcell_comb \datamem|ram~3591feeder (
+// Equation(s):
+// \datamem|ram~3591feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3591feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3591feeder .extended_lut = "off";
+defparam \datamem|ram~3591feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3591feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N0
+cyclonev_lcell_comb \datamem|ram~5724 (
+// Equation(s):
+// \datamem|ram~5724_combout = ( \datamem|ram~5473_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5473_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5724_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5724 .extended_lut = "off";
+defparam \datamem|ram~5724 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5724 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N31
+dffeas \datamem|ram~3591 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3591feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3591_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3591 .is_wysiwyg = "true";
+defparam \datamem|ram~3591 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N30
+cyclonev_lcell_comb \datamem|ram~3607feeder (
+// Equation(s):
+// \datamem|ram~3607feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3607feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3607feeder .extended_lut = "off";
+defparam \datamem|ram~3607feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3607feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y10_N48
+cyclonev_lcell_comb \datamem|ram~5725 (
+// Equation(s):
+// \datamem|ram~5725_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5475_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5475_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5725_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5725 .extended_lut = "off";
+defparam \datamem|ram~5725 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5725 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N31
+dffeas \datamem|ram~3607 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3607feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3607_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3607 .is_wysiwyg = "true";
+defparam \datamem|ram~3607 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N27
+cyclonev_lcell_comb \datamem|ram~5727 (
+// Equation(s):
+// \datamem|ram~5727_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5479_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5479_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5727_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5727 .extended_lut = "off";
+defparam \datamem|ram~5727 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5727 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N38
+dffeas \datamem|ram~3639 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3639_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3639 .is_wysiwyg = "true";
+defparam \datamem|ram~3639 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N36
+cyclonev_lcell_comb \datamem|ram~5096 (
+// Equation(s):
+// \datamem|ram~5096_combout = ( \datamem|ram~3639_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3607_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~3639_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~3607_q ) ) ) ) # ( \datamem|ram~3639_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3591_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3623_q )) ) ) ) # ( !\datamem|ram~3639_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3591_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3623_q )) ) ) )
+
+ .dataa(!\datamem|ram~3623_q ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~3591_q ),
+ .datad(!\datamem|ram~3607_q ),
+ .datae(!\datamem|ram~3639_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5096_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5096 .extended_lut = "off";
+defparam \datamem|ram~5096 .lut_mask = 64'h1D1D1D1D00CC33FF;
+defparam \datamem|ram~5096 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y8_N36
+cyclonev_lcell_comb \datamem|ram~3111feeder (
+// Equation(s):
+// \datamem|ram~3111feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3111feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3111feeder .extended_lut = "off";
+defparam \datamem|ram~3111feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3111feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y9_N57
+cyclonev_lcell_comb \datamem|ram~5718 (
+// Equation(s):
+// \datamem|ram~5718_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5461_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5461_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5718_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5718 .extended_lut = "off";
+defparam \datamem|ram~5718 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5718 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N37
+dffeas \datamem|ram~3111 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3111feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3111_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3111 .is_wysiwyg = "true";
+defparam \datamem|ram~3111 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N42
+cyclonev_lcell_comb \datamem|ram~3079feeder (
+// Equation(s):
+// \datamem|ram~3079feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3079feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3079feeder .extended_lut = "off";
+defparam \datamem|ram~3079feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3079feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N6
+cyclonev_lcell_comb \datamem|ram~5716 (
+// Equation(s):
+// \datamem|ram~5716_combout = ( \datamem|ram~5715_combout & ( \datamem|ram~5456_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5715_combout ),
+ .dataf(!\datamem|ram~5456_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5716_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5716 .extended_lut = "off";
+defparam \datamem|ram~5716 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5716 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N43
+dffeas \datamem|ram~3079 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3079feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3079_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3079 .is_wysiwyg = "true";
+defparam \datamem|ram~3079 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N54
+cyclonev_lcell_comb \datamem|ram~5717 (
+// Equation(s):
+// \datamem|ram~5717_combout = ( \datamem|ram~5459_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5459_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5717_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5717 .extended_lut = "off";
+defparam \datamem|ram~5717 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5717 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N50
+dffeas \datamem|ram~3095 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3095_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3095 .is_wysiwyg = "true";
+defparam \datamem|ram~3095 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y9_N45
+cyclonev_lcell_comb \datamem|ram~5719 (
+// Equation(s):
+// \datamem|ram~5719_combout = ( \datamem|ram~5463_combout & ( \datamem|ram~5715_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5715_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5463_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5719_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5719 .extended_lut = "off";
+defparam \datamem|ram~5719 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5719 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N47
+dffeas \datamem|ram~3127 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3127_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3127 .is_wysiwyg = "true";
+defparam \datamem|ram~3127 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N45
+cyclonev_lcell_comb \datamem|ram~5094 (
+// Equation(s):
+// \datamem|ram~5094_combout = ( \datamem|ram~3127_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3095_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~3127_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~3095_q ) ) ) ) # ( \datamem|ram~3127_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3079_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3111_q )) ) ) ) # ( !\datamem|ram~3127_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3079_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3111_q )) ) ) )
+
+ .dataa(!\datamem|ram~3111_q ),
+ .datab(!\datamem|ram~3079_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3095_q ),
+ .datae(!\datamem|ram~3127_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5094_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5094 .extended_lut = "off";
+defparam \datamem|ram~5094 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5094 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N6
+cyclonev_lcell_comb \datamem|ram~5098 (
+// Equation(s):
+// \datamem|ram~5098_combout = ( \datamem|ram~5096_combout & ( \datamem|ram~5094_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5095_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5097_combout ))) ) ) )
+// # ( !\datamem|ram~5096_combout & ( \datamem|ram~5094_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5095_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5097_combout )))) ) ) ) # ( \datamem|ram~5096_combout & ( !\datamem|ram~5094_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5095_combout
+// ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5097_combout )))) ) ) ) # ( !\datamem|ram~5096_combout & ( !\datamem|ram~5094_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5095_combout ))) #
+// (\alu_unit|Mux9~4_combout & (\datamem|ram~5097_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5097_combout ),
+ .datad(!\datamem|ram~5095_combout ),
+ .datae(!\datamem|ram~5096_combout ),
+ .dataf(!\datamem|ram~5094_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5098_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5098 .extended_lut = "off";
+defparam \datamem|ram~5098 .lut_mask = 64'h0145236789CDABEF;
+defparam \datamem|ram~5098 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N0
+cyclonev_lcell_comb \datamem|ram~5114 (
+// Equation(s):
+// \datamem|ram~5114_combout = ( \datamem|ram~5108_combout & ( \datamem|ram~5098_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5103_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5113_combout ))) ) )
+// ) # ( !\datamem|ram~5108_combout & ( \datamem|ram~5098_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5103_combout ))) # (\alu_unit|Mux11~4_combout
+// & (\datamem|ram~5113_combout )))) ) ) ) # ( \datamem|ram~5108_combout & ( !\datamem|ram~5098_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~5103_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5113_combout )))) ) ) ) # ( !\datamem|ram~5108_combout & ( !\datamem|ram~5098_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~5103_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5113_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5113_combout ),
+ .datad(!\datamem|ram~5103_combout ),
+ .datae(!\datamem|ram~5108_combout ),
+ .dataf(!\datamem|ram~5098_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5114_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5114 .extended_lut = "off";
+defparam \datamem|ram~5114 .lut_mask = 64'h0145236789CDABEF;
+defparam \datamem|ram~5114 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N18
+cyclonev_lcell_comb \datamem|ram~5650 (
+// Equation(s):
+// \datamem|ram~5650_combout = ( \alu_unit|Mux8~3_combout & ( \alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux8~0_combout & \alu_unit|Mux7~0_combout ))) ) ) ) # (
+// !\alu_unit|Mux8~3_combout & ( \alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (((!\alu_unit|Mux8~0_combout & \alu_unit|Mux7~0_combout )) # (\ALU_Control_unit|WideOr0~0_combout ))) ) ) ) # ( \alu_unit|Mux8~3_combout & (
+// !\alu_unit|Mux7~3_combout & ( (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux8~0_combout & \alu_unit|Mux7~0_combout ))) ) ) ) # ( !\alu_unit|Mux8~3_combout & ( !\alu_unit|Mux7~3_combout & (
+// (\control_unit|mem_write~1_combout & (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux8~0_combout & \alu_unit|Mux7~0_combout ))) ) ) )
+
+ .dataa(!\control_unit|mem_write~1_combout ),
+ .datab(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datac(!\alu_unit|Mux8~0_combout ),
+ .datad(!\alu_unit|Mux7~0_combout ),
+ .datae(!\alu_unit|Mux8~3_combout ),
+ .dataf(!\alu_unit|Mux7~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5650_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5650 .extended_lut = "off";
+defparam \datamem|ram~5650 .lut_mask = 64'h0040004011510040;
+defparam \datamem|ram~5650 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N21
+cyclonev_lcell_comb \datamem|ram~5681 (
+// Equation(s):
+// \datamem|ram~5681_combout = ( \datamem|ram~5571_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5571_combout ),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5681_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5681 .extended_lut = "off";
+defparam \datamem|ram~5681 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5681 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N19
+dffeas \datamem|ram~2775 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2775_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2775 .is_wysiwyg = "true";
+defparam \datamem|ram~2775 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y11_N27
+cyclonev_lcell_comb \datamem|ram~5682 (
+// Equation(s):
+// \datamem|ram~5682_combout = ( \datamem|ram~5579_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5579_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5682_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5682 .extended_lut = "off";
+defparam \datamem|ram~5682 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5682 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N25
+dffeas \datamem|ram~3031 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3031_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3031 .is_wysiwyg = "true";
+defparam \datamem|ram~3031 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y19_N21
+cyclonev_lcell_comb \datamem|ram~5680 (
+// Equation(s):
+// \datamem|ram~5680_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5563_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5563_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5680_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5680 .extended_lut = "off";
+defparam \datamem|ram~5680 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5680 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N49
+dffeas \datamem|ram~2519 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2519_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2519 .is_wysiwyg = "true";
+defparam \datamem|ram~2519 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y23_N51
+cyclonev_lcell_comb \datamem|ram~5679 (
+// Equation(s):
+// \datamem|ram~5679_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5555_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5555_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5679_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5679 .extended_lut = "off";
+defparam \datamem|ram~5679 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5679 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N31
+dffeas \datamem|ram~2263 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2263_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2263 .is_wysiwyg = "true";
+defparam \datamem|ram~2263 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N9
+cyclonev_lcell_comb \datamem|ram~5081 (
+// Equation(s):
+// \datamem|ram~5081_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3031_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2519_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~2775_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~2263_q ) ) )
+
+ .dataa(!\datamem|ram~2775_q ),
+ .datab(!\datamem|ram~3031_q ),
+ .datac(!\datamem|ram~2519_q ),
+ .datad(!\datamem|ram~2263_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5081_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5081 .extended_lut = "off";
+defparam \datamem|ram~5081 .lut_mask = 64'h00FF55550F0F3333;
+defparam \datamem|ram~5081 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N0
+cyclonev_lcell_comb \datamem|ram~5672 (
+// Equation(s):
+// \datamem|ram~5672_combout = ( \datamem|ram~5499_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5499_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5672_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5672 .extended_lut = "off";
+defparam \datamem|ram~5672 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5672 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N37
+dffeas \datamem|ram~2391 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2391_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2391 .is_wysiwyg = "true";
+defparam \datamem|ram~2391 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N39
+cyclonev_lcell_comb \datamem|ram~2903feeder (
+// Equation(s):
+// \datamem|ram~2903feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2903feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2903feeder .extended_lut = "off";
+defparam \datamem|ram~2903feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2903feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N9
+cyclonev_lcell_comb \datamem|ram~5674 (
+// Equation(s):
+// \datamem|ram~5674_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5515_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5515_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5674_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5674 .extended_lut = "off";
+defparam \datamem|ram~5674 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5674 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N40
+dffeas \datamem|ram~2903 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2903feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2903_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2903 .is_wysiwyg = "true";
+defparam \datamem|ram~2903 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N0
+cyclonev_lcell_comb \datamem|ram~5671 (
+// Equation(s):
+// \datamem|ram~5671_combout = ( \datamem|ram~5491_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5491_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5671_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5671 .extended_lut = "off";
+defparam \datamem|ram~5671 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5671 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N19
+dffeas \datamem|ram~2135 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2135_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2135 .is_wysiwyg = "true";
+defparam \datamem|ram~2135 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y12_N39
+cyclonev_lcell_comb \datamem|ram~2647feeder (
+// Equation(s):
+// \datamem|ram~2647feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2647feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2647feeder .extended_lut = "off";
+defparam \datamem|ram~2647feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2647feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y12_N57
+cyclonev_lcell_comb \datamem|ram~5673 (
+// Equation(s):
+// \datamem|ram~5673_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5507_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5507_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5673_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5673 .extended_lut = "off";
+defparam \datamem|ram~5673 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5673 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N40
+dffeas \datamem|ram~2647 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2647feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2647_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2647 .is_wysiwyg = "true";
+defparam \datamem|ram~2647 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N21
+cyclonev_lcell_comb \datamem|ram~5079 (
+// Equation(s):
+// \datamem|ram~5079_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2903_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2391_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~2647_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~2135_q ) ) )
+
+ .dataa(!\datamem|ram~2391_q ),
+ .datab(!\datamem|ram~2903_q ),
+ .datac(!\datamem|ram~2135_q ),
+ .datad(!\datamem|ram~2647_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5079_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5079 .extended_lut = "off";
+defparam \datamem|ram~5079 .lut_mask = 64'h0F0F00FF55553333;
+defparam \datamem|ram~5079 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N24
+cyclonev_lcell_comb \datamem|ram~2071feeder (
+// Equation(s):
+// \datamem|ram~2071feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2071feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2071feeder .extended_lut = "off";
+defparam \datamem|ram~2071feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2071feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N15
+cyclonev_lcell_comb \datamem|ram~5667 (
+// Equation(s):
+// \datamem|ram~5667_combout = ( \datamem|ram~5459_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5459_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5667_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5667 .extended_lut = "off";
+defparam \datamem|ram~5667 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5667 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N26
+dffeas \datamem|ram~2071 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2071feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2071_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2071 .is_wysiwyg = "true";
+defparam \datamem|ram~2071 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N30
+cyclonev_lcell_comb \datamem|ram~5668 (
+// Equation(s):
+// \datamem|ram~5668_combout = ( \datamem|ram~5467_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5467_combout ),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5668_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5668 .extended_lut = "off";
+defparam \datamem|ram~5668 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5668 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y16_N19
+dffeas \datamem|ram~2327 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2327_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2327 .is_wysiwyg = "true";
+defparam \datamem|ram~2327 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N42
+cyclonev_lcell_comb \datamem|ram~2583feeder (
+// Equation(s):
+// \datamem|ram~2583feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2583feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2583feeder .extended_lut = "off";
+defparam \datamem|ram~2583feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2583feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y10_N57
+cyclonev_lcell_comb \datamem|ram~5669 (
+// Equation(s):
+// \datamem|ram~5669_combout = ( \datamem|ram~5475_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5475_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5669_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5669 .extended_lut = "off";
+defparam \datamem|ram~5669 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5669 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N44
+dffeas \datamem|ram~2583 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2583feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2583_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2583 .is_wysiwyg = "true";
+defparam \datamem|ram~2583 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N33
+cyclonev_lcell_comb \datamem|ram~5670 (
+// Equation(s):
+// \datamem|ram~5670_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5483_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5483_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5670_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5670 .extended_lut = "off";
+defparam \datamem|ram~5670 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5670 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N14
+dffeas \datamem|ram~2839 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2839_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2839 .is_wysiwyg = "true";
+defparam \datamem|ram~2839 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N12
+cyclonev_lcell_comb \datamem|ram~5078 (
+// Equation(s):
+// \datamem|ram~5078_combout = ( \datamem|ram~2839_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2327_q ) ) ) ) # ( !\datamem|ram~2839_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2327_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2839_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2071_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2583_q ))) ) ) ) # ( !\datamem|ram~2839_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2071_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2583_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2071_q ),
+ .datab(!\datamem|ram~2327_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2583_q ),
+ .datae(!\datamem|ram~2839_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5078_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5078 .extended_lut = "off";
+defparam \datamem|ram~5078 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5078 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N0
+cyclonev_lcell_comb \datamem|ram~2711feeder (
+// Equation(s):
+// \datamem|ram~2711feeder_combout = \reg_file|reg_read_data_2[7]~7_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2711feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2711feeder .extended_lut = "off";
+defparam \datamem|ram~2711feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~2711feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N48
+cyclonev_lcell_comb \datamem|ram~5677 (
+// Equation(s):
+// \datamem|ram~5677_combout = ( \datamem|ram~5533_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5533_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5677_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5677 .extended_lut = "off";
+defparam \datamem|ram~5677 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5677 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N2
+dffeas \datamem|ram~2711 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2711feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2711_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2711 .is_wysiwyg = "true";
+defparam \datamem|ram~2711 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N6
+cyclonev_lcell_comb \datamem|ram~2455feeder (
+// Equation(s):
+// \datamem|ram~2455feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2455feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2455feeder .extended_lut = "off";
+defparam \datamem|ram~2455feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2455feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N39
+cyclonev_lcell_comb \datamem|ram~5676 (
+// Equation(s):
+// \datamem|ram~5676_combout = ( \datamem|ram~5531_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5531_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5676_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5676 .extended_lut = "off";
+defparam \datamem|ram~5676 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5676 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N7
+dffeas \datamem|ram~2455 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2455feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2455_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2455 .is_wysiwyg = "true";
+defparam \datamem|ram~2455 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N36
+cyclonev_lcell_comb \datamem|ram~2199feeder (
+// Equation(s):
+// \datamem|ram~2199feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2199feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2199feeder .extended_lut = "off";
+defparam \datamem|ram~2199feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2199feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N21
+cyclonev_lcell_comb \datamem|ram~5675 (
+// Equation(s):
+// \datamem|ram~5675_combout = ( \datamem|ram~5529_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5529_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5675_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5675 .extended_lut = "off";
+defparam \datamem|ram~5675 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5675 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N38
+dffeas \datamem|ram~2199 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2199feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2199_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2199 .is_wysiwyg = "true";
+defparam \datamem|ram~2199 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N51
+cyclonev_lcell_comb \datamem|ram~5678 (
+// Equation(s):
+// \datamem|ram~5678_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5535_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5535_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5678_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5678 .extended_lut = "off";
+defparam \datamem|ram~5678 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5678 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N8
+dffeas \datamem|ram~2967 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2967_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2967 .is_wysiwyg = "true";
+defparam \datamem|ram~2967 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N6
+cyclonev_lcell_comb \datamem|ram~5080 (
+// Equation(s):
+// \datamem|ram~5080_combout = ( \datamem|ram~2967_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2711_q ) ) ) ) # ( !\datamem|ram~2967_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2711_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2967_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2199_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2455_q )) ) ) ) # ( !\datamem|ram~2967_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2199_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2455_q )) ) ) )
+
+ .dataa(!\datamem|ram~2711_q ),
+ .datab(!\datamem|ram~2455_q ),
+ .datac(!\datamem|ram~2199_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2967_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5080_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5080 .extended_lut = "off";
+defparam \datamem|ram~5080 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5080 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N12
+cyclonev_lcell_comb \datamem|ram~5082 (
+// Equation(s):
+// \datamem|ram~5082_combout = ( \datamem|ram~5078_combout & ( \datamem|ram~5080_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5079_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5081_combout ))) ) )
+// ) # ( !\datamem|ram~5078_combout & ( \datamem|ram~5080_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5079_combout ))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~5081_combout )))) ) ) ) # ( \datamem|ram~5078_combout & ( !\datamem|ram~5080_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5079_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5081_combout )))) ) ) ) # ( !\datamem|ram~5078_combout & ( !\datamem|ram~5080_combout & ( (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5079_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5081_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~5081_combout ),
+ .datac(!\datamem|ram~5079_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~5078_combout ),
+ .dataf(!\datamem|ram~5080_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5082_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5082 .extended_lut = "off";
+defparam \datamem|ram~5082 .lut_mask = 64'h0511AF1105BBAFBB;
+defparam \datamem|ram~5082 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N45
+cyclonev_lcell_comb \datamem|ram~2743feeder (
+// Equation(s):
+// \datamem|ram~2743feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2743feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2743feeder .extended_lut = "off";
+defparam \datamem|ram~2743feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2743feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N18
+cyclonev_lcell_comb \datamem|ram~5709 (
+// Equation(s):
+// \datamem|ram~5709_combout = ( \datamem|ram~5549_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5549_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5709_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5709 .extended_lut = "off";
+defparam \datamem|ram~5709 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5709 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N46
+dffeas \datamem|ram~2743 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2743feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2743_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2743 .is_wysiwyg = "true";
+defparam \datamem|ram~2743 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N3
+cyclonev_lcell_comb \datamem|ram~5708 (
+// Equation(s):
+// \datamem|ram~5708_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5511_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5511_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5708_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5708 .extended_lut = "off";
+defparam \datamem|ram~5708 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5708 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N16
+dffeas \datamem|ram~2679 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2679_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2679 .is_wysiwyg = "true";
+defparam \datamem|ram~2679 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N54
+cyclonev_lcell_comb \datamem|ram~2615feeder (
+// Equation(s):
+// \datamem|ram~2615feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2615feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2615feeder .extended_lut = "off";
+defparam \datamem|ram~2615feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2615feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N12
+cyclonev_lcell_comb \datamem|ram~5707 (
+// Equation(s):
+// \datamem|ram~5707_combout = ( \datamem|ram~5479_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5479_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5707_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5707 .extended_lut = "off";
+defparam \datamem|ram~5707 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5707 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N56
+dffeas \datamem|ram~2615 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2615feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2615_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2615 .is_wysiwyg = "true";
+defparam \datamem|ram~2615 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N27
+cyclonev_lcell_comb \datamem|ram~5710 (
+// Equation(s):
+// \datamem|ram~5710_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5575_combout ) )
+
+ .dataa(!\datamem|ram~5575_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5710_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5710 .extended_lut = "off";
+defparam \datamem|ram~5710 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5710 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y9_N32
+dffeas \datamem|ram~2807 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2807_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2807 .is_wysiwyg = "true";
+defparam \datamem|ram~2807 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N30
+cyclonev_lcell_comb \datamem|ram~5090 (
+// Equation(s):
+// \datamem|ram~5090_combout = ( \datamem|ram~2807_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2743_q ) ) ) ) # ( !\datamem|ram~2807_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2743_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2807_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2615_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2679_q )) ) ) ) # ( !\datamem|ram~2807_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2615_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2679_q )) ) ) )
+
+ .dataa(!\datamem|ram~2743_q ),
+ .datab(!\datamem|ram~2679_q ),
+ .datac(!\datamem|ram~2615_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2807_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5090_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5090 .extended_lut = "off";
+defparam \datamem|ram~5090 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5090 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y9_N12
+cyclonev_lcell_comb \datamem|ram~5699 (
+// Equation(s):
+// \datamem|ram~5699_combout = ( \datamem|ram~5463_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5463_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5699_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5699 .extended_lut = "off";
+defparam \datamem|ram~5699 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5699 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N4
+dffeas \datamem|ram~2103 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2103_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2103 .is_wysiwyg = "true";
+defparam \datamem|ram~2103 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N12
+cyclonev_lcell_comb \datamem|ram~5700 (
+// Equation(s):
+// \datamem|ram~5700_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5495_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5495_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5700_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5700 .extended_lut = "off";
+defparam \datamem|ram~5700 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5700 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N58
+dffeas \datamem|ram~2167 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2167_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2167 .is_wysiwyg = "true";
+defparam \datamem|ram~2167 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y12_N54
+cyclonev_lcell_comb \datamem|ram~2231feeder (
+// Equation(s):
+// \datamem|ram~2231feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2231feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2231feeder .extended_lut = "off";
+defparam \datamem|ram~2231feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2231feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y12_N33
+cyclonev_lcell_comb \datamem|ram~5701 (
+// Equation(s):
+// \datamem|ram~5701_combout = ( \datamem|ram~5545_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5545_combout ),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5701_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5701 .extended_lut = "off";
+defparam \datamem|ram~5701 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5701 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N55
+dffeas \datamem|ram~2231 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2231feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2231_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2231 .is_wysiwyg = "true";
+defparam \datamem|ram~2231 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N18
+cyclonev_lcell_comb \datamem|ram~5702 (
+// Equation(s):
+// \datamem|ram~5702_combout = ( \datamem|ram~5559_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5559_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5702_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5702 .extended_lut = "off";
+defparam \datamem|ram~5702 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5702 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y9_N50
+dffeas \datamem|ram~2295 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2295_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2295 .is_wysiwyg = "true";
+defparam \datamem|ram~2295 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N48
+cyclonev_lcell_comb \datamem|ram~5088 (
+// Equation(s):
+// \datamem|ram~5088_combout = ( \datamem|ram~2295_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2231_q ) ) ) ) # ( !\datamem|ram~2295_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2231_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2295_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2103_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2167_q ))) ) ) ) # ( !\datamem|ram~2295_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2103_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2167_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2103_q ),
+ .datab(!\datamem|ram~2167_q ),
+ .datac(!\datamem|ram~2231_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2295_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5088_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5088 .extended_lut = "off";
+defparam \datamem|ram~5088 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5088 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y18_N48
+cyclonev_lcell_comb \datamem|ram~2359feeder (
+// Equation(s):
+// \datamem|ram~2359feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2359feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2359feeder .extended_lut = "off";
+defparam \datamem|ram~2359feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2359feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N27
+cyclonev_lcell_comb \datamem|ram~5703 (
+// Equation(s):
+// \datamem|ram~5703_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5471_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5471_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5703_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5703 .extended_lut = "off";
+defparam \datamem|ram~5703 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5703 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y18_N49
+dffeas \datamem|ram~2359 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2359feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2359_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2359 .is_wysiwyg = "true";
+defparam \datamem|ram~2359 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N9
+cyclonev_lcell_comb \datamem|ram~5704 (
+// Equation(s):
+// \datamem|ram~5704_combout = ( \datamem|ram~5503_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(!\datamem|ram~5650_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5503_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5704_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5704 .extended_lut = "off";
+defparam \datamem|ram~5704 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5704 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y12_N25
+dffeas \datamem|ram~2423 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2423_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2423 .is_wysiwyg = "true";
+defparam \datamem|ram~2423 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N36
+cyclonev_lcell_comb \datamem|ram~2487feeder (
+// Equation(s):
+// \datamem|ram~2487feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2487feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2487feeder .extended_lut = "off";
+defparam \datamem|ram~2487feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2487feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N27
+cyclonev_lcell_comb \datamem|ram~5705 (
+// Equation(s):
+// \datamem|ram~5705_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5547_combout ) )
+
+ .dataa(!\datamem|ram~5547_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5705_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5705 .extended_lut = "off";
+defparam \datamem|ram~5705 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5705 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N38
+dffeas \datamem|ram~2487 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2487feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2487_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2487 .is_wysiwyg = "true";
+defparam \datamem|ram~2487 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N21
+cyclonev_lcell_comb \datamem|ram~5706 (
+// Equation(s):
+// \datamem|ram~5706_combout = ( \datamem|ram~5567_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5567_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5706_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5706 .extended_lut = "off";
+defparam \datamem|ram~5706 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5706 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y9_N2
+dffeas \datamem|ram~2551 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2551_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2551 .is_wysiwyg = "true";
+defparam \datamem|ram~2551 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N0
+cyclonev_lcell_comb \datamem|ram~5089 (
+// Equation(s):
+// \datamem|ram~5089_combout = ( \datamem|ram~2551_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2487_q ) ) ) ) # ( !\datamem|ram~2551_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2487_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2551_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2359_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2423_q ))) ) ) ) # ( !\datamem|ram~2551_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2359_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2423_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2359_q ),
+ .datab(!\datamem|ram~2423_q ),
+ .datac(!\datamem|ram~2487_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2551_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5089_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5089 .extended_lut = "off";
+defparam \datamem|ram~5089 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5089 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y7_N51
+cyclonev_lcell_comb \datamem|ram~2999feeder (
+// Equation(s):
+// \datamem|ram~2999feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2999feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2999feeder .extended_lut = "off";
+defparam \datamem|ram~2999feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2999feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N42
+cyclonev_lcell_comb \datamem|ram~5713 (
+// Equation(s):
+// \datamem|ram~5713_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5551_combout ) )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5551_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5713_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5713 .extended_lut = "off";
+defparam \datamem|ram~5713 .lut_mask = 64'h0000000033333333;
+defparam \datamem|ram~5713 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y7_N53
+dffeas \datamem|ram~2999 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2999feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2999_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2999 .is_wysiwyg = "true";
+defparam \datamem|ram~2999 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y7_N45
+cyclonev_lcell_comb \datamem|ram~2871feeder (
+// Equation(s):
+// \datamem|ram~2871feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2871feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2871feeder .extended_lut = "off";
+defparam \datamem|ram~2871feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2871feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y12_N45
+cyclonev_lcell_comb \datamem|ram~5711 (
+// Equation(s):
+// \datamem|ram~5711_combout = (\datamem|ram~5650_combout & \datamem|ram~5487_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(!\datamem|ram~5487_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5711_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5711 .extended_lut = "off";
+defparam \datamem|ram~5711 .lut_mask = 64'h000F000F000F000F;
+defparam \datamem|ram~5711 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y7_N47
+dffeas \datamem|ram~2871 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2871feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2871_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2871 .is_wysiwyg = "true";
+defparam \datamem|ram~2871 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N12
+cyclonev_lcell_comb \datamem|ram~5712 (
+// Equation(s):
+// \datamem|ram~5712_combout = ( \datamem|ram~5519_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5650_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5519_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5712_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5712 .extended_lut = "off";
+defparam \datamem|ram~5712 .lut_mask = 64'h0000000033333333;
+defparam \datamem|ram~5712 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N17
+dffeas \datamem|ram~2935 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2935_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2935 .is_wysiwyg = "true";
+defparam \datamem|ram~2935 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N24
+cyclonev_lcell_comb \datamem|ram~5714 (
+// Equation(s):
+// \datamem|ram~5714_combout = ( \datamem|ram~5583_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(!\datamem|ram~5650_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5583_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5714_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5714 .extended_lut = "off";
+defparam \datamem|ram~5714 .lut_mask = 64'h0000000033333333;
+defparam \datamem|ram~5714 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y7_N38
+dffeas \datamem|ram~3063 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3063_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3063 .is_wysiwyg = "true";
+defparam \datamem|ram~3063 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y7_N36
+cyclonev_lcell_comb \datamem|ram~5091 (
+// Equation(s):
+// \datamem|ram~5091_combout = ( \datamem|ram~3063_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2999_q ) ) ) ) # ( !\datamem|ram~3063_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2999_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3063_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2871_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2935_q ))) ) ) ) # ( !\datamem|ram~3063_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2871_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2935_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2999_q ),
+ .datab(!\datamem|ram~2871_q ),
+ .datac(!\datamem|ram~2935_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3063_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5091_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5091 .extended_lut = "off";
+defparam \datamem|ram~5091 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5091 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N54
+cyclonev_lcell_comb \datamem|ram~5092 (
+// Equation(s):
+// \datamem|ram~5092_combout = ( \datamem|ram~5089_combout & ( \datamem|ram~5091_combout & ( ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5088_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5090_combout ))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~5089_combout & ( \datamem|ram~5091_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~5088_combout & !\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~5090_combout
+// ))) ) ) ) # ( \datamem|ram~5089_combout & ( !\datamem|ram~5091_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout ) # (\datamem|ram~5088_combout )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5090_combout &
+// ((!\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~5089_combout & ( !\datamem|ram~5091_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5088_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5090_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~5090_combout ),
+ .datac(!\datamem|ram~5088_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~5089_combout ),
+ .dataf(!\datamem|ram~5091_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5092_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5092 .extended_lut = "off";
+defparam \datamem|ram~5092 .lut_mask = 64'h1B001BAA1B551BFF;
+defparam \datamem|ram~5092 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N24
+cyclonev_lcell_comb \datamem|ram~2663feeder (
+// Equation(s):
+// \datamem|ram~2663feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2663feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2663feeder .extended_lut = "off";
+defparam \datamem|ram~2663feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2663feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N48
+cyclonev_lcell_comb \datamem|ram~5689 (
+// Equation(s):
+// \datamem|ram~5689_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5509_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5509_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5689_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5689 .extended_lut = "off";
+defparam \datamem|ram~5689 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5689 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N25
+dffeas \datamem|ram~2663 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2663feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2663_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2663 .is_wysiwyg = "true";
+defparam \datamem|ram~2663 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y10_N39
+cyclonev_lcell_comb \datamem|ram~2151feeder (
+// Equation(s):
+// \datamem|ram~2151feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2151feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2151feeder .extended_lut = "off";
+defparam \datamem|ram~2151feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2151feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N48
+cyclonev_lcell_comb \datamem|ram~5687 (
+// Equation(s):
+// \datamem|ram~5687_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5493_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5493_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5687_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5687 .extended_lut = "off";
+defparam \datamem|ram~5687 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5687 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y10_N40
+dffeas \datamem|ram~2151 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2151feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2151_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2151 .is_wysiwyg = "true";
+defparam \datamem|ram~2151 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y14_N21
+cyclonev_lcell_comb \datamem|ram~2407feeder (
+// Equation(s):
+// \datamem|ram~2407feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2407feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2407feeder .extended_lut = "off";
+defparam \datamem|ram~2407feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2407feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N36
+cyclonev_lcell_comb \datamem|ram~5688 (
+// Equation(s):
+// \datamem|ram~5688_combout = ( \datamem|ram~5501_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5501_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5688_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5688 .extended_lut = "off";
+defparam \datamem|ram~5688 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5688 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y14_N22
+dffeas \datamem|ram~2407 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2407feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2407_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2407 .is_wysiwyg = "true";
+defparam \datamem|ram~2407 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y14_N15
+cyclonev_lcell_comb \datamem|ram~5690 (
+// Equation(s):
+// \datamem|ram~5690_combout = ( \datamem|ram~5517_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5517_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5690_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5690 .extended_lut = "off";
+defparam \datamem|ram~5690 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5690 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N38
+dffeas \datamem|ram~2919 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2919_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2919 .is_wysiwyg = "true";
+defparam \datamem|ram~2919 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y14_N36
+cyclonev_lcell_comb \datamem|ram~5084 (
+// Equation(s):
+// \datamem|ram~5084_combout = ( \datamem|ram~2919_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2663_q ) ) ) ) # ( !\datamem|ram~2919_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2663_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2919_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2151_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2407_q ))) ) ) ) # ( !\datamem|ram~2919_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2151_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2407_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2663_q ),
+ .datab(!\datamem|ram~2151_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2407_q ),
+ .datae(!\datamem|ram~2919_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5084_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5084 .extended_lut = "off";
+defparam \datamem|ram~5084 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5084 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y13_N9
+cyclonev_lcell_comb \datamem|ram~5692 (
+// Equation(s):
+// \datamem|ram~5692_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5539_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5539_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5692_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5692 .extended_lut = "off";
+defparam \datamem|ram~5692 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5692 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N37
+dffeas \datamem|ram~2471 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2471_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2471 .is_wysiwyg = "true";
+defparam \datamem|ram~2471 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N9
+cyclonev_lcell_comb \datamem|ram~5693 (
+// Equation(s):
+// \datamem|ram~5693_combout = ( \datamem|ram~5541_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(!\datamem|ram~5650_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5541_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5693_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5693 .extended_lut = "off";
+defparam \datamem|ram~5693 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5693 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N19
+dffeas \datamem|ram~2727 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2727_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2727 .is_wysiwyg = "true";
+defparam \datamem|ram~2727 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N3
+cyclonev_lcell_comb \datamem|ram~2215feeder (
+// Equation(s):
+// \datamem|ram~2215feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2215feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2215feeder .extended_lut = "off";
+defparam \datamem|ram~2215feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2215feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N57
+cyclonev_lcell_comb \datamem|ram~5691 (
+// Equation(s):
+// \datamem|ram~5691_combout = ( \datamem|ram~5537_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5537_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5691_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5691 .extended_lut = "off";
+defparam \datamem|ram~5691 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5691 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N4
+dffeas \datamem|ram~2215 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2215feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2215_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2215 .is_wysiwyg = "true";
+defparam \datamem|ram~2215 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N36
+cyclonev_lcell_comb \datamem|ram~5694 (
+// Equation(s):
+// \datamem|ram~5694_combout = ( \datamem|ram~5543_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5543_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5694_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5694 .extended_lut = "off";
+defparam \datamem|ram~5694 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5694 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N58
+dffeas \datamem|ram~2983 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2983_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2983 .is_wysiwyg = "true";
+defparam \datamem|ram~2983 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N24
+cyclonev_lcell_comb \datamem|ram~5085 (
+// Equation(s):
+// \datamem|ram~5085_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2983_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2471_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~2727_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~2215_q ) ) )
+
+ .dataa(!\datamem|ram~2471_q ),
+ .datab(!\datamem|ram~2727_q ),
+ .datac(!\datamem|ram~2215_q ),
+ .datad(!\datamem|ram~2983_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5085_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5085 .extended_lut = "off";
+defparam \datamem|ram~5085 .lut_mask = 64'h0F0F3333555500FF;
+defparam \datamem|ram~5085 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N6
+cyclonev_lcell_comb \datamem|ram~2599feeder (
+// Equation(s):
+// \datamem|ram~2599feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2599feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2599feeder .extended_lut = "off";
+defparam \datamem|ram~2599feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2599feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y9_N3
+cyclonev_lcell_comb \datamem|ram~5685 (
+// Equation(s):
+// \datamem|ram~5685_combout = ( \datamem|ram~5477_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5477_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5685_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5685 .extended_lut = "off";
+defparam \datamem|ram~5685 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5685 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N7
+dffeas \datamem|ram~2599 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2599feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2599_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2599 .is_wysiwyg = "true";
+defparam \datamem|ram~2599 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N57
+cyclonev_lcell_comb \datamem|ram~5684 (
+// Equation(s):
+// \datamem|ram~5684_combout = ( \datamem|ram~5469_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5469_combout ),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5684_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5684 .extended_lut = "off";
+defparam \datamem|ram~5684 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5684 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N44
+dffeas \datamem|ram~2343 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2343_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2343 .is_wysiwyg = "true";
+defparam \datamem|ram~2343 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y9_N0
+cyclonev_lcell_comb \datamem|ram~2087feeder (
+// Equation(s):
+// \datamem|ram~2087feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2087feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2087feeder .extended_lut = "off";
+defparam \datamem|ram~2087feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2087feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y9_N51
+cyclonev_lcell_comb \datamem|ram~5683 (
+// Equation(s):
+// \datamem|ram~5683_combout = ( \datamem|ram~5461_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5461_combout ),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5683_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5683 .extended_lut = "off";
+defparam \datamem|ram~5683 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5683 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N2
+dffeas \datamem|ram~2087 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2087feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2087_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2087 .is_wysiwyg = "true";
+defparam \datamem|ram~2087 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N30
+cyclonev_lcell_comb \datamem|ram~5686 (
+// Equation(s):
+// \datamem|ram~5686_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5485_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5485_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5686_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5686 .extended_lut = "off";
+defparam \datamem|ram~5686 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5686 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N2
+dffeas \datamem|ram~2855 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2855_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2855 .is_wysiwyg = "true";
+defparam \datamem|ram~2855 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N0
+cyclonev_lcell_comb \datamem|ram~5083 (
+// Equation(s):
+// \datamem|ram~5083_combout = ( \datamem|ram~2855_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2343_q ) ) ) ) # ( !\datamem|ram~2855_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2343_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2855_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2087_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2599_q )) ) ) ) # ( !\datamem|ram~2855_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2087_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2599_q )) ) ) )
+
+ .dataa(!\datamem|ram~2599_q ),
+ .datab(!\datamem|ram~2343_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2087_q ),
+ .datae(!\datamem|ram~2855_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5083_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5083 .extended_lut = "off";
+defparam \datamem|ram~5083 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5083 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N15
+cyclonev_lcell_comb \datamem|ram~2791feeder (
+// Equation(s):
+// \datamem|ram~2791feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2791feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2791feeder .extended_lut = "off";
+defparam \datamem|ram~2791feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2791feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N45
+cyclonev_lcell_comb \datamem|ram~5697 (
+// Equation(s):
+// \datamem|ram~5697_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5573_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5573_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5697_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5697 .extended_lut = "off";
+defparam \datamem|ram~5697 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5697 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N16
+dffeas \datamem|ram~2791 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2791feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2791_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2791 .is_wysiwyg = "true";
+defparam \datamem|ram~2791 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y10_N57
+cyclonev_lcell_comb \datamem|ram~5695 (
+// Equation(s):
+// \datamem|ram~5695_combout = ( \datamem|ram~5557_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5557_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5695_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5695 .extended_lut = "off";
+defparam \datamem|ram~5695 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5695 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N44
+dffeas \datamem|ram~2279 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2279_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2279 .is_wysiwyg = "true";
+defparam \datamem|ram~2279 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y18_N12
+cyclonev_lcell_comb \datamem|ram~5696 (
+// Equation(s):
+// \datamem|ram~5696_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5565_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5565_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5696_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5696 .extended_lut = "off";
+defparam \datamem|ram~5696 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5696 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N20
+dffeas \datamem|ram~2535 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2535_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2535 .is_wysiwyg = "true";
+defparam \datamem|ram~2535 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N30
+cyclonev_lcell_comb \datamem|ram~5698 (
+// Equation(s):
+// \datamem|ram~5698_combout = ( \datamem|ram~5581_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5581_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5698_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5698 .extended_lut = "off";
+defparam \datamem|ram~5698 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5698 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N5
+dffeas \datamem|ram~3047 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3047_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3047 .is_wysiwyg = "true";
+defparam \datamem|ram~3047 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N3
+cyclonev_lcell_comb \datamem|ram~5086 (
+// Equation(s):
+// \datamem|ram~5086_combout = ( \datamem|ram~3047_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2791_q ) ) ) ) # ( !\datamem|ram~3047_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2791_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3047_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2279_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2535_q ))) ) ) ) # ( !\datamem|ram~3047_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2279_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2535_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2791_q ),
+ .datab(!\datamem|ram~2279_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2535_q ),
+ .datae(!\datamem|ram~3047_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5086_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5086 .extended_lut = "off";
+defparam \datamem|ram~5086 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5086 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y14_N30
+cyclonev_lcell_comb \datamem|ram~5087 (
+// Equation(s):
+// \datamem|ram~5087_combout = ( \datamem|ram~5083_combout & ( \datamem|ram~5086_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~5084_combout ))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~5085_combout )))) ) ) ) # ( !\datamem|ram~5083_combout & ( \datamem|ram~5086_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~5084_combout & ((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~5085_combout )))) ) ) ) # ( \datamem|ram~5083_combout & ( !\datamem|ram~5086_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~5084_combout ))) #
+// (\alu_unit|Mux11~4_combout & (((\datamem|ram~5085_combout & !\alu_unit|Mux12~2_combout )))) ) ) ) # ( !\datamem|ram~5083_combout & ( !\datamem|ram~5086_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~5084_combout &
+// ((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~5085_combout & !\alu_unit|Mux12~2_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5084_combout ),
+ .datab(!\datamem|ram~5085_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~5083_combout ),
+ .dataf(!\datamem|ram~5086_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5087_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5087 .extended_lut = "off";
+defparam \datamem|ram~5087 .lut_mask = 64'h0350F350035FF35F;
+defparam \datamem|ram~5087 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y14_N42
+cyclonev_lcell_comb \datamem|ram~2439feeder (
+// Equation(s):
+// \datamem|ram~2439feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2439feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2439feeder .extended_lut = "off";
+defparam \datamem|ram~2439feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2439feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N18
+cyclonev_lcell_comb \datamem|ram~5660 (
+// Equation(s):
+// \datamem|ram~5660_combout = ( \datamem|ram~5523_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5523_combout ),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5660_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5660 .extended_lut = "off";
+defparam \datamem|ram~5660 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5660 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y14_N43
+dffeas \datamem|ram~2439 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2439feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2439_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2439 .is_wysiwyg = "true";
+defparam \datamem|ram~2439 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y14_N36
+cyclonev_lcell_comb \datamem|ram~2695feeder (
+// Equation(s):
+// \datamem|ram~2695feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2695feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2695feeder .extended_lut = "off";
+defparam \datamem|ram~2695feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2695feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N24
+cyclonev_lcell_comb \datamem|ram~5661 (
+// Equation(s):
+// \datamem|ram~5661_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5525_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5525_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5661_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5661 .extended_lut = "off";
+defparam \datamem|ram~5661 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5661 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y14_N37
+dffeas \datamem|ram~2695 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2695feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2695_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2695 .is_wysiwyg = "true";
+defparam \datamem|ram~2695 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y15_N30
+cyclonev_lcell_comb \datamem|ram~2951feeder (
+// Equation(s):
+// \datamem|ram~2951feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2951feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2951feeder .extended_lut = "off";
+defparam \datamem|ram~2951feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2951feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y15_N45
+cyclonev_lcell_comb \datamem|ram~5662 (
+// Equation(s):
+// \datamem|ram~5662_combout = ( \datamem|ram~5527_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5527_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5662_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5662 .extended_lut = "off";
+defparam \datamem|ram~5662 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5662 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y15_N31
+dffeas \datamem|ram~2951 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2951feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2951_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2951 .is_wysiwyg = "true";
+defparam \datamem|ram~2951 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N51
+cyclonev_lcell_comb \datamem|ram~2183feeder (
+// Equation(s):
+// \datamem|ram~2183feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2183feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2183feeder .extended_lut = "off";
+defparam \datamem|ram~2183feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2183feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N48
+cyclonev_lcell_comb \datamem|ram~5659 (
+// Equation(s):
+// \datamem|ram~5659_combout = ( \datamem|ram~5521_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5521_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5659_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5659 .extended_lut = "off";
+defparam \datamem|ram~5659 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5659 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N53
+dffeas \datamem|ram~2183 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2183feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2183_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2183 .is_wysiwyg = "true";
+defparam \datamem|ram~2183 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N54
+cyclonev_lcell_comb \datamem|ram~5075 (
+// Equation(s):
+// \datamem|ram~5075_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2951_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2695_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~2439_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~2183_q ) ) )
+
+ .dataa(!\datamem|ram~2439_q ),
+ .datab(!\datamem|ram~2695_q ),
+ .datac(!\datamem|ram~2951_q ),
+ .datad(!\datamem|ram~2183_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5075_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5075 .extended_lut = "off";
+defparam \datamem|ram~5075 .lut_mask = 64'h00FF555533330F0F;
+defparam \datamem|ram~5075 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N33
+cyclonev_lcell_comb \datamem|ram~2055feeder (
+// Equation(s):
+// \datamem|ram~2055feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2055feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2055feeder .extended_lut = "off";
+defparam \datamem|ram~2055feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2055feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N36
+cyclonev_lcell_comb \datamem|ram~5651 (
+// Equation(s):
+// \datamem|ram~5651_combout = ( \datamem|ram~5456_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5456_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5651_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5651 .extended_lut = "off";
+defparam \datamem|ram~5651 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5651 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N34
+dffeas \datamem|ram~2055 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2055feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2055_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2055 .is_wysiwyg = "true";
+defparam \datamem|ram~2055 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N48
+cyclonev_lcell_comb \datamem|ram~5654 (
+// Equation(s):
+// \datamem|ram~5654_combout = ( \datamem|ram~5481_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5481_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5654_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5654 .extended_lut = "off";
+defparam \datamem|ram~5654 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5654 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N26
+dffeas \datamem|ram~2823 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2823_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2823 .is_wysiwyg = "true";
+defparam \datamem|ram~2823 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N36
+cyclonev_lcell_comb \datamem|ram~5652 (
+// Equation(s):
+// \datamem|ram~5652_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5465_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5465_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5652_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5652 .extended_lut = "off";
+defparam \datamem|ram~5652 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5652 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N37
+dffeas \datamem|ram~2311 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2311_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2311 .is_wysiwyg = "true";
+defparam \datamem|ram~2311 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N12
+cyclonev_lcell_comb \datamem|ram~2567feeder (
+// Equation(s):
+// \datamem|ram~2567feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2567feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2567feeder .extended_lut = "off";
+defparam \datamem|ram~2567feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2567feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N15
+cyclonev_lcell_comb \datamem|ram~5653 (
+// Equation(s):
+// \datamem|ram~5653_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5473_combout ) )
+
+ .dataa(!\datamem|ram~5473_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5650_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5653_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5653 .extended_lut = "off";
+defparam \datamem|ram~5653 .lut_mask = 64'h0000000055555555;
+defparam \datamem|ram~5653 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N14
+dffeas \datamem|ram~2567 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2567feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2567_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2567 .is_wysiwyg = "true";
+defparam \datamem|ram~2567 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N51
+cyclonev_lcell_comb \datamem|ram~5073 (
+// Equation(s):
+// \datamem|ram~5073_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2823_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2567_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~2311_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~2055_q ) ) )
+
+ .dataa(!\datamem|ram~2055_q ),
+ .datab(!\datamem|ram~2823_q ),
+ .datac(!\datamem|ram~2311_q ),
+ .datad(!\datamem|ram~2567_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5073_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5073 .extended_lut = "off";
+defparam \datamem|ram~5073 .lut_mask = 64'h55550F0F00FF3333;
+defparam \datamem|ram~5073 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N12
+cyclonev_lcell_comb \datamem|ram~5663 (
+// Equation(s):
+// \datamem|ram~5663_combout = ( \datamem|ram~5553_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\datamem|ram~5650_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5553_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5663_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5663 .extended_lut = "off";
+defparam \datamem|ram~5663 .lut_mask = 64'h0000000000FF00FF;
+defparam \datamem|ram~5663 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N52
+dffeas \datamem|ram~2247 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2247_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2247 .is_wysiwyg = "true";
+defparam \datamem|ram~2247 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N57
+cyclonev_lcell_comb \datamem|ram~2759feeder (
+// Equation(s):
+// \datamem|ram~2759feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2759feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2759feeder .extended_lut = "off";
+defparam \datamem|ram~2759feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2759feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N12
+cyclonev_lcell_comb \datamem|ram~5665 (
+// Equation(s):
+// \datamem|ram~5665_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5569_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5569_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5665_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5665 .extended_lut = "off";
+defparam \datamem|ram~5665 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5665 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N58
+dffeas \datamem|ram~2759 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2759feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2759_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2759 .is_wysiwyg = "true";
+defparam \datamem|ram~2759 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y20_N27
+cyclonev_lcell_comb \datamem|ram~5666 (
+// Equation(s):
+// \datamem|ram~5666_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5577_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5577_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5666_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5666 .extended_lut = "off";
+defparam \datamem|ram~5666 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5666 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N34
+dffeas \datamem|ram~3015 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3015_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3015 .is_wysiwyg = "true";
+defparam \datamem|ram~3015 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N6
+cyclonev_lcell_comb \datamem|ram~5664 (
+// Equation(s):
+// \datamem|ram~5664_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5561_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5561_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5664_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5664 .extended_lut = "off";
+defparam \datamem|ram~5664 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5664 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N47
+dffeas \datamem|ram~2503 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2503_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2503 .is_wysiwyg = "true";
+defparam \datamem|ram~2503 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y12_N6
+cyclonev_lcell_comb \datamem|ram~5076 (
+// Equation(s):
+// \datamem|ram~5076_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~3015_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2759_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~2503_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~2247_q ) ) )
+
+ .dataa(!\datamem|ram~2247_q ),
+ .datab(!\datamem|ram~2759_q ),
+ .datac(!\datamem|ram~3015_q ),
+ .datad(!\datamem|ram~2503_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5076_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5076 .extended_lut = "off";
+defparam \datamem|ram~5076 .lut_mask = 64'h555500FF33330F0F;
+defparam \datamem|ram~5076 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y7_N33
+cyclonev_lcell_comb \datamem|ram~2375feeder (
+// Equation(s):
+// \datamem|ram~2375feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2375feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2375feeder .extended_lut = "off";
+defparam \datamem|ram~2375feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2375feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N27
+cyclonev_lcell_comb \datamem|ram~5656 (
+// Equation(s):
+// \datamem|ram~5656_combout = (\datamem|ram~5650_combout & \datamem|ram~5497_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(!\datamem|ram~5497_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5656_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5656 .extended_lut = "off";
+defparam \datamem|ram~5656 .lut_mask = 64'h000F000F000F000F;
+defparam \datamem|ram~5656 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N34
+dffeas \datamem|ram~2375 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2375feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2375_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2375 .is_wysiwyg = "true";
+defparam \datamem|ram~2375 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X46_Y13_N51
+cyclonev_lcell_comb \datamem|ram~2119feeder (
+// Equation(s):
+// \datamem|ram~2119feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2119feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2119feeder .extended_lut = "off";
+defparam \datamem|ram~2119feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2119feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N45
+cyclonev_lcell_comb \datamem|ram~5655 (
+// Equation(s):
+// \datamem|ram~5655_combout = ( \datamem|ram~5489_combout & ( \datamem|ram~5650_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\datamem|ram~5650_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5489_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5655_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5655 .extended_lut = "off";
+defparam \datamem|ram~5655 .lut_mask = 64'h000000000F0F0F0F;
+defparam \datamem|ram~5655 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X46_Y13_N52
+dffeas \datamem|ram~2119 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2119feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2119_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2119 .is_wysiwyg = "true";
+defparam \datamem|ram~2119 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y7_N54
+cyclonev_lcell_comb \datamem|ram~2631feeder (
+// Equation(s):
+// \datamem|ram~2631feeder_combout = ( \reg_file|reg_read_data_2[7]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[7]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2631feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2631feeder .extended_lut = "off";
+defparam \datamem|ram~2631feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2631feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y7_N57
+cyclonev_lcell_comb \datamem|ram~5657 (
+// Equation(s):
+// \datamem|ram~5657_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5505_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5505_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5657_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5657 .extended_lut = "off";
+defparam \datamem|ram~5657 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5657 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N55
+dffeas \datamem|ram~2631 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2631feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2631_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2631 .is_wysiwyg = "true";
+defparam \datamem|ram~2631 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y11_N3
+cyclonev_lcell_comb \datamem|ram~5658 (
+// Equation(s):
+// \datamem|ram~5658_combout = ( \datamem|ram~5650_combout & ( \datamem|ram~5513_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\datamem|ram~5650_combout ),
+ .dataf(!\datamem|ram~5513_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5658_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5658 .extended_lut = "off";
+defparam \datamem|ram~5658 .lut_mask = 64'h000000000000FFFF;
+defparam \datamem|ram~5658 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N11
+dffeas \datamem|ram~2887 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[7]~7_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2887_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2887 .is_wysiwyg = "true";
+defparam \datamem|ram~2887 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y11_N9
+cyclonev_lcell_comb \datamem|ram~5074 (
+// Equation(s):
+// \datamem|ram~5074_combout = ( \datamem|ram~2887_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2375_q ) ) ) ) # ( !\datamem|ram~2887_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2375_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2887_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2119_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2631_q ))) ) ) ) # ( !\datamem|ram~2887_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2119_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2631_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2375_q ),
+ .datab(!\datamem|ram~2119_q ),
+ .datac(!\datamem|ram~2631_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2887_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5074_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5074 .extended_lut = "off";
+defparam \datamem|ram~5074 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5074 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N12
+cyclonev_lcell_comb \datamem|ram~5077 (
+// Equation(s):
+// \datamem|ram~5077_combout = ( \datamem|ram~5076_combout & ( \datamem|ram~5074_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5073_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5075_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~5076_combout & ( \datamem|ram~5074_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~5073_combout )))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5075_combout & ((!\alu_unit|Mux12~2_combout
+// )))) ) ) ) # ( \datamem|ram~5076_combout & ( !\datamem|ram~5074_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~5073_combout & !\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~5075_combout ))) ) ) ) # ( !\datamem|ram~5076_combout & ( !\datamem|ram~5074_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5073_combout ))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~5075_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5075_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5073_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~5076_combout ),
+ .dataf(!\datamem|ram~5074_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5077_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5077 .extended_lut = "off";
+defparam \datamem|ram~5077 .lut_mask = 64'h1D001D331DCC1DFF;
+defparam \datamem|ram~5077 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N48
+cyclonev_lcell_comb \datamem|ram~5093 (
+// Equation(s):
+// \datamem|ram~5093_combout = ( \datamem|ram~5087_combout & ( \datamem|ram~5077_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5082_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~5092_combout )))) ) )
+// ) # ( !\datamem|ram~5087_combout & ( \datamem|ram~5077_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5082_combout )) #
+// (\alu_unit|Mux13~4_combout & ((\datamem|ram~5092_combout ))))) ) ) ) # ( \datamem|ram~5087_combout & ( !\datamem|ram~5077_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5082_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~5092_combout ))))) ) ) ) # ( !\datamem|ram~5087_combout & ( !\datamem|ram~5077_combout & ( (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5082_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~5092_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5082_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~5092_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~5087_combout ),
+ .dataf(!\datamem|ram~5077_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5093_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5093 .extended_lut = "off";
+defparam \datamem|ram~5093 .lut_mask = 64'h110311CFDD03DDCF;
+defparam \datamem|ram~5093 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y14_N30
+cyclonev_lcell_comb \datamem|ram~5115 (
+// Equation(s):
+// \datamem|ram~5115_combout = ( \datamem|ram~5114_combout & ( \datamem|ram~5093_combout & ( ((!\alu_unit|Mux8~2_combout & ((\datamem|ram~5051_combout ))) # (\alu_unit|Mux8~2_combout & (\datamem|ram~5072_combout ))) # (\alu_unit|Mux7~2_combout ) ) ) ) #
+// ( !\datamem|ram~5114_combout & ( \datamem|ram~5093_combout & ( (!\alu_unit|Mux8~2_combout & (((\alu_unit|Mux7~2_combout ) # (\datamem|ram~5051_combout )))) # (\alu_unit|Mux8~2_combout & (\datamem|ram~5072_combout & ((!\alu_unit|Mux7~2_combout )))) )
+// ) ) # ( \datamem|ram~5114_combout & ( !\datamem|ram~5093_combout & ( (!\alu_unit|Mux8~2_combout & (((\datamem|ram~5051_combout & !\alu_unit|Mux7~2_combout )))) # (\alu_unit|Mux8~2_combout & (((\alu_unit|Mux7~2_combout )) # (\datamem|ram~5072_combout
+// ))) ) ) ) # ( !\datamem|ram~5114_combout & ( !\datamem|ram~5093_combout & ( (!\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout & ((\datamem|ram~5051_combout ))) # (\alu_unit|Mux8~2_combout & (\datamem|ram~5072_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5072_combout ),
+ .datab(!\alu_unit|Mux8~2_combout ),
+ .datac(!\datamem|ram~5051_combout ),
+ .datad(!\alu_unit|Mux7~2_combout ),
+ .datae(!\datamem|ram~5114_combout ),
+ .dataf(!\datamem|ram~5093_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5115_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5115 .extended_lut = "off";
+defparam \datamem|ram~5115 .lut_mask = 64'h1D001D331DCC1DFF;
+defparam \datamem|ram~5115 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N45
+cyclonev_lcell_comb \reg_write_data[7]~13 (
+// Equation(s):
+// \reg_write_data[7]~13_combout = ( \datamem|ram~5115_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux8~2_combout )))) # (\reg_write_data[1]~0_combout & (((\Add0~25_sumout )) # (\reg_write_data[1]~1_combout ))) ) ) # (
+// !\datamem|ram~5115_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux8~2_combout )))) # (\reg_write_data[1]~0_combout & (!\reg_write_data[1]~1_combout & (\Add0~25_sumout ))) ) )
+
+ .dataa(!\reg_write_data[1]~1_combout ),
+ .datab(!\reg_write_data[1]~0_combout ),
+ .datac(!\Add0~25_sumout ),
+ .datad(!\alu_unit|Mux8~2_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5115_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[7]~13_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[7]~13 .extended_lut = "off";
+defparam \reg_write_data[7]~13 .lut_mask = 64'h02CE02CE13DF13DF;
+defparam \reg_write_data[7]~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N50
+dffeas \reg_file|reg_array[4][7] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[7]~13_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][7]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][7] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][7] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y11_N16
+dffeas \reg_file|reg_array[7][7] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[7]~13_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][7]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][7] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][7] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N51
+cyclonev_lcell_comb \reg_file|reg_read_data_1[7]~20 (
+// Equation(s):
+// \reg_file|reg_read_data_1[7]~20_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][7]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][7]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][7]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][7]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[4][7]~q ),
+ .datab(!\reg_file|reg_array[3][7]~q ),
+ .datac(!\reg_file|reg_array[7][7]~q ),
+ .datad(!\reg_file|reg_array[0][7]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[7]~20_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[7]~20 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[7]~20 .lut_mask = 64'h00FF333355550F0F;
+defparam \reg_file|reg_read_data_1[7]~20 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N24
+cyclonev_lcell_comb \alu_unit|Add0~29 (
+// Equation(s):
+// \alu_unit|Add0~29_sumout = SUM(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[8]~22_combout ))) ) + ( \read_data2[8]~8_combout ) + ( \alu_unit|Add0~26 ))
+// \alu_unit|Add0~30 = CARRY(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[8]~22_combout ))) ) + ( \read_data2[8]~8_combout ) + ( \alu_unit|Add0~26 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[8]~22_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[8]~8_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~29_sumout ),
+ .cout(\alu_unit|Add0~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~29 .extended_lut = "off";
+defparam \alu_unit|Add0~29 .lut_mask = 64'h0000FF0000000008;
+defparam \alu_unit|Add0~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N33
+cyclonev_lcell_comb \alu_unit|Add1~29 (
+// Equation(s):
+// \alu_unit|Add1~29_sumout = SUM(( !\read_data2[8]~8_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[8]~22_combout ))) ) + ( \alu_unit|Add1~26 ))
+// \alu_unit|Add1~30 = CARRY(( !\read_data2[8]~8_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[8]~22_combout ))) ) + ( \alu_unit|Add1~26 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[8]~8_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[8]~22_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~29_sumout ),
+ .cout(\alu_unit|Add1~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~29 .extended_lut = "off";
+defparam \alu_unit|Add1~29 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N0
+cyclonev_lcell_comb \alu_unit|Mux7~0 (
+// Equation(s):
+// \alu_unit|Mux7~0_combout = ( \ALU_Control_unit|WideOr1~0_combout & ( \alu_unit|Add1~29_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout & ((\reg_file|reg_read_data_1[8]~23_combout ) # (\read_data2[8]~8_combout ))) #
+// (\ALU_Control_unit|WideOr2~0_combout & (\read_data2[8]~8_combout & \reg_file|reg_read_data_1[8]~23_combout )) ) ) ) # ( !\ALU_Control_unit|WideOr1~0_combout & ( \alu_unit|Add1~29_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout ) #
+// (\alu_unit|Add0~29_sumout ) ) ) ) # ( \ALU_Control_unit|WideOr1~0_combout & ( !\alu_unit|Add1~29_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout & ((\reg_file|reg_read_data_1[8]~23_combout ) # (\read_data2[8]~8_combout ))) #
+// (\ALU_Control_unit|WideOr2~0_combout & (\read_data2[8]~8_combout & \reg_file|reg_read_data_1[8]~23_combout )) ) ) ) # ( !\ALU_Control_unit|WideOr1~0_combout & ( !\alu_unit|Add1~29_sumout & ( (\ALU_Control_unit|WideOr2~0_combout &
+// \alu_unit|Add0~29_sumout ) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datab(!\read_data2[8]~8_combout ),
+ .datac(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .datad(!\alu_unit|Add0~29_sumout ),
+ .datae(!\ALU_Control_unit|WideOr1~0_combout ),
+ .dataf(!\alu_unit|Add1~29_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux7~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux7~0 .extended_lut = "off";
+defparam \alu_unit|Mux7~0 .lut_mask = 64'h00552B2BAAFF2B2B;
+defparam \alu_unit|Mux7~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y16_N51
+cyclonev_lcell_comb \alu_unit|Mux7~2 (
+// Equation(s):
+// \alu_unit|Mux7~2_combout = ( \ALU_Control_unit|WideOr0~0_combout & ( \alu_unit|Mux7~3_combout ) ) # ( !\ALU_Control_unit|WideOr0~0_combout & ( \alu_unit|Mux7~3_combout & ( \alu_unit|Mux7~0_combout ) ) ) # ( !\ALU_Control_unit|WideOr0~0_combout & (
+// !\alu_unit|Mux7~3_combout & ( \alu_unit|Mux7~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\alu_unit|Mux7~0_combout ),
+ .datad(gnd),
+ .datae(!\ALU_Control_unit|WideOr0~0_combout ),
+ .dataf(!\alu_unit|Mux7~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux7~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux7~2 .extended_lut = "off";
+defparam \alu_unit|Mux7~2 .lut_mask = 64'h0F0F00000F0FFFFF;
+defparam \alu_unit|Mux7~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N21
+cyclonev_lcell_comb \datamem|ram~2632feeder (
+// Equation(s):
+// \datamem|ram~2632feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2632feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2632feeder .extended_lut = "off";
+defparam \datamem|ram~2632feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2632feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N22
+dffeas \datamem|ram~2632 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2632feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2632_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2632 .is_wysiwyg = "true";
+defparam \datamem|ram~2632 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N56
+dffeas \datamem|ram~2760 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2760_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2760 .is_wysiwyg = "true";
+defparam \datamem|ram~2760 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N21
+cyclonev_lcell_comb \datamem|ram~2568feeder (
+// Equation(s):
+// \datamem|ram~2568feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2568feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2568feeder .extended_lut = "off";
+defparam \datamem|ram~2568feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2568feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N22
+dffeas \datamem|ram~2568 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2568feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2568_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2568 .is_wysiwyg = "true";
+defparam \datamem|ram~2568 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y9_N24
+cyclonev_lcell_comb \datamem|ram~2696feeder (
+// Equation(s):
+// \datamem|ram~2696feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2696feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2696feeder .extended_lut = "off";
+defparam \datamem|ram~2696feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2696feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y9_N25
+dffeas \datamem|ram~2696 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2696feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2696_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2696 .is_wysiwyg = "true";
+defparam \datamem|ram~2696 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y9_N48
+cyclonev_lcell_comb \datamem|ram~5168 (
+// Equation(s):
+// \datamem|ram~5168_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2760_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2696_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2632_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2568_q ) ) )
+
+ .dataa(!\datamem|ram~2632_q ),
+ .datab(!\datamem|ram~2760_q ),
+ .datac(!\datamem|ram~2568_q ),
+ .datad(!\datamem|ram~2696_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5168_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5168 .extended_lut = "off";
+defparam \datamem|ram~5168 .lut_mask = 64'h0F0F555500FF3333;
+defparam \datamem|ram~5168 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N5
+dffeas \datamem|ram~2616 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2616_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2616 .is_wysiwyg = "true";
+defparam \datamem|ram~2616 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N1
+dffeas \datamem|ram~2680 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2680_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2680 .is_wysiwyg = "true";
+defparam \datamem|ram~2680 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N20
+dffeas \datamem|ram~2744 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2744_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2744 .is_wysiwyg = "true";
+defparam \datamem|ram~2744 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y9_N44
+dffeas \datamem|ram~2808 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2808_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2808 .is_wysiwyg = "true";
+defparam \datamem|ram~2808 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y9_N42
+cyclonev_lcell_comb \datamem|ram~5171 (
+// Equation(s):
+// \datamem|ram~5171_combout = ( \datamem|ram~2808_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2680_q ) ) ) ) # ( !\datamem|ram~2808_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2680_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2808_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2616_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2744_q ))) ) ) ) # ( !\datamem|ram~2808_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2616_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2744_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2616_q ),
+ .datab(!\datamem|ram~2680_q ),
+ .datac(!\datamem|ram~2744_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2808_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5171_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5171 .extended_lut = "off";
+defparam \datamem|ram~5171 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5171 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y12_N27
+cyclonev_lcell_comb \datamem|ram~2648feeder (
+// Equation(s):
+// \datamem|ram~2648feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2648feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2648feeder .extended_lut = "off";
+defparam \datamem|ram~2648feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2648feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N28
+dffeas \datamem|ram~2648 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2648feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2648_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2648 .is_wysiwyg = "true";
+defparam \datamem|ram~2648 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y10_N27
+cyclonev_lcell_comb \datamem|ram~2584feeder (
+// Equation(s):
+// \datamem|ram~2584feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2584feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2584feeder .extended_lut = "off";
+defparam \datamem|ram~2584feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2584feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N28
+dffeas \datamem|ram~2584 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2584feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2584_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2584 .is_wysiwyg = "true";
+defparam \datamem|ram~2584 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N35
+dffeas \datamem|ram~2712 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2712_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2712 .is_wysiwyg = "true";
+defparam \datamem|ram~2712 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N38
+dffeas \datamem|ram~2776 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2776_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2776 .is_wysiwyg = "true";
+defparam \datamem|ram~2776 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N36
+cyclonev_lcell_comb \datamem|ram~5169 (
+// Equation(s):
+// \datamem|ram~5169_combout = ( \datamem|ram~2776_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2648_q ) ) ) ) # ( !\datamem|ram~2776_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2648_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2776_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2584_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2712_q ))) ) ) ) # ( !\datamem|ram~2776_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2584_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2712_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2648_q ),
+ .datab(!\datamem|ram~2584_q ),
+ .datac(!\datamem|ram~2712_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2776_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5169_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5169 .extended_lut = "off";
+defparam \datamem|ram~5169 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5169 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N23
+dffeas \datamem|ram~2600 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2600_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2600 .is_wysiwyg = "true";
+defparam \datamem|ram~2600 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N55
+dffeas \datamem|ram~2728 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2728_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2728 .is_wysiwyg = "true";
+defparam \datamem|ram~2728 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y11_N39
+cyclonev_lcell_comb \datamem|ram~2664feeder (
+// Equation(s):
+// \datamem|ram~2664feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2664feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2664feeder .extended_lut = "off";
+defparam \datamem|ram~2664feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2664feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y11_N40
+dffeas \datamem|ram~2664 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2664feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2664_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2664 .is_wysiwyg = "true";
+defparam \datamem|ram~2664 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N32
+dffeas \datamem|ram~2792 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2792_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2792 .is_wysiwyg = "true";
+defparam \datamem|ram~2792 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y9_N30
+cyclonev_lcell_comb \datamem|ram~5170 (
+// Equation(s):
+// \datamem|ram~5170_combout = ( \datamem|ram~2792_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2664_q ) ) ) ) # ( !\datamem|ram~2792_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2664_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2792_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2600_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2728_q ))) ) ) ) # ( !\datamem|ram~2792_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2600_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2728_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2600_q ),
+ .datab(!\datamem|ram~2728_q ),
+ .datac(!\datamem|ram~2664_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2792_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5170_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5170 .extended_lut = "off";
+defparam \datamem|ram~5170 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5170 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y9_N12
+cyclonev_lcell_comb \datamem|ram~5172 (
+// Equation(s):
+// \datamem|ram~5172_combout = ( \datamem|ram~5169_combout & ( \datamem|ram~5170_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~5168_combout ))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~5171_combout )))) ) ) ) # ( !\datamem|ram~5169_combout & ( \datamem|ram~5170_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~5168_combout ))) # (\alu_unit|Mux14~6_combout &
+// (((\datamem|ram~5171_combout & \alu_unit|Mux13~4_combout )))) ) ) ) # ( \datamem|ram~5169_combout & ( !\datamem|ram~5170_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~5168_combout & ((!\alu_unit|Mux13~4_combout )))) #
+// (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) # (\datamem|ram~5171_combout )))) ) ) ) # ( !\datamem|ram~5169_combout & ( !\datamem|ram~5170_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~5168_combout &
+// ((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~5171_combout & \alu_unit|Mux13~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5168_combout ),
+ .datab(!\datamem|ram~5171_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~5169_combout ),
+ .dataf(!\datamem|ram~5170_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5172_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5172 .extended_lut = "off";
+defparam \datamem|ram~5172 .lut_mask = 64'h50035F0350F35FF3;
+defparam \datamem|ram~5172 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N16
+dffeas \datamem|ram~2328 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2328_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2328 .is_wysiwyg = "true";
+defparam \datamem|ram~2328 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N39
+cyclonev_lcell_comb \datamem|ram~2456feeder (
+// Equation(s):
+// \datamem|ram~2456feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2456feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2456feeder .extended_lut = "off";
+defparam \datamem|ram~2456feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2456feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N40
+dffeas \datamem|ram~2456 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2456feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2456_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2456 .is_wysiwyg = "true";
+defparam \datamem|ram~2456 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N3
+cyclonev_lcell_comb \datamem|ram~2392feeder (
+// Equation(s):
+// \datamem|ram~2392feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2392feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2392feeder .extended_lut = "off";
+defparam \datamem|ram~2392feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~2392feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N4
+dffeas \datamem|ram~2392 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2392feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2392_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2392 .is_wysiwyg = "true";
+defparam \datamem|ram~2392 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N26
+dffeas \datamem|ram~2520 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2520_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2520 .is_wysiwyg = "true";
+defparam \datamem|ram~2520 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y19_N24
+cyclonev_lcell_comb \datamem|ram~5164 (
+// Equation(s):
+// \datamem|ram~5164_combout = ( \datamem|ram~2520_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2456_q ) ) ) ) # ( !\datamem|ram~2520_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2456_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2520_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2328_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2392_q ))) ) ) ) # ( !\datamem|ram~2520_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2328_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2392_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2328_q ),
+ .datab(!\datamem|ram~2456_q ),
+ .datac(!\datamem|ram~2392_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2520_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5164_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5164 .extended_lut = "off";
+defparam \datamem|ram~5164 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5164 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y5_N30
+cyclonev_lcell_comb \datamem|ram~2472feeder (
+// Equation(s):
+// \datamem|ram~2472feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2472feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2472feeder .extended_lut = "off";
+defparam \datamem|ram~2472feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2472feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y5_N31
+dffeas \datamem|ram~2472 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2472feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2472_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2472 .is_wysiwyg = "true";
+defparam \datamem|ram~2472 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N58
+dffeas \datamem|ram~2344 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2344_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2344 .is_wysiwyg = "true";
+defparam \datamem|ram~2344 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N37
+dffeas \datamem|ram~2408 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2408_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2408 .is_wysiwyg = "true";
+defparam \datamem|ram~2408 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N38
+dffeas \datamem|ram~2536 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2536_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2536 .is_wysiwyg = "true";
+defparam \datamem|ram~2536 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y19_N36
+cyclonev_lcell_comb \datamem|ram~5165 (
+// Equation(s):
+// \datamem|ram~5165_combout = ( \datamem|ram~2536_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2472_q ) ) ) ) # ( !\datamem|ram~2536_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2472_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2536_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2344_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2408_q ))) ) ) ) # ( !\datamem|ram~2536_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2344_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2408_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2472_q ),
+ .datab(!\datamem|ram~2344_q ),
+ .datac(!\datamem|ram~2408_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2536_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5165_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5165 .extended_lut = "off";
+defparam \datamem|ram~5165 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5165 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N44
+dffeas \datamem|ram~2312 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2312_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2312 .is_wysiwyg = "true";
+defparam \datamem|ram~2312 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N49
+dffeas \datamem|ram~2376 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2376_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2376 .is_wysiwyg = "true";
+defparam \datamem|ram~2376 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y15_N16
+dffeas \datamem|ram~2440 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2440_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2440 .is_wysiwyg = "true";
+defparam \datamem|ram~2440 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N20
+dffeas \datamem|ram~2504 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2504_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2504 .is_wysiwyg = "true";
+defparam \datamem|ram~2504 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N18
+cyclonev_lcell_comb \datamem|ram~5163 (
+// Equation(s):
+// \datamem|ram~5163_combout = ( \datamem|ram~2504_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2440_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2504_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2440_q ) ) ) ) # ( \datamem|ram~2504_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2312_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2376_q ))) ) ) ) # ( !\datamem|ram~2504_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2312_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2376_q ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~2312_q ),
+ .datac(!\datamem|ram~2376_q ),
+ .datad(!\datamem|ram~2440_q ),
+ .datae(!\datamem|ram~2504_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5163_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5163 .extended_lut = "off";
+defparam \datamem|ram~5163 .lut_mask = 64'h2727272700AA55FF;
+defparam \datamem|ram~5163 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N37
+dffeas \datamem|ram~2488 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2488_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2488 .is_wysiwyg = "true";
+defparam \datamem|ram~2488 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y18_N39
+cyclonev_lcell_comb \datamem|ram~2360feeder (
+// Equation(s):
+// \datamem|ram~2360feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2360feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2360feeder .extended_lut = "off";
+defparam \datamem|ram~2360feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2360feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y18_N40
+dffeas \datamem|ram~2360 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2360feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2360_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2360 .is_wysiwyg = "true";
+defparam \datamem|ram~2360 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N37
+dffeas \datamem|ram~2424 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2424_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2424 .is_wysiwyg = "true";
+defparam \datamem|ram~2424 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N32
+dffeas \datamem|ram~2552 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2552_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2552 .is_wysiwyg = "true";
+defparam \datamem|ram~2552 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y19_N30
+cyclonev_lcell_comb \datamem|ram~5166 (
+// Equation(s):
+// \datamem|ram~5166_combout = ( \datamem|ram~2552_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2488_q ) ) ) ) # ( !\datamem|ram~2552_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2488_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2552_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2360_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2424_q ))) ) ) ) # ( !\datamem|ram~2552_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2360_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2424_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2488_q ),
+ .datab(!\datamem|ram~2360_q ),
+ .datac(!\datamem|ram~2424_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2552_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5166_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5166 .extended_lut = "off";
+defparam \datamem|ram~5166 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5166 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y19_N6
+cyclonev_lcell_comb \datamem|ram~5167 (
+// Equation(s):
+// \datamem|ram~5167_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~5166_combout & ( (\datamem|ram~5165_combout ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~5166_combout & ( (!\alu_unit|Mux14~6_combout
+// & ((\datamem|ram~5163_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5164_combout )) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~5166_combout & ( (!\alu_unit|Mux14~6_combout & \datamem|ram~5165_combout ) ) ) ) # (
+// !\alu_unit|Mux13~4_combout & ( !\datamem|ram~5166_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~5163_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5164_combout )) ) ) )
+
+ .dataa(!\datamem|ram~5164_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~5165_combout ),
+ .datad(!\datamem|ram~5163_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~5166_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5167_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5167 .extended_lut = "off";
+defparam \datamem|ram~5167 .lut_mask = 64'h11DD0C0C11DD3F3F;
+defparam \datamem|ram~5167 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N52
+dffeas \datamem|ram~2824 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2824_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2824 .is_wysiwyg = "true";
+defparam \datamem|ram~2824 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y12_N22
+dffeas \datamem|ram~2840 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2840_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2840 .is_wysiwyg = "true";
+defparam \datamem|ram~2840 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N4
+dffeas \datamem|ram~2856 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2856_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2856 .is_wysiwyg = "true";
+defparam \datamem|ram~2856 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y10_N25
+dffeas \datamem|ram~2872 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2872_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2872 .is_wysiwyg = "true";
+defparam \datamem|ram~2872 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N15
+cyclonev_lcell_comb \datamem|ram~5173 (
+// Equation(s):
+// \datamem|ram~5173_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2872_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2840_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2856_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2824_q ) ) )
+
+ .dataa(!\datamem|ram~2824_q ),
+ .datab(!\datamem|ram~2840_q ),
+ .datac(!\datamem|ram~2856_q ),
+ .datad(!\datamem|ram~2872_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5173_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5173 .extended_lut = "off";
+defparam \datamem|ram~5173 .lut_mask = 64'h55550F0F333300FF;
+defparam \datamem|ram~5173 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N38
+dffeas \datamem|ram~2904 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2904_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2904 .is_wysiwyg = "true";
+defparam \datamem|ram~2904 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N34
+dffeas \datamem|ram~2888 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2888_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2888 .is_wysiwyg = "true";
+defparam \datamem|ram~2888 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y14_N18
+cyclonev_lcell_comb \datamem|ram~2920feeder (
+// Equation(s):
+// \datamem|ram~2920feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2920feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2920feeder .extended_lut = "off";
+defparam \datamem|ram~2920feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2920feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N19
+dffeas \datamem|ram~2920 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2920feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2920_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2920 .is_wysiwyg = "true";
+defparam \datamem|ram~2920 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y10_N22
+dffeas \datamem|ram~2936 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2936_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2936 .is_wysiwyg = "true";
+defparam \datamem|ram~2936 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N6
+cyclonev_lcell_comb \datamem|ram~5174 (
+// Equation(s):
+// \datamem|ram~5174_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2936_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2904_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2920_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2888_q ) ) )
+
+ .dataa(!\datamem|ram~2904_q ),
+ .datab(!\datamem|ram~2888_q ),
+ .datac(!\datamem|ram~2920_q ),
+ .datad(!\datamem|ram~2936_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5174_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5174 .extended_lut = "off";
+defparam \datamem|ram~5174 .lut_mask = 64'h33330F0F555500FF;
+defparam \datamem|ram~5174 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N50
+dffeas \datamem|ram~3032 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3032_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3032 .is_wysiwyg = "true";
+defparam \datamem|ram~3032 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N38
+dffeas \datamem|ram~3016 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3016_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3016 .is_wysiwyg = "true";
+defparam \datamem|ram~3016 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N36
+cyclonev_lcell_comb \datamem|ram~3048feeder (
+// Equation(s):
+// \datamem|ram~3048feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3048feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3048feeder .extended_lut = "off";
+defparam \datamem|ram~3048feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3048feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N37
+dffeas \datamem|ram~3048 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3048feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3048_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3048 .is_wysiwyg = "true";
+defparam \datamem|ram~3048 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N56
+dffeas \datamem|ram~3064 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3064_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3064 .is_wysiwyg = "true";
+defparam \datamem|ram~3064 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N54
+cyclonev_lcell_comb \datamem|ram~5176 (
+// Equation(s):
+// \datamem|ram~5176_combout = ( \datamem|ram~3064_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3032_q ) ) ) ) # ( !\datamem|ram~3064_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3032_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3064_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3016_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3048_q ))) ) ) ) # ( !\datamem|ram~3064_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3016_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3048_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3032_q ),
+ .datab(!\datamem|ram~3016_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3048_q ),
+ .datae(!\datamem|ram~3064_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5176_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5176 .extended_lut = "off";
+defparam \datamem|ram~5176 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5176 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y12_N59
+dffeas \datamem|ram~2984 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2984_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2984 .is_wysiwyg = "true";
+defparam \datamem|ram~2984 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N44
+dffeas \datamem|ram~2952 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2952_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2952 .is_wysiwyg = "true";
+defparam \datamem|ram~2952 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X43_Y15_N12
+cyclonev_lcell_comb \datamem|ram~2968feeder (
+// Equation(s):
+// \datamem|ram~2968feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2968feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2968feeder .extended_lut = "off";
+defparam \datamem|ram~2968feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2968feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X43_Y15_N13
+dffeas \datamem|ram~2968 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2968feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2968_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2968 .is_wysiwyg = "true";
+defparam \datamem|ram~2968 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N2
+dffeas \datamem|ram~3000 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3000_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3000 .is_wysiwyg = "true";
+defparam \datamem|ram~3000 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y15_N0
+cyclonev_lcell_comb \datamem|ram~5175 (
+// Equation(s):
+// \datamem|ram~5175_combout = ( \datamem|ram~3000_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2968_q ) ) ) ) # ( !\datamem|ram~3000_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2968_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3000_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2952_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2984_q )) ) ) ) # ( !\datamem|ram~3000_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2952_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2984_q )) ) ) )
+
+ .dataa(!\datamem|ram~2984_q ),
+ .datab(!\datamem|ram~2952_q ),
+ .datac(!\datamem|ram~2968_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3000_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5175_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5175 .extended_lut = "off";
+defparam \datamem|ram~5175 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5175 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N36
+cyclonev_lcell_comb \datamem|ram~5177 (
+// Equation(s):
+// \datamem|ram~5177_combout = ( \datamem|ram~5176_combout & ( \datamem|ram~5175_combout & ( ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5173_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5174_combout )))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~5176_combout & ( \datamem|ram~5175_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5173_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5174_combout ))))) #
+// (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout )) ) ) ) # ( \datamem|ram~5176_combout & ( !\datamem|ram~5175_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5173_combout )) # (\alu_unit|Mux12~2_combout
+// & ((\datamem|ram~5174_combout ))))) # (\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout )) ) ) ) # ( !\datamem|ram~5176_combout & ( !\datamem|ram~5175_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// (\datamem|ram~5173_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5174_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~5173_combout ),
+ .datad(!\datamem|ram~5174_combout ),
+ .datae(!\datamem|ram~5176_combout ),
+ .dataf(!\datamem|ram~5175_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5177_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5177 .extended_lut = "off";
+defparam \datamem|ram~5177 .lut_mask = 64'h082A193B4C6E5D7F;
+defparam \datamem|ram~5177 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y9_N33
+cyclonev_lcell_comb \datamem|ram~2200feeder (
+// Equation(s):
+// \datamem|ram~2200feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2200feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2200feeder .extended_lut = "off";
+defparam \datamem|ram~2200feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2200feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y9_N34
+dffeas \datamem|ram~2200 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2200feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2200_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2200 .is_wysiwyg = "true";
+defparam \datamem|ram~2200 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N50
+dffeas \datamem|ram~2136 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2136_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2136 .is_wysiwyg = "true";
+defparam \datamem|ram~2136 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N31
+dffeas \datamem|ram~2072 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2072_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2072 .is_wysiwyg = "true";
+defparam \datamem|ram~2072 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y10_N44
+dffeas \datamem|ram~2264 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2264_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2264 .is_wysiwyg = "true";
+defparam \datamem|ram~2264 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y10_N42
+cyclonev_lcell_comb \datamem|ram~5159 (
+// Equation(s):
+// \datamem|ram~5159_combout = ( \datamem|ram~2264_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2200_q ) ) ) ) # ( !\datamem|ram~2264_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2200_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2264_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2072_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2136_q )) ) ) ) # ( !\datamem|ram~2264_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2072_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2136_q )) ) ) )
+
+ .dataa(!\datamem|ram~2200_q ),
+ .datab(!\datamem|ram~2136_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2072_q ),
+ .datae(!\datamem|ram~2264_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5159_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5159 .extended_lut = "off";
+defparam \datamem|ram~5159 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5159 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N5
+dffeas \datamem|ram~2104 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2104_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2104 .is_wysiwyg = "true";
+defparam \datamem|ram~2104 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N16
+dffeas \datamem|ram~2168 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2168_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2168 .is_wysiwyg = "true";
+defparam \datamem|ram~2168 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y10_N1
+dffeas \datamem|ram~2296 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2296_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2296 .is_wysiwyg = "true";
+defparam \datamem|ram~2296 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y12_N36
+cyclonev_lcell_comb \datamem|ram~2232feeder (
+// Equation(s):
+// \datamem|ram~2232feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2232feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2232feeder .extended_lut = "off";
+defparam \datamem|ram~2232feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2232feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N37
+dffeas \datamem|ram~2232 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2232feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2232_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2232 .is_wysiwyg = "true";
+defparam \datamem|ram~2232 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N18
+cyclonev_lcell_comb \datamem|ram~5161 (
+// Equation(s):
+// \datamem|ram~5161_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2296_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2232_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2168_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2104_q ) ) )
+
+ .dataa(!\datamem|ram~2104_q ),
+ .datab(!\datamem|ram~2168_q ),
+ .datac(!\datamem|ram~2296_q ),
+ .datad(!\datamem|ram~2232_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5161_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5161 .extended_lut = "off";
+defparam \datamem|ram~5161 .lut_mask = 64'h5555333300FF0F0F;
+defparam \datamem|ram~5161 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y9_N3
+cyclonev_lcell_comb \datamem|ram~2088feeder (
+// Equation(s):
+// \datamem|ram~2088feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2088feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2088feeder .extended_lut = "off";
+defparam \datamem|ram~2088feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2088feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N4
+dffeas \datamem|ram~2088 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2088feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2088_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2088 .is_wysiwyg = "true";
+defparam \datamem|ram~2088 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N1
+dffeas \datamem|ram~2152 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2152_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2152 .is_wysiwyg = "true";
+defparam \datamem|ram~2152 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N25
+dffeas \datamem|ram~2216 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2216_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2216 .is_wysiwyg = "true";
+defparam \datamem|ram~2216 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y10_N38
+dffeas \datamem|ram~2280 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2280_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2280 .is_wysiwyg = "true";
+defparam \datamem|ram~2280 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y10_N36
+cyclonev_lcell_comb \datamem|ram~5160 (
+// Equation(s):
+// \datamem|ram~5160_combout = ( \datamem|ram~2280_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2216_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2280_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2216_q ) ) ) ) # ( \datamem|ram~2280_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2088_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2152_q ))) ) ) ) # ( !\datamem|ram~2280_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2088_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2152_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2088_q ),
+ .datab(!\datamem|ram~2152_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2216_q ),
+ .datae(!\datamem|ram~2280_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5160_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5160 .extended_lut = "off";
+defparam \datamem|ram~5160 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5160 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N31
+dffeas \datamem|ram~2056 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2056_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2056 .is_wysiwyg = "true";
+defparam \datamem|ram~2056 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y11_N40
+dffeas \datamem|ram~2184 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2184_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2184 .is_wysiwyg = "true";
+defparam \datamem|ram~2184 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N41
+dffeas \datamem|ram~2120 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2120_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2120 .is_wysiwyg = "true";
+defparam \datamem|ram~2120 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N50
+dffeas \datamem|ram~2248 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2248_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2248 .is_wysiwyg = "true";
+defparam \datamem|ram~2248 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N48
+cyclonev_lcell_comb \datamem|ram~5158 (
+// Equation(s):
+// \datamem|ram~5158_combout = ( \datamem|ram~2248_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2184_q ) ) ) ) # ( !\datamem|ram~2248_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2184_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2248_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2056_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2120_q ))) ) ) ) # ( !\datamem|ram~2248_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2056_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2120_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2056_q ),
+ .datab(!\datamem|ram~2184_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2120_q ),
+ .datae(!\datamem|ram~2248_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5158_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5158 .extended_lut = "off";
+defparam \datamem|ram~5158 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5158 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y10_N6
+cyclonev_lcell_comb \datamem|ram~5162 (
+// Equation(s):
+// \datamem|ram~5162_combout = ( \datamem|ram~5160_combout & ( \datamem|ram~5158_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5159_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~5161_combout )))) ) )
+// ) # ( !\datamem|ram~5160_combout & ( \datamem|ram~5158_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~5159_combout ))) # (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout & \datamem|ram~5161_combout
+// )))) ) ) ) # ( \datamem|ram~5160_combout & ( !\datamem|ram~5158_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~5159_combout & (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~5161_combout )))) ) ) ) # ( !\datamem|ram~5160_combout & ( !\datamem|ram~5158_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5159_combout )) # (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~5161_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~5159_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~5161_combout ),
+ .datae(!\datamem|ram~5160_combout ),
+ .dataf(!\datamem|ram~5158_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5162_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5162 .extended_lut = "off";
+defparam \datamem|ram~5162 .lut_mask = 64'h02075257A2A7F2F7;
+defparam \datamem|ram~5162 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N54
+cyclonev_lcell_comb \datamem|ram~5178 (
+// Equation(s):
+// \datamem|ram~5178_combout = ( \datamem|ram~5177_combout & ( \datamem|ram~5162_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~5167_combout )))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~5172_combout )) #
+// (\alu_unit|Mux10~6_combout ))) ) ) ) # ( !\datamem|ram~5177_combout & ( \datamem|ram~5162_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~5167_combout )))) # (\alu_unit|Mux9~4_combout &
+// (!\alu_unit|Mux10~6_combout & (\datamem|ram~5172_combout ))) ) ) ) # ( \datamem|ram~5177_combout & ( !\datamem|ram~5162_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~5167_combout )))) # (\alu_unit|Mux9~4_combout
+// & (((\datamem|ram~5172_combout )) # (\alu_unit|Mux10~6_combout ))) ) ) ) # ( !\datamem|ram~5177_combout & ( !\datamem|ram~5162_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~5167_combout )))) #
+// (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~5172_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~5172_combout ),
+ .datad(!\datamem|ram~5167_combout ),
+ .datae(!\datamem|ram~5177_combout ),
+ .dataf(!\datamem|ram~5162_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5178_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5178 .extended_lut = "off";
+defparam \datamem|ram~5178 .lut_mask = 64'h042615378CAE9DBF;
+defparam \datamem|ram~5178 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N25
+dffeas \datamem|ram~1816 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1816_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1816 .is_wysiwyg = "true";
+defparam \datamem|ram~1816 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y16_N9
+cyclonev_lcell_comb \datamem|ram~1832feeder (
+// Equation(s):
+// \datamem|ram~1832feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1832feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1832feeder .extended_lut = "off";
+defparam \datamem|ram~1832feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1832feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N11
+dffeas \datamem|ram~1832 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1832feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1832_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1832 .is_wysiwyg = "true";
+defparam \datamem|ram~1832 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y16_N12
+cyclonev_lcell_comb \datamem|ram~1800feeder (
+// Equation(s):
+// \datamem|ram~1800feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1800feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1800feeder .extended_lut = "off";
+defparam \datamem|ram~1800feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1800feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N14
+dffeas \datamem|ram~1800 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1800feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1800_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1800 .is_wysiwyg = "true";
+defparam \datamem|ram~1800 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N5
+dffeas \datamem|ram~1848 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1848_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1848 .is_wysiwyg = "true";
+defparam \datamem|ram~1848 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y16_N3
+cyclonev_lcell_comb \datamem|ram~5140 (
+// Equation(s):
+// \datamem|ram~5140_combout = ( \datamem|ram~1848_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1816_q ) ) ) ) # ( !\datamem|ram~1848_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1816_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1848_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1800_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1832_q )) ) ) ) # ( !\datamem|ram~1848_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1800_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1832_q )) ) ) )
+
+ .dataa(!\datamem|ram~1816_q ),
+ .datab(!\datamem|ram~1832_q ),
+ .datac(!\datamem|ram~1800_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1848_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5140_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5140 .extended_lut = "off";
+defparam \datamem|ram~5140 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5140 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N44
+dffeas \datamem|ram~1288 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1288_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1288 .is_wysiwyg = "true";
+defparam \datamem|ram~1288 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N8
+dffeas \datamem|ram~1320 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1320_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1320 .is_wysiwyg = "true";
+defparam \datamem|ram~1320 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y18_N40
+dffeas \datamem|ram~1336 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1336_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1336 .is_wysiwyg = "true";
+defparam \datamem|ram~1336 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N32
+dffeas \datamem|ram~1304 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1304_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1304 .is_wysiwyg = "true";
+defparam \datamem|ram~1304 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y16_N30
+cyclonev_lcell_comb \datamem|ram~5138 (
+// Equation(s):
+// \datamem|ram~5138_combout = ( \datamem|ram~1304_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1336_q ) ) ) ) # ( !\datamem|ram~1304_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1336_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1304_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1288_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1320_q ))) ) ) ) # ( !\datamem|ram~1304_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1288_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1320_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1288_q ),
+ .datab(!\datamem|ram~1320_q ),
+ .datac(!\datamem|ram~1336_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1304_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5138_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5138 .extended_lut = "off";
+defparam \datamem|ram~5138 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~5138 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N48
+cyclonev_lcell_comb \datamem|ram~1560feeder (
+// Equation(s):
+// \datamem|ram~1560feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1560feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1560feeder .extended_lut = "off";
+defparam \datamem|ram~1560feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1560feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N50
+dffeas \datamem|ram~1560 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1560feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1560_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1560 .is_wysiwyg = "true";
+defparam \datamem|ram~1560 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N8
+dffeas \datamem|ram~1576 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1576_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1576 .is_wysiwyg = "true";
+defparam \datamem|ram~1576 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y8_N30
+cyclonev_lcell_comb \datamem|ram~1544feeder (
+// Equation(s):
+// \datamem|ram~1544feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1544feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1544feeder .extended_lut = "off";
+defparam \datamem|ram~1544feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1544feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y8_N32
+dffeas \datamem|ram~1544 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1544feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1544_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1544 .is_wysiwyg = "true";
+defparam \datamem|ram~1544 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N20
+dffeas \datamem|ram~1592 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1592_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1592 .is_wysiwyg = "true";
+defparam \datamem|ram~1592 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N18
+cyclonev_lcell_comb \datamem|ram~5139 (
+// Equation(s):
+// \datamem|ram~5139_combout = ( \datamem|ram~1592_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1560_q ) ) ) ) # ( !\datamem|ram~1592_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1560_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1592_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1544_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1576_q )) ) ) ) # ( !\datamem|ram~1592_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1544_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1576_q )) ) ) )
+
+ .dataa(!\datamem|ram~1560_q ),
+ .datab(!\datamem|ram~1576_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1544_q ),
+ .datae(!\datamem|ram~1592_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5139_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5139 .extended_lut = "off";
+defparam \datamem|ram~5139 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5139 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y4_N37
+dffeas \datamem|ram~1064 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1064_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1064 .is_wysiwyg = "true";
+defparam \datamem|ram~1064 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y4_N55
+dffeas \datamem|ram~1032 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1032_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1032 .is_wysiwyg = "true";
+defparam \datamem|ram~1032 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N22
+dffeas \datamem|ram~1080 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1080_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1080 .is_wysiwyg = "true";
+defparam \datamem|ram~1080 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y6_N38
+dffeas \datamem|ram~1048 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1048_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1048 .is_wysiwyg = "true";
+defparam \datamem|ram~1048 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y6_N36
+cyclonev_lcell_comb \datamem|ram~5137 (
+// Equation(s):
+// \datamem|ram~5137_combout = ( \datamem|ram~1048_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1080_q ) ) ) ) # ( !\datamem|ram~1048_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1080_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1048_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1032_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1064_q )) ) ) ) # ( !\datamem|ram~1048_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1032_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1064_q )) ) ) )
+
+ .dataa(!\datamem|ram~1064_q ),
+ .datab(!\datamem|ram~1032_q ),
+ .datac(!\datamem|ram~1080_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1048_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5137_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5137 .extended_lut = "off";
+defparam \datamem|ram~5137 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~5137 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y16_N39
+cyclonev_lcell_comb \datamem|ram~5141 (
+// Equation(s):
+// \datamem|ram~5141_combout = ( \datamem|ram~5139_combout & ( \datamem|ram~5137_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5138_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5140_combout ))) ) ) )
+// # ( !\datamem|ram~5139_combout & ( \datamem|ram~5137_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~5138_combout )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5140_combout & ((\alu_unit|Mux10~6_combout
+// )))) ) ) ) # ( \datamem|ram~5139_combout & ( !\datamem|ram~5137_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~5138_combout & \alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) #
+// (\datamem|ram~5140_combout ))) ) ) ) # ( !\datamem|ram~5139_combout & ( !\datamem|ram~5137_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5138_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5140_combout
+// )))) ) ) )
+
+ .dataa(!\datamem|ram~5140_combout ),
+ .datab(!\datamem|ram~5138_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~5139_combout ),
+ .dataf(!\datamem|ram~5137_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5141_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5141 .extended_lut = "off";
+defparam \datamem|ram~5141 .lut_mask = 64'h00350F35F035FF35;
+defparam \datamem|ram~5141 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y17_N39
+cyclonev_lcell_comb \datamem|ram~1176feeder (
+// Equation(s):
+// \datamem|ram~1176feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1176feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1176feeder .extended_lut = "off";
+defparam \datamem|ram~1176feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1176feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N40
+dffeas \datamem|ram~1176 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1176feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1176_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1176 .is_wysiwyg = "true";
+defparam \datamem|ram~1176 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N15
+cyclonev_lcell_comb \datamem|ram~1688feeder (
+// Equation(s):
+// \datamem|ram~1688feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1688feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1688feeder .extended_lut = "off";
+defparam \datamem|ram~1688feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1688feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N17
+dffeas \datamem|ram~1688 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1688feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1688_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1688 .is_wysiwyg = "true";
+defparam \datamem|ram~1688 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N46
+dffeas \datamem|ram~1432 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1432_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1432 .is_wysiwyg = "true";
+defparam \datamem|ram~1432 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N2
+dffeas \datamem|ram~1944 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1944_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1944 .is_wysiwyg = "true";
+defparam \datamem|ram~1944 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N0
+cyclonev_lcell_comb \datamem|ram~5148 (
+// Equation(s):
+// \datamem|ram~5148_combout = ( \datamem|ram~1944_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1688_q ) ) ) ) # ( !\datamem|ram~1944_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1688_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1944_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1176_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1432_q ))) ) ) ) # ( !\datamem|ram~1944_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1176_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1432_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1176_q ),
+ .datab(!\datamem|ram~1688_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1432_q ),
+ .datae(!\datamem|ram~1944_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5148_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5148 .extended_lut = "off";
+defparam \datamem|ram~5148 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5148 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y17_N30
+cyclonev_lcell_comb \datamem|ram~1160feeder (
+// Equation(s):
+// \datamem|ram~1160feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1160feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1160feeder .extended_lut = "off";
+defparam \datamem|ram~1160feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1160feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N31
+dffeas \datamem|ram~1160 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1160feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1160_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1160 .is_wysiwyg = "true";
+defparam \datamem|ram~1160 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N37
+dffeas \datamem|ram~1672 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1672_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1672 .is_wysiwyg = "true";
+defparam \datamem|ram~1672 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N41
+dffeas \datamem|ram~1416 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1416_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1416 .is_wysiwyg = "true";
+defparam \datamem|ram~1416 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N23
+dffeas \datamem|ram~1928 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1928_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1928 .is_wysiwyg = "true";
+defparam \datamem|ram~1928 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y18_N21
+cyclonev_lcell_comb \datamem|ram~5147 (
+// Equation(s):
+// \datamem|ram~5147_combout = ( \datamem|ram~1928_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1416_q ) ) ) ) # ( !\datamem|ram~1928_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1416_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1928_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1160_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1672_q ))) ) ) ) # ( !\datamem|ram~1928_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1160_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1672_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1160_q ),
+ .datab(!\datamem|ram~1672_q ),
+ .datac(!\datamem|ram~1416_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1928_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5147_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5147 .extended_lut = "off";
+defparam \datamem|ram~5147 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5147 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N34
+dffeas \datamem|ram~1720 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1720_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1720 .is_wysiwyg = "true";
+defparam \datamem|ram~1720 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N41
+dffeas \datamem|ram~1208 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1208_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1208 .is_wysiwyg = "true";
+defparam \datamem|ram~1208 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N47
+dffeas \datamem|ram~1464 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1464_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1464 .is_wysiwyg = "true";
+defparam \datamem|ram~1464 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y9_N12
+cyclonev_lcell_comb \datamem|ram~1976feeder (
+// Equation(s):
+// \datamem|ram~1976feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1976feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1976feeder .extended_lut = "off";
+defparam \datamem|ram~1976feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1976feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y9_N13
+dffeas \datamem|ram~1976 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1976feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1976_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1976 .is_wysiwyg = "true";
+defparam \datamem|ram~1976 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y16_N21
+cyclonev_lcell_comb \datamem|ram~5150 (
+// Equation(s):
+// \datamem|ram~5150_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~1976_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~1720_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~1464_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~1208_q ) ) )
+
+ .dataa(!\datamem|ram~1720_q ),
+ .datab(!\datamem|ram~1208_q ),
+ .datac(!\datamem|ram~1464_q ),
+ .datad(!\datamem|ram~1976_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5150_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5150 .extended_lut = "off";
+defparam \datamem|ram~5150 .lut_mask = 64'h33330F0F555500FF;
+defparam \datamem|ram~5150 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y23_N48
+cyclonev_lcell_comb \datamem|ram~1448feeder (
+// Equation(s):
+// \datamem|ram~1448feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1448feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1448feeder .extended_lut = "off";
+defparam \datamem|ram~1448feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1448feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N49
+dffeas \datamem|ram~1448 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1448feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1448_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1448 .is_wysiwyg = "true";
+defparam \datamem|ram~1448 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N52
+dffeas \datamem|ram~1704 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1704_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1704 .is_wysiwyg = "true";
+defparam \datamem|ram~1704 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N57
+cyclonev_lcell_comb \datamem|ram~1192feeder (
+// Equation(s):
+// \datamem|ram~1192feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1192feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1192feeder .extended_lut = "off";
+defparam \datamem|ram~1192feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1192feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N59
+dffeas \datamem|ram~1192 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1192feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1192_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1192 .is_wysiwyg = "true";
+defparam \datamem|ram~1192 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N38
+dffeas \datamem|ram~1960 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1960_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1960 .is_wysiwyg = "true";
+defparam \datamem|ram~1960 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N36
+cyclonev_lcell_comb \datamem|ram~5149 (
+// Equation(s):
+// \datamem|ram~5149_combout = ( \datamem|ram~1960_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1704_q ) ) ) ) # ( !\datamem|ram~1960_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1704_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1960_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1192_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1448_q )) ) ) ) # ( !\datamem|ram~1960_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1192_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1448_q )) ) ) )
+
+ .dataa(!\datamem|ram~1448_q ),
+ .datab(!\datamem|ram~1704_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1192_q ),
+ .datae(!\datamem|ram~1960_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5149_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5149 .extended_lut = "off";
+defparam \datamem|ram~5149 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5149 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N18
+cyclonev_lcell_comb \datamem|ram~5151 (
+// Equation(s):
+// \datamem|ram~5151_combout = ( \datamem|ram~5150_combout & ( \datamem|ram~5149_combout & ( ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5147_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5148_combout ))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~5150_combout & ( \datamem|ram~5149_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~5147_combout ) # (\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5148_combout & (!\alu_unit|Mux13~4_combout
+// ))) ) ) ) # ( \datamem|ram~5150_combout & ( !\datamem|ram~5149_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout & \datamem|ram~5147_combout )))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~5148_combout ))) ) ) ) # ( !\datamem|ram~5150_combout & ( !\datamem|ram~5149_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5147_combout ))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~5148_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5148_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~5147_combout ),
+ .datae(!\datamem|ram~5150_combout ),
+ .dataf(!\datamem|ram~5149_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5151_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5151 .extended_lut = "off";
+defparam \datamem|ram~5151 .lut_mask = 64'h10D013D31CDC1FDF;
+defparam \datamem|ram~5151 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N28
+dffeas \datamem|ram~1128 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1128_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1128 .is_wysiwyg = "true";
+defparam \datamem|ram~1128 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N19
+dffeas \datamem|ram~1112 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1112_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1112 .is_wysiwyg = "true";
+defparam \datamem|ram~1112 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N46
+dffeas \datamem|ram~1096 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1096_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1096 .is_wysiwyg = "true";
+defparam \datamem|ram~1096 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N34
+dffeas \datamem|ram~1144 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1144_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1144 .is_wysiwyg = "true";
+defparam \datamem|ram~1144 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y16_N27
+cyclonev_lcell_comb \datamem|ram~5142 (
+// Equation(s):
+// \datamem|ram~5142_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1144_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1112_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~1128_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~1096_q ) ) )
+
+ .dataa(!\datamem|ram~1128_q ),
+ .datab(!\datamem|ram~1112_q ),
+ .datac(!\datamem|ram~1096_q ),
+ .datad(!\datamem|ram~1144_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5142_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5142 .extended_lut = "off";
+defparam \datamem|ram~5142 .lut_mask = 64'h0F0F5555333300FF;
+defparam \datamem|ram~5142 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y11_N49
+dffeas \datamem|ram~1912 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1912_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1912 .is_wysiwyg = "true";
+defparam \datamem|ram~1912 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N34
+dffeas \datamem|ram~1880 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1880_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1880 .is_wysiwyg = "true";
+defparam \datamem|ram~1880 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N46
+dffeas \datamem|ram~1864 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1864_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1864 .is_wysiwyg = "true";
+defparam \datamem|ram~1864 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N16
+dffeas \datamem|ram~1896 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1896_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1896 .is_wysiwyg = "true";
+defparam \datamem|ram~1896 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y16_N54
+cyclonev_lcell_comb \datamem|ram~5145 (
+// Equation(s):
+// \datamem|ram~5145_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1912_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1880_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~1896_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~1864_q ) ) )
+
+ .dataa(!\datamem|ram~1912_q ),
+ .datab(!\datamem|ram~1880_q ),
+ .datac(!\datamem|ram~1864_q ),
+ .datad(!\datamem|ram~1896_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5145_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5145 .extended_lut = "off";
+defparam \datamem|ram~5145 .lut_mask = 64'h0F0F00FF33335555;
+defparam \datamem|ram~5145 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N21
+cyclonev_lcell_comb \datamem|ram~1400feeder (
+// Equation(s):
+// \datamem|ram~1400feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1400feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1400feeder .extended_lut = "off";
+defparam \datamem|ram~1400feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1400feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N22
+dffeas \datamem|ram~1400 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1400feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1400_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1400 .is_wysiwyg = "true";
+defparam \datamem|ram~1400 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N54
+cyclonev_lcell_comb \datamem|ram~1384feeder (
+// Equation(s):
+// \datamem|ram~1384feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1384feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1384feeder .extended_lut = "off";
+defparam \datamem|ram~1384feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1384feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N56
+dffeas \datamem|ram~1384 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1384feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1384_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1384 .is_wysiwyg = "true";
+defparam \datamem|ram~1384 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y17_N13
+dffeas \datamem|ram~1352 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1352_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1352 .is_wysiwyg = "true";
+defparam \datamem|ram~1352 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y17_N11
+dffeas \datamem|ram~1368 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1368_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1368 .is_wysiwyg = "true";
+defparam \datamem|ram~1368 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y17_N9
+cyclonev_lcell_comb \datamem|ram~5143 (
+// Equation(s):
+// \datamem|ram~5143_combout = ( \datamem|ram~1368_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1400_q ) ) ) ) # ( !\datamem|ram~1368_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1400_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1368_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1352_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1384_q )) ) ) ) # ( !\datamem|ram~1368_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1352_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1384_q )) ) ) )
+
+ .dataa(!\datamem|ram~1400_q ),
+ .datab(!\datamem|ram~1384_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1352_q ),
+ .datae(!\datamem|ram~1368_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5143_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5143 .extended_lut = "off";
+defparam \datamem|ram~5143 .lut_mask = 64'h03F303F30505F5F5;
+defparam \datamem|ram~5143 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N48
+cyclonev_lcell_comb \datamem|ram~1608feeder (
+// Equation(s):
+// \datamem|ram~1608feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1608feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1608feeder .extended_lut = "off";
+defparam \datamem|ram~1608feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1608feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N50
+dffeas \datamem|ram~1608 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1608feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1608_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1608 .is_wysiwyg = "true";
+defparam \datamem|ram~1608 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N30
+cyclonev_lcell_comb \datamem|ram~1640feeder (
+// Equation(s):
+// \datamem|ram~1640feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1640feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1640feeder .extended_lut = "off";
+defparam \datamem|ram~1640feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1640feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N32
+dffeas \datamem|ram~1640 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1640feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1640_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1640 .is_wysiwyg = "true";
+defparam \datamem|ram~1640 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N7
+dffeas \datamem|ram~1624 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1624_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1624 .is_wysiwyg = "true";
+defparam \datamem|ram~1624 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N38
+dffeas \datamem|ram~1656 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1656_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1656 .is_wysiwyg = "true";
+defparam \datamem|ram~1656 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N36
+cyclonev_lcell_comb \datamem|ram~5144 (
+// Equation(s):
+// \datamem|ram~5144_combout = ( \datamem|ram~1656_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1624_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~1656_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~1624_q ) ) ) ) # ( \datamem|ram~1656_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1608_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1640_q ))) ) ) ) # ( !\datamem|ram~1656_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1608_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1640_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1608_q ),
+ .datab(!\datamem|ram~1640_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1624_q ),
+ .datae(!\datamem|ram~1656_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5144_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5144 .extended_lut = "off";
+defparam \datamem|ram~5144 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5144 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y16_N39
+cyclonev_lcell_comb \datamem|ram~5146 (
+// Equation(s):
+// \datamem|ram~5146_combout = ( \datamem|ram~5143_combout & ( \datamem|ram~5144_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~5142_combout ))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~5145_combout )))) ) ) ) # ( !\datamem|ram~5143_combout & ( \datamem|ram~5144_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~5142_combout & (!\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~5145_combout )))) ) ) ) # ( \datamem|ram~5143_combout & ( !\datamem|ram~5144_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~5142_combout ))) #
+// (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout & \datamem|ram~5145_combout )))) ) ) ) # ( !\datamem|ram~5143_combout & ( !\datamem|ram~5144_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~5142_combout & (!\alu_unit|Mux10~6_combout
+// ))) # (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout & \datamem|ram~5145_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~5142_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~5145_combout ),
+ .datae(!\datamem|ram~5143_combout ),
+ .dataf(!\datamem|ram~5144_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5146_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5146 .extended_lut = "off";
+defparam \datamem|ram~5146 .lut_mask = 64'h20252A2F70757A7F;
+defparam \datamem|ram~5146 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N50
+dffeas \datamem|ram~1496 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1496_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1496 .is_wysiwyg = "true";
+defparam \datamem|ram~1496 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N17
+dffeas \datamem|ram~1480 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1480_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1480 .is_wysiwyg = "true";
+defparam \datamem|ram~1480 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N4
+dffeas \datamem|ram~1512 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1512_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1512 .is_wysiwyg = "true";
+defparam \datamem|ram~1512 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N11
+dffeas \datamem|ram~1528 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1528_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1528 .is_wysiwyg = "true";
+defparam \datamem|ram~1528 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N9
+cyclonev_lcell_comb \datamem|ram~5153 (
+// Equation(s):
+// \datamem|ram~5153_combout = ( \datamem|ram~1528_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1496_q ) ) ) ) # ( !\datamem|ram~1528_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1496_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1528_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1480_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1512_q ))) ) ) ) # ( !\datamem|ram~1528_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1480_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1512_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1496_q ),
+ .datab(!\datamem|ram~1480_q ),
+ .datac(!\datamem|ram~1512_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1528_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5153_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5153 .extended_lut = "off";
+defparam \datamem|ram~5153 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5153 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N48
+cyclonev_lcell_comb \datamem|ram~2008feeder (
+// Equation(s):
+// \datamem|ram~2008feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2008feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2008feeder .extended_lut = "off";
+defparam \datamem|ram~2008feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2008feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N49
+dffeas \datamem|ram~2008 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2008feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2008_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2008 .is_wysiwyg = "true";
+defparam \datamem|ram~2008 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y12_N42
+cyclonev_lcell_comb \datamem|ram~1992feeder (
+// Equation(s):
+// \datamem|ram~1992feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1992feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1992feeder .extended_lut = "off";
+defparam \datamem|ram~1992feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1992feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y12_N44
+dffeas \datamem|ram~1992 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1992feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1992_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1992 .is_wysiwyg = "true";
+defparam \datamem|ram~1992 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y14_N45
+cyclonev_lcell_comb \datamem|ram~2024feeder (
+// Equation(s):
+// \datamem|ram~2024feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2024feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2024feeder .extended_lut = "off";
+defparam \datamem|ram~2024feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2024feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N46
+dffeas \datamem|ram~2024 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2024feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2024_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2024 .is_wysiwyg = "true";
+defparam \datamem|ram~2024 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y12_N32
+dffeas \datamem|ram~2040 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2040_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2040 .is_wysiwyg = "true";
+defparam \datamem|ram~2040 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y12_N30
+cyclonev_lcell_comb \datamem|ram~5155 (
+// Equation(s):
+// \datamem|ram~5155_combout = ( \datamem|ram~2040_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2008_q ) ) ) ) # ( !\datamem|ram~2040_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2008_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2040_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1992_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2024_q ))) ) ) ) # ( !\datamem|ram~2040_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1992_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2024_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2008_q ),
+ .datab(!\datamem|ram~1992_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2024_q ),
+ .datae(!\datamem|ram~2040_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5155_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5155 .extended_lut = "off";
+defparam \datamem|ram~5155 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5155 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N16
+dffeas \datamem|ram~1224 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1224_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1224 .is_wysiwyg = "true";
+defparam \datamem|ram~1224 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N34
+dffeas \datamem|ram~1240 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1240_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1240 .is_wysiwyg = "true";
+defparam \datamem|ram~1240 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N17
+dffeas \datamem|ram~1256 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1256_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1256 .is_wysiwyg = "true";
+defparam \datamem|ram~1256 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y18_N32
+dffeas \datamem|ram~1272 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1272_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1272 .is_wysiwyg = "true";
+defparam \datamem|ram~1272 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y18_N30
+cyclonev_lcell_comb \datamem|ram~5152 (
+// Equation(s):
+// \datamem|ram~5152_combout = ( \datamem|ram~1272_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1240_q ) ) ) ) # ( !\datamem|ram~1272_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1240_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1272_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1224_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1256_q ))) ) ) ) # ( !\datamem|ram~1272_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1224_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1256_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1224_q ),
+ .datab(!\datamem|ram~1240_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1256_q ),
+ .datae(!\datamem|ram~1272_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5152_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5152 .extended_lut = "off";
+defparam \datamem|ram~5152 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5152 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N35
+dffeas \datamem|ram~1768 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1768_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1768 .is_wysiwyg = "true";
+defparam \datamem|ram~1768 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N13
+dffeas \datamem|ram~1736 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1736_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1736 .is_wysiwyg = "true";
+defparam \datamem|ram~1736 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N16
+dffeas \datamem|ram~1752 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1752_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1752 .is_wysiwyg = "true";
+defparam \datamem|ram~1752 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y18_N38
+dffeas \datamem|ram~1784 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1784_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1784 .is_wysiwyg = "true";
+defparam \datamem|ram~1784 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y18_N36
+cyclonev_lcell_comb \datamem|ram~5154 (
+// Equation(s):
+// \datamem|ram~5154_combout = ( \datamem|ram~1784_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1752_q ) ) ) ) # ( !\datamem|ram~1784_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1752_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1784_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1736_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1768_q )) ) ) ) # ( !\datamem|ram~1784_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1736_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1768_q )) ) ) )
+
+ .dataa(!\datamem|ram~1768_q ),
+ .datab(!\datamem|ram~1736_q ),
+ .datac(!\datamem|ram~1752_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1784_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5154_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5154 .extended_lut = "off";
+defparam \datamem|ram~5154 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5154 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N30
+cyclonev_lcell_comb \datamem|ram~5156 (
+// Equation(s):
+// \datamem|ram~5156_combout = ( \datamem|ram~5152_combout & ( \datamem|ram~5154_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5153_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5155_combout )))) ) ) )
+// # ( !\datamem|ram~5152_combout & ( \datamem|ram~5154_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\datamem|ram~5153_combout ))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~5155_combout ))))
+// ) ) ) # ( \datamem|ram~5152_combout & ( !\datamem|ram~5154_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~5153_combout )))) # (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~5155_combout )))) ) ) ) # ( !\datamem|ram~5152_combout & ( !\datamem|ram~5154_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5153_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5155_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~5153_combout ),
+ .datad(!\datamem|ram~5155_combout ),
+ .datae(!\datamem|ram~5152_combout ),
+ .dataf(!\datamem|ram~5154_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5156_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5156 .extended_lut = "off";
+defparam \datamem|ram~5156 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~5156 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N42
+cyclonev_lcell_comb \datamem|ram~5157 (
+// Equation(s):
+// \datamem|ram~5157_combout = ( \datamem|ram~5146_combout & ( \datamem|ram~5156_combout & ( ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5141_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5151_combout )))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~5146_combout & ( \datamem|ram~5156_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5141_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5151_combout ))))) #
+// (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )))) ) ) ) # ( \datamem|ram~5146_combout & ( !\datamem|ram~5156_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5141_combout )) #
+// (\alu_unit|Mux11~4_combout & ((\datamem|ram~5151_combout ))))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~5146_combout & ( !\datamem|ram~5156_combout & ( (!\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5141_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5151_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5141_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~5151_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~5146_combout ),
+ .dataf(!\datamem|ram~5156_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5157_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5157 .extended_lut = "off";
+defparam \datamem|ram~5157 .lut_mask = 64'h440C770C443F773F;
+defparam \datamem|ram~5157 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y13_N31
+dffeas \datamem|ram~440 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~440_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~440 .is_wysiwyg = "true";
+defparam \datamem|ram~440 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N31
+dffeas \datamem|ram~312 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~312_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~312 .is_wysiwyg = "true";
+defparam \datamem|ram~312 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X45_Y12_N9
+cyclonev_lcell_comb \datamem|ram~376feeder (
+// Equation(s):
+// \datamem|ram~376feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~376feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~376feeder .extended_lut = "off";
+defparam \datamem|ram~376feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~376feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X45_Y12_N10
+dffeas \datamem|ram~376 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~376feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~376_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~376 .is_wysiwyg = "true";
+defparam \datamem|ram~376 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y13_N50
+dffeas \datamem|ram~504 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~504_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~504 .is_wysiwyg = "true";
+defparam \datamem|ram~504 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N48
+cyclonev_lcell_comb \datamem|ram~5132 (
+// Equation(s):
+// \datamem|ram~5132_combout = ( \datamem|ram~504_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~440_q ) ) ) ) # ( !\datamem|ram~504_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~440_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~504_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~312_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~376_q ))) ) ) ) # ( !\datamem|ram~504_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~312_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~376_q ))) ) ) )
+
+ .dataa(!\datamem|ram~440_q ),
+ .datab(!\datamem|ram~312_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~376_q ),
+ .datae(!\datamem|ram~504_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5132_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5132 .extended_lut = "off";
+defparam \datamem|ram~5132 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5132 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N42
+cyclonev_lcell_comb \datamem|ram~56feeder (
+// Equation(s):
+// \datamem|ram~56feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~56feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~56feeder .extended_lut = "off";
+defparam \datamem|ram~56feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~56feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N43
+dffeas \datamem|ram~56 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~56feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~56_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~56 .is_wysiwyg = "true";
+defparam \datamem|ram~56 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y13_N14
+dffeas \datamem|ram~248 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~248_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~248 .is_wysiwyg = "true";
+defparam \datamem|ram~248 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N21
+cyclonev_lcell_comb \datamem|ram~120feeder (
+// Equation(s):
+// \datamem|ram~120feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~120feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~120feeder .extended_lut = "off";
+defparam \datamem|ram~120feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~120feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N22
+dffeas \datamem|ram~120 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~120feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~120_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~120 .is_wysiwyg = "true";
+defparam \datamem|ram~120 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N42
+cyclonev_lcell_comb \datamem|ram~184feeder (
+// Equation(s):
+// \datamem|ram~184feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~184feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~184feeder .extended_lut = "off";
+defparam \datamem|ram~184feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~184feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N44
+dffeas \datamem|ram~184 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~184feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~184_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~184 .is_wysiwyg = "true";
+defparam \datamem|ram~184 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N42
+cyclonev_lcell_comb \datamem|ram~5131 (
+// Equation(s):
+// \datamem|ram~5131_combout = ( \datamem|ram~184_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout ) # (\datamem|ram~248_q ) ) ) ) # ( !\datamem|ram~184_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~248_q & \alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~184_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~56_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~120_q ))) ) ) ) # ( !\datamem|ram~184_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~56_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~120_q ))) ) ) )
+
+ .dataa(!\datamem|ram~56_q ),
+ .datab(!\datamem|ram~248_q ),
+ .datac(!\datamem|ram~120_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~184_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5131_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5131 .extended_lut = "off";
+defparam \datamem|ram~5131 .lut_mask = 64'h550F550F0033FF33;
+defparam \datamem|ram~5131 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N30
+cyclonev_lcell_comb \datamem|ram~568feeder (
+// Equation(s):
+// \datamem|ram~568feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~568feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~568feeder .extended_lut = "off";
+defparam \datamem|ram~568feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~568feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N31
+dffeas \datamem|ram~568 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~568feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~568_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~568 .is_wysiwyg = "true";
+defparam \datamem|ram~568 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N36
+cyclonev_lcell_comb \datamem|ram~632feeder (
+// Equation(s):
+// \datamem|ram~632feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~632feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~632feeder .extended_lut = "off";
+defparam \datamem|ram~632feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~632feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N37
+dffeas \datamem|ram~632 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~632feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~632_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~632 .is_wysiwyg = "true";
+defparam \datamem|ram~632 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N20
+dffeas \datamem|ram~696 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~696_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~696 .is_wysiwyg = "true";
+defparam \datamem|ram~696 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N14
+dffeas \datamem|ram~760 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~760_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~760 .is_wysiwyg = "true";
+defparam \datamem|ram~760 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y6_N12
+cyclonev_lcell_comb \datamem|ram~5133 (
+// Equation(s):
+// \datamem|ram~5133_combout = ( \datamem|ram~760_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~696_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~760_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~696_q
+// ) ) ) ) # ( \datamem|ram~760_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~568_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~632_q ))) ) ) ) # ( !\datamem|ram~760_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~568_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~632_q ))) ) ) )
+
+ .dataa(!\datamem|ram~568_q ),
+ .datab(!\datamem|ram~632_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~696_q ),
+ .datae(!\datamem|ram~760_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5133_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5133 .extended_lut = "off";
+defparam \datamem|ram~5133 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5133 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N2
+dffeas \datamem|ram~952 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~952_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~952 .is_wysiwyg = "true";
+defparam \datamem|ram~952 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N8
+dffeas \datamem|ram~824 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~824_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~824 .is_wysiwyg = "true";
+defparam \datamem|ram~824 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N4
+dffeas \datamem|ram~888 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~888_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~888 .is_wysiwyg = "true";
+defparam \datamem|ram~888 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N32
+dffeas \datamem|ram~1016 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1016_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1016 .is_wysiwyg = "true";
+defparam \datamem|ram~1016 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y5_N30
+cyclonev_lcell_comb \datamem|ram~5134 (
+// Equation(s):
+// \datamem|ram~5134_combout = ( \datamem|ram~1016_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~952_q ) ) ) ) # ( !\datamem|ram~1016_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~952_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1016_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~824_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~888_q ))) ) ) ) # ( !\datamem|ram~1016_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~824_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~888_q ))) ) ) )
+
+ .dataa(!\datamem|ram~952_q ),
+ .datab(!\datamem|ram~824_q ),
+ .datac(!\datamem|ram~888_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1016_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5134_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5134 .extended_lut = "off";
+defparam \datamem|ram~5134 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5134 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N39
+cyclonev_lcell_comb \datamem|ram~5135 (
+// Equation(s):
+// \datamem|ram~5135_combout = ( \datamem|ram~5133_combout & ( \datamem|ram~5134_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5131_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5132_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~5133_combout & ( \datamem|ram~5134_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~5131_combout & !\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~5132_combout
+// ))) ) ) ) # ( \datamem|ram~5133_combout & ( !\datamem|ram~5134_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) # (\datamem|ram~5131_combout )))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5132_combout &
+// ((!\alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~5133_combout & ( !\datamem|ram~5134_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5131_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~5132_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5132_combout ),
+ .datab(!\datamem|ram~5131_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~5133_combout ),
+ .dataf(!\datamem|ram~5134_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5135_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5135 .extended_lut = "off";
+defparam \datamem|ram~5135 .lut_mask = 64'h350035F0350F35FF;
+defparam \datamem|ram~5135 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N54
+cyclonev_lcell_comb \datamem|ram~680feeder (
+// Equation(s):
+// \datamem|ram~680feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~680feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~680feeder .extended_lut = "off";
+defparam \datamem|ram~680feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~680feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N55
+dffeas \datamem|ram~680 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~680feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~680_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~680 .is_wysiwyg = "true";
+defparam \datamem|ram~680 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N12
+cyclonev_lcell_comb \datamem|ram~168feeder (
+// Equation(s):
+// \datamem|ram~168feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~168feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~168feeder .extended_lut = "off";
+defparam \datamem|ram~168feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~168feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N13
+dffeas \datamem|ram~168 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~168feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~168_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~168 .is_wysiwyg = "true";
+defparam \datamem|ram~168 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N36
+cyclonev_lcell_comb \datamem|ram~424feeder (
+// Equation(s):
+// \datamem|ram~424feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~424feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~424feeder .extended_lut = "off";
+defparam \datamem|ram~424feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~424feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N37
+dffeas \datamem|ram~424 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~424feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~424_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~424 .is_wysiwyg = "true";
+defparam \datamem|ram~424 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N25
+dffeas \datamem|ram~936 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~936_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~936 .is_wysiwyg = "true";
+defparam \datamem|ram~936 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y14_N6
+cyclonev_lcell_comb \datamem|ram~5128 (
+// Equation(s):
+// \datamem|ram~5128_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~936_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~424_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~680_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~168_q ) ) )
+
+ .dataa(!\datamem|ram~680_q ),
+ .datab(!\datamem|ram~168_q ),
+ .datac(!\datamem|ram~424_q ),
+ .datad(!\datamem|ram~936_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5128_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5128 .extended_lut = "off";
+defparam \datamem|ram~5128 .lut_mask = 64'h333355550F0F00FF;
+defparam \datamem|ram~5128 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N0
+cyclonev_lcell_comb \datamem|ram~808feeder (
+// Equation(s):
+// \datamem|ram~808feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~808feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~808feeder .extended_lut = "off";
+defparam \datamem|ram~808feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~808feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N1
+dffeas \datamem|ram~808 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~808feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~808_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~808 .is_wysiwyg = "true";
+defparam \datamem|ram~808 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N48
+cyclonev_lcell_comb \datamem|ram~552feeder (
+// Equation(s):
+// \datamem|ram~552feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~552feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~552feeder .extended_lut = "off";
+defparam \datamem|ram~552feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~552feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N49
+dffeas \datamem|ram~552 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~552feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~552_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~552 .is_wysiwyg = "true";
+defparam \datamem|ram~552 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y18_N43
+dffeas \datamem|ram~296 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~296_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~296 .is_wysiwyg = "true";
+defparam \datamem|ram~296 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N24
+cyclonev_lcell_comb \datamem|ram~40feeder (
+// Equation(s):
+// \datamem|ram~40feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~40feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~40feeder .extended_lut = "off";
+defparam \datamem|ram~40feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~40feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N25
+dffeas \datamem|ram~40 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~40feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~40_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~40 .is_wysiwyg = "true";
+defparam \datamem|ram~40 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N6
+cyclonev_lcell_comb \datamem|ram~5126 (
+// Equation(s):
+// \datamem|ram~5126_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~808_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~552_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~296_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~40_q ) ) )
+
+ .dataa(!\datamem|ram~808_q ),
+ .datab(!\datamem|ram~552_q ),
+ .datac(!\datamem|ram~296_q ),
+ .datad(!\datamem|ram~40_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5126_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5126 .extended_lut = "off";
+defparam \datamem|ram~5126 .lut_mask = 64'h00FF0F0F33335555;
+defparam \datamem|ram~5126 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N55
+dffeas \datamem|ram~360 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~360_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~360 .is_wysiwyg = "true";
+defparam \datamem|ram~360 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y6_N18
+cyclonev_lcell_comb \datamem|ram~104feeder (
+// Equation(s):
+// \datamem|ram~104feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~104feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~104feeder .extended_lut = "off";
+defparam \datamem|ram~104feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~104feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y6_N19
+dffeas \datamem|ram~104 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~104feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~104_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~104 .is_wysiwyg = "true";
+defparam \datamem|ram~104 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y6_N48
+cyclonev_lcell_comb \datamem|ram~872feeder (
+// Equation(s):
+// \datamem|ram~872feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~872feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~872feeder .extended_lut = "off";
+defparam \datamem|ram~872feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~872feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y6_N49
+dffeas \datamem|ram~872 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~872feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~872_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~872 .is_wysiwyg = "true";
+defparam \datamem|ram~872 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y9_N4
+dffeas \datamem|ram~616 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~616_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~616 .is_wysiwyg = "true";
+defparam \datamem|ram~616 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N6
+cyclonev_lcell_comb \datamem|ram~5127 (
+// Equation(s):
+// \datamem|ram~5127_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~872_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~360_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~616_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~104_q ) ) )
+
+ .dataa(!\datamem|ram~360_q ),
+ .datab(!\datamem|ram~104_q ),
+ .datac(!\datamem|ram~872_q ),
+ .datad(!\datamem|ram~616_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5127_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5127 .extended_lut = "off";
+defparam \datamem|ram~5127 .lut_mask = 64'h333300FF55550F0F;
+defparam \datamem|ram~5127 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N16
+dffeas \datamem|ram~232 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~232_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~232 .is_wysiwyg = "true";
+defparam \datamem|ram~232 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N55
+dffeas \datamem|ram~744 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~744_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~744 .is_wysiwyg = "true";
+defparam \datamem|ram~744 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N37
+dffeas \datamem|ram~488 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~488_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~488 .is_wysiwyg = "true";
+defparam \datamem|ram~488 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N5
+dffeas \datamem|ram~1000 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1000_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1000 .is_wysiwyg = "true";
+defparam \datamem|ram~1000 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y13_N3
+cyclonev_lcell_comb \datamem|ram~5129 (
+// Equation(s):
+// \datamem|ram~5129_combout = ( \datamem|ram~1000_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~488_q ) ) ) ) # ( !\datamem|ram~1000_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~488_q & !\alu_unit|Mux9~4_combout
+// ) ) ) ) # ( \datamem|ram~1000_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~232_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~744_q ))) ) ) ) # ( !\datamem|ram~1000_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~232_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~744_q ))) ) ) )
+
+ .dataa(!\datamem|ram~232_q ),
+ .datab(!\datamem|ram~744_q ),
+ .datac(!\datamem|ram~488_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1000_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5129_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5129 .extended_lut = "off";
+defparam \datamem|ram~5129 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5129 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N12
+cyclonev_lcell_comb \datamem|ram~5130 (
+// Equation(s):
+// \datamem|ram~5130_combout = ( \datamem|ram~5127_combout & ( \datamem|ram~5129_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5126_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5128_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~5127_combout & ( \datamem|ram~5129_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~5126_combout & !\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~5128_combout ))) ) ) ) # ( \datamem|ram~5127_combout & ( !\datamem|ram~5129_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~5126_combout )))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~5128_combout & ((!\alu_unit|Mux12~2_combout )))) ) ) ) # ( !\datamem|ram~5127_combout & ( !\datamem|ram~5129_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5126_combout ))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~5128_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~5128_combout ),
+ .datac(!\datamem|ram~5126_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~5127_combout ),
+ .dataf(!\datamem|ram~5129_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5130_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5130 .extended_lut = "off";
+defparam \datamem|ram~5130 .lut_mask = 64'h1B001BAA1B551BFF;
+defparam \datamem|ram~5130 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N21
+cyclonev_lcell_comb \datamem|ram~648feeder (
+// Equation(s):
+// \datamem|ram~648feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~648feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~648feeder .extended_lut = "off";
+defparam \datamem|ram~648feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~648feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N23
+dffeas \datamem|ram~648 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~648feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~648_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~648 .is_wysiwyg = "true";
+defparam \datamem|ram~648 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N11
+dffeas \datamem|ram~136 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~136_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~136 .is_wysiwyg = "true";
+defparam \datamem|ram~136 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y8_N48
+cyclonev_lcell_comb \datamem|ram~392feeder (
+// Equation(s):
+// \datamem|ram~392feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~392feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~392feeder .extended_lut = "off";
+defparam \datamem|ram~392feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~392feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N50
+dffeas \datamem|ram~392 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~392feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~392_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~392 .is_wysiwyg = "true";
+defparam \datamem|ram~392 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N32
+dffeas \datamem|ram~904 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~904_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~904 .is_wysiwyg = "true";
+defparam \datamem|ram~904 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N30
+cyclonev_lcell_comb \datamem|ram~5118 (
+// Equation(s):
+// \datamem|ram~5118_combout = ( \datamem|ram~904_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~392_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~904_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & \datamem|ram~392_q )
+// ) ) ) # ( \datamem|ram~904_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~136_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~648_q )) ) ) ) # ( !\datamem|ram~904_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~136_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~648_q )) ) ) )
+
+ .dataa(!\datamem|ram~648_q ),
+ .datab(!\datamem|ram~136_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~392_q ),
+ .datae(!\datamem|ram~904_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5118_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5118 .extended_lut = "off";
+defparam \datamem|ram~5118 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5118 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N31
+dffeas \datamem|ram~8 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~8_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~8 .is_wysiwyg = "true";
+defparam \datamem|ram~8 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X45_Y11_N36
+cyclonev_lcell_comb \datamem|ram~520feeder (
+// Equation(s):
+// \datamem|ram~520feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~520feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~520feeder .extended_lut = "off";
+defparam \datamem|ram~520feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~520feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X45_Y11_N37
+dffeas \datamem|ram~520 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~520feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~520_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~520 .is_wysiwyg = "true";
+defparam \datamem|ram~520 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N10
+dffeas \datamem|ram~776 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~776_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~776 .is_wysiwyg = "true";
+defparam \datamem|ram~776 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y16_N14
+dffeas \datamem|ram~264 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~264_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~264 .is_wysiwyg = "true";
+defparam \datamem|ram~264 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y16_N12
+cyclonev_lcell_comb \datamem|ram~5116 (
+// Equation(s):
+// \datamem|ram~5116_combout = ( \datamem|ram~264_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~520_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~776_q ))) ) ) ) # ( !\datamem|ram~264_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~520_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~776_q ))) ) ) ) # ( \datamem|ram~264_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~8_q ) ) ) ) # (
+// !\datamem|ram~264_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~8_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~8_q ),
+ .datab(!\datamem|ram~520_q ),
+ .datac(!\datamem|ram~776_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~264_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5116_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5116 .extended_lut = "off";
+defparam \datamem|ram~5116 .lut_mask = 64'h550055FF330F330F;
+defparam \datamem|ram~5116 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y13_N31
+dffeas \datamem|ram~200 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~200_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~200 .is_wysiwyg = "true";
+defparam \datamem|ram~200 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y9_N30
+cyclonev_lcell_comb \datamem|ram~712feeder (
+// Equation(s):
+// \datamem|ram~712feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~712feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~712feeder .extended_lut = "off";
+defparam \datamem|ram~712feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~712feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y9_N32
+dffeas \datamem|ram~712 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~712feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~712_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~712 .is_wysiwyg = "true";
+defparam \datamem|ram~712 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N39
+cyclonev_lcell_comb \datamem|ram~456feeder (
+// Equation(s):
+// \datamem|ram~456feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~456feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~456feeder .extended_lut = "off";
+defparam \datamem|ram~456feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~456feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N41
+dffeas \datamem|ram~456 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~456feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~456_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~456 .is_wysiwyg = "true";
+defparam \datamem|ram~456 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y16_N59
+dffeas \datamem|ram~968 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~968_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~968 .is_wysiwyg = "true";
+defparam \datamem|ram~968 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y16_N57
+cyclonev_lcell_comb \datamem|ram~5119 (
+// Equation(s):
+// \datamem|ram~5119_combout = ( \datamem|ram~968_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~712_q ) ) ) ) # ( !\datamem|ram~968_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~712_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~968_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~200_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~456_q ))) ) ) ) # ( !\datamem|ram~968_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & (\datamem|ram~200_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~456_q ))) ) ) )
+
+ .dataa(!\datamem|ram~200_q ),
+ .datab(!\datamem|ram~712_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~456_q ),
+ .datae(!\datamem|ram~968_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5119_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5119 .extended_lut = "off";
+defparam \datamem|ram~5119 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5119 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N26
+dffeas \datamem|ram~72 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~72_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~72 .is_wysiwyg = "true";
+defparam \datamem|ram~72 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N17
+dffeas \datamem|ram~584 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~584_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~584 .is_wysiwyg = "true";
+defparam \datamem|ram~584 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y5_N51
+cyclonev_lcell_comb \datamem|ram~840feeder (
+// Equation(s):
+// \datamem|ram~840feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~840feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~840feeder .extended_lut = "off";
+defparam \datamem|ram~840feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~840feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y5_N52
+dffeas \datamem|ram~840 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~840feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~840_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~840 .is_wysiwyg = "true";
+defparam \datamem|ram~840 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N50
+dffeas \datamem|ram~328 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~328_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~328 .is_wysiwyg = "true";
+defparam \datamem|ram~328 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N48
+cyclonev_lcell_comb \datamem|ram~5117 (
+// Equation(s):
+// \datamem|ram~5117_combout = ( \datamem|ram~328_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~584_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~840_q ))) ) ) ) # ( !\datamem|ram~328_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~584_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~840_q ))) ) ) ) # ( \datamem|ram~328_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~72_q ) ) ) ) # (
+// !\datamem|ram~328_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~72_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~72_q ),
+ .datab(!\datamem|ram~584_q ),
+ .datac(!\datamem|ram~840_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~328_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5117_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5117 .extended_lut = "off";
+defparam \datamem|ram~5117 .lut_mask = 64'h550055FF330F330F;
+defparam \datamem|ram~5117 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y16_N48
+cyclonev_lcell_comb \datamem|ram~5120 (
+// Equation(s):
+// \datamem|ram~5120_combout = ( \datamem|ram~5119_combout & ( \datamem|ram~5117_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5116_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5118_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~5119_combout & ( \datamem|ram~5117_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~5116_combout )) # (\alu_unit|Mux12~2_combout ))) # (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & (\datamem|ram~5118_combout
+// ))) ) ) ) # ( \datamem|ram~5119_combout & ( !\datamem|ram~5117_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & ((\datamem|ram~5116_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~5118_combout )) #
+// (\alu_unit|Mux12~2_combout ))) ) ) ) # ( !\datamem|ram~5119_combout & ( !\datamem|ram~5117_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5116_combout ))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~5118_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~5118_combout ),
+ .datad(!\datamem|ram~5116_combout ),
+ .datae(!\datamem|ram~5119_combout ),
+ .dataf(!\datamem|ram~5117_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5120_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5120 .extended_lut = "off";
+defparam \datamem|ram~5120 .lut_mask = 64'h048C159D26AE37BF;
+defparam \datamem|ram~5120 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N16
+dffeas \datamem|ram~152 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~152_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~152 .is_wysiwyg = "true";
+defparam \datamem|ram~152 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y19_N34
+dffeas \datamem|ram~664 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~664_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~664 .is_wysiwyg = "true";
+defparam \datamem|ram~664 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N7
+dffeas \datamem|ram~408 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~408_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~408 .is_wysiwyg = "true";
+defparam \datamem|ram~408 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y20_N26
+dffeas \datamem|ram~920 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~920_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~920 .is_wysiwyg = "true";
+defparam \datamem|ram~920 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y20_N24
+cyclonev_lcell_comb \datamem|ram~5123 (
+// Equation(s):
+// \datamem|ram~5123_combout = ( \datamem|ram~920_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~664_q ) ) ) ) # ( !\datamem|ram~920_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~664_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~920_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~152_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~408_q ))) ) ) ) # ( !\datamem|ram~920_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & (\datamem|ram~152_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~408_q ))) ) ) )
+
+ .dataa(!\datamem|ram~152_q ),
+ .datab(!\datamem|ram~664_q ),
+ .datac(!\datamem|ram~408_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~920_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5123_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5123 .extended_lut = "off";
+defparam \datamem|ram~5123 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5123 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N44
+dffeas \datamem|ram~792 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~792_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~792 .is_wysiwyg = "true";
+defparam \datamem|ram~792 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y18_N42
+cyclonev_lcell_comb \datamem|ram~24feeder (
+// Equation(s):
+// \datamem|ram~24feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~24feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~24feeder .extended_lut = "off";
+defparam \datamem|ram~24feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~24feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N43
+dffeas \datamem|ram~24 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~24feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~24_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~24 .is_wysiwyg = "true";
+defparam \datamem|ram~24 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y18_N27
+cyclonev_lcell_comb \datamem|ram~536feeder (
+// Equation(s):
+// \datamem|ram~536feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~536feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~536feeder .extended_lut = "off";
+defparam \datamem|ram~536feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~536feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N28
+dffeas \datamem|ram~536 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~536feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~536_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~536 .is_wysiwyg = "true";
+defparam \datamem|ram~536 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y20_N38
+dffeas \datamem|ram~280 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~280_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~280 .is_wysiwyg = "true";
+defparam \datamem|ram~280 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y20_N36
+cyclonev_lcell_comb \datamem|ram~5121 (
+// Equation(s):
+// \datamem|ram~5121_combout = ( \datamem|ram~280_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~792_q ) ) ) ) # ( !\datamem|ram~280_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~792_q & \alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~280_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~24_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~536_q ))) ) ) ) # ( !\datamem|ram~280_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~24_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~536_q ))) ) ) )
+
+ .dataa(!\datamem|ram~792_q ),
+ .datab(!\datamem|ram~24_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~536_q ),
+ .datae(!\datamem|ram~280_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5121_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5121 .extended_lut = "off";
+defparam \datamem|ram~5121 .lut_mask = 64'h303F303F0505F5F5;
+defparam \datamem|ram~5121 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y4_N30
+cyclonev_lcell_comb \datamem|ram~600feeder (
+// Equation(s):
+// \datamem|ram~600feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~600feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~600feeder .extended_lut = "off";
+defparam \datamem|ram~600feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~600feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N31
+dffeas \datamem|ram~600 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~600feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~600_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~600 .is_wysiwyg = "true";
+defparam \datamem|ram~600 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y19_N25
+dffeas \datamem|ram~856 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~856_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~856 .is_wysiwyg = "true";
+defparam \datamem|ram~856 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y13_N16
+dffeas \datamem|ram~88 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~88_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~88 .is_wysiwyg = "true";
+defparam \datamem|ram~88 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y20_N56
+dffeas \datamem|ram~344 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~344_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~344 .is_wysiwyg = "true";
+defparam \datamem|ram~344 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y20_N54
+cyclonev_lcell_comb \datamem|ram~5122 (
+// Equation(s):
+// \datamem|ram~5122_combout = ( \datamem|ram~344_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~600_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~856_q ))) ) ) ) # ( !\datamem|ram~344_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~600_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~856_q ))) ) ) ) # ( \datamem|ram~344_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~88_q ) ) ) ) # (
+// !\datamem|ram~344_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~88_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~600_q ),
+ .datab(!\datamem|ram~856_q ),
+ .datac(!\datamem|ram~88_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~344_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5122_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5122 .extended_lut = "off";
+defparam \datamem|ram~5122 .lut_mask = 64'h0F000FFF55335533;
+defparam \datamem|ram~5122 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y22_N2
+dffeas \datamem|ram~472 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~472_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~472 .is_wysiwyg = "true";
+defparam \datamem|ram~472 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N47
+dffeas \datamem|ram~216 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~216_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~216 .is_wysiwyg = "true";
+defparam \datamem|ram~216 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N50
+dffeas \datamem|ram~728 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~728_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~728 .is_wysiwyg = "true";
+defparam \datamem|ram~728 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N32
+dffeas \datamem|ram~984 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~984_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~984 .is_wysiwyg = "true";
+defparam \datamem|ram~984 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N30
+cyclonev_lcell_comb \datamem|ram~5124 (
+// Equation(s):
+// \datamem|ram~5124_combout = ( \datamem|ram~984_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~728_q ) ) ) ) # ( !\datamem|ram~984_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~728_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~984_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~216_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~472_q )) ) ) ) # ( !\datamem|ram~984_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~216_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~472_q )) ) ) )
+
+ .dataa(!\datamem|ram~472_q ),
+ .datab(!\datamem|ram~216_q ),
+ .datac(!\datamem|ram~728_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~984_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5124_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5124 .extended_lut = "off";
+defparam \datamem|ram~5124 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5124 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y20_N6
+cyclonev_lcell_comb \datamem|ram~5125 (
+// Equation(s):
+// \datamem|ram~5125_combout = ( \datamem|ram~5122_combout & ( \datamem|ram~5124_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5121_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5123_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~5122_combout & ( \datamem|ram~5124_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~5121_combout & !\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~5123_combout ))) ) ) ) # ( \datamem|ram~5122_combout & ( !\datamem|ram~5124_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~5121_combout )))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~5123_combout & ((!\alu_unit|Mux12~2_combout )))) ) ) ) # ( !\datamem|ram~5122_combout & ( !\datamem|ram~5124_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5121_combout ))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~5123_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5123_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5121_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~5122_combout ),
+ .dataf(!\datamem|ram~5124_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5125_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5125 .extended_lut = "off";
+defparam \datamem|ram~5125 .lut_mask = 64'h1D001DCC1D331DFF;
+defparam \datamem|ram~5125 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N0
+cyclonev_lcell_comb \datamem|ram~5136 (
+// Equation(s):
+// \datamem|ram~5136_combout = ( \datamem|ram~5120_combout & ( \datamem|ram~5125_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5130_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5135_combout ))) ) )
+// ) # ( !\datamem|ram~5120_combout & ( \datamem|ram~5125_combout & ( (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout )) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5130_combout ))) # (\alu_unit|Mux14~6_combout
+// & (\datamem|ram~5135_combout )))) ) ) ) # ( \datamem|ram~5120_combout & ( !\datamem|ram~5125_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout )) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~5130_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5135_combout )))) ) ) ) # ( !\datamem|ram~5120_combout & ( !\datamem|ram~5125_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~5130_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5135_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~5135_combout ),
+ .datad(!\datamem|ram~5130_combout ),
+ .datae(!\datamem|ram~5120_combout ),
+ .dataf(!\datamem|ram~5125_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5136_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5136 .extended_lut = "off";
+defparam \datamem|ram~5136 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~5136 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N38
+dffeas \datamem|ram~3752 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3752_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3752 .is_wysiwyg = "true";
+defparam \datamem|ram~3752 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N19
+dffeas \datamem|ram~3496 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3496_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3496 .is_wysiwyg = "true";
+defparam \datamem|ram~3496 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N54
+cyclonev_lcell_comb \datamem|ram~3240feeder (
+// Equation(s):
+// \datamem|ram~3240feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3240feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3240feeder .extended_lut = "off";
+defparam \datamem|ram~3240feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3240feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N55
+dffeas \datamem|ram~3240 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3240feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3240_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3240 .is_wysiwyg = "true";
+defparam \datamem|ram~3240 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N32
+dffeas \datamem|ram~4008 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4008_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4008 .is_wysiwyg = "true";
+defparam \datamem|ram~4008 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N30
+cyclonev_lcell_comb \datamem|ram~5191 (
+// Equation(s):
+// \datamem|ram~5191_combout = ( \datamem|ram~4008_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3752_q ) ) ) ) # ( !\datamem|ram~4008_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3752_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4008_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3240_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3496_q )) ) ) ) # ( !\datamem|ram~4008_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3240_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3496_q )) ) ) )
+
+ .dataa(!\datamem|ram~3752_q ),
+ .datab(!\datamem|ram~3496_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3240_q ),
+ .datae(!\datamem|ram~4008_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5191_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5191 .extended_lut = "off";
+defparam \datamem|ram~5191 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5191 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y20_N51
+cyclonev_lcell_comb \datamem|ram~3304feeder (
+// Equation(s):
+// \datamem|ram~3304feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3304feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3304feeder .extended_lut = "off";
+defparam \datamem|ram~3304feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3304feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y20_N52
+dffeas \datamem|ram~3304 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3304feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3304_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3304 .is_wysiwyg = "true";
+defparam \datamem|ram~3304 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N42
+cyclonev_lcell_comb \datamem|ram~3560feeder (
+// Equation(s):
+// \datamem|ram~3560feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3560feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3560feeder .extended_lut = "off";
+defparam \datamem|ram~3560feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3560feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N44
+dffeas \datamem|ram~3560 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3560feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3560_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3560 .is_wysiwyg = "true";
+defparam \datamem|ram~3560 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N58
+dffeas \datamem|ram~3816 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3816_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3816 .is_wysiwyg = "true";
+defparam \datamem|ram~3816 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N26
+dffeas \datamem|ram~4072 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4072_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4072 .is_wysiwyg = "true";
+defparam \datamem|ram~4072 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N24
+cyclonev_lcell_comb \datamem|ram~5192 (
+// Equation(s):
+// \datamem|ram~5192_combout = ( \datamem|ram~4072_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3816_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~4072_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~3816_q ) ) ) ) # ( \datamem|ram~4072_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3304_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3560_q ))) ) ) ) # ( !\datamem|ram~4072_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3304_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3560_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3304_q ),
+ .datab(!\datamem|ram~3560_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3816_q ),
+ .datae(!\datamem|ram~4072_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5192_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5192 .extended_lut = "off";
+defparam \datamem|ram~5192 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5192 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N31
+dffeas \datamem|ram~3688 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3688_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3688 .is_wysiwyg = "true";
+defparam \datamem|ram~3688 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N57
+cyclonev_lcell_comb \datamem|ram~3432feeder (
+// Equation(s):
+// \datamem|ram~3432feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3432feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3432feeder .extended_lut = "off";
+defparam \datamem|ram~3432feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3432feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N58
+dffeas \datamem|ram~3432 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3432feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3432_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3432 .is_wysiwyg = "true";
+defparam \datamem|ram~3432 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N31
+dffeas \datamem|ram~3176 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3176_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3176 .is_wysiwyg = "true";
+defparam \datamem|ram~3176 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N50
+dffeas \datamem|ram~3944 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3944_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3944 .is_wysiwyg = "true";
+defparam \datamem|ram~3944 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y17_N48
+cyclonev_lcell_comb \datamem|ram~5190 (
+// Equation(s):
+// \datamem|ram~5190_combout = ( \datamem|ram~3944_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3432_q ) ) ) ) # ( !\datamem|ram~3944_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3432_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3944_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3176_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3688_q )) ) ) ) # ( !\datamem|ram~3944_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3176_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3688_q )) ) ) )
+
+ .dataa(!\datamem|ram~3688_q ),
+ .datab(!\datamem|ram~3432_q ),
+ .datac(!\datamem|ram~3176_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~3944_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5190_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5190 .extended_lut = "off";
+defparam \datamem|ram~5190 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5190 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N53
+dffeas \datamem|ram~3624 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3624_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3624 .is_wysiwyg = "true";
+defparam \datamem|ram~3624 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y8_N42
+cyclonev_lcell_comb \datamem|ram~3112feeder (
+// Equation(s):
+// \datamem|ram~3112feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3112feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3112feeder .extended_lut = "off";
+defparam \datamem|ram~3112feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3112feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N43
+dffeas \datamem|ram~3112 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3112feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3112_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3112 .is_wysiwyg = "true";
+defparam \datamem|ram~3112 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N19
+dffeas \datamem|ram~3368 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3368_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3368 .is_wysiwyg = "true";
+defparam \datamem|ram~3368 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N26
+dffeas \datamem|ram~3880 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3880_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3880 .is_wysiwyg = "true";
+defparam \datamem|ram~3880 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y16_N24
+cyclonev_lcell_comb \datamem|ram~5189 (
+// Equation(s):
+// \datamem|ram~5189_combout = ( \datamem|ram~3880_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3624_q ) ) ) ) # ( !\datamem|ram~3880_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3624_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3880_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3112_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3368_q ))) ) ) ) # ( !\datamem|ram~3880_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3112_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3368_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3624_q ),
+ .datab(!\datamem|ram~3112_q ),
+ .datac(!\datamem|ram~3368_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3880_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5189_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5189 .extended_lut = "off";
+defparam \datamem|ram~5189 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5189 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N48
+cyclonev_lcell_comb \datamem|ram~5193 (
+// Equation(s):
+// \datamem|ram~5193_combout = ( \datamem|ram~5190_combout & ( \datamem|ram~5189_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5191_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5192_combout )))) ) )
+// ) # ( !\datamem|ram~5190_combout & ( \datamem|ram~5189_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout )) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5191_combout )) # (\alu_unit|Mux12~2_combout
+// & ((\datamem|ram~5192_combout ))))) ) ) ) # ( \datamem|ram~5190_combout & ( !\datamem|ram~5189_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout )) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// (\datamem|ram~5191_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5192_combout ))))) ) ) ) # ( !\datamem|ram~5190_combout & ( !\datamem|ram~5189_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// (\datamem|ram~5191_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5192_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~5191_combout ),
+ .datad(!\datamem|ram~5192_combout ),
+ .datae(!\datamem|ram~5190_combout ),
+ .dataf(!\datamem|ram~5189_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5193_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5193 .extended_lut = "off";
+defparam \datamem|ram~5193 .lut_mask = 64'h041526378C9DAEBF;
+defparam \datamem|ram~5193 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N7
+dffeas \datamem|ram~3736 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3736_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3736 .is_wysiwyg = "true";
+defparam \datamem|ram~3736 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N44
+dffeas \datamem|ram~3224 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3224_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3224 .is_wysiwyg = "true";
+defparam \datamem|ram~3224 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N58
+dffeas \datamem|ram~3480 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3480_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3480 .is_wysiwyg = "true";
+defparam \datamem|ram~3480 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N28
+dffeas \datamem|ram~3992 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3992_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3992 .is_wysiwyg = "true";
+defparam \datamem|ram~3992 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N0
+cyclonev_lcell_comb \datamem|ram~5186 (
+// Equation(s):
+// \datamem|ram~5186_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3992_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3480_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~3736_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~3224_q ) ) )
+
+ .dataa(!\datamem|ram~3736_q ),
+ .datab(!\datamem|ram~3224_q ),
+ .datac(!\datamem|ram~3480_q ),
+ .datad(!\datamem|ram~3992_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5186_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5186 .extended_lut = "off";
+defparam \datamem|ram~5186 .lut_mask = 64'h333355550F0F00FF;
+defparam \datamem|ram~5186 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N28
+dffeas \datamem|ram~3800 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3800_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3800 .is_wysiwyg = "true";
+defparam \datamem|ram~3800 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N43
+dffeas \datamem|ram~3544 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3544_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3544 .is_wysiwyg = "true";
+defparam \datamem|ram~3544 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N4
+dffeas \datamem|ram~3288 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3288_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3288 .is_wysiwyg = "true";
+defparam \datamem|ram~3288 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N38
+dffeas \datamem|ram~4056 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4056_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4056 .is_wysiwyg = "true";
+defparam \datamem|ram~4056 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N36
+cyclonev_lcell_comb \datamem|ram~5187 (
+// Equation(s):
+// \datamem|ram~5187_combout = ( \datamem|ram~4056_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3800_q ) ) ) ) # ( !\datamem|ram~4056_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3800_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4056_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3288_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3544_q )) ) ) ) # ( !\datamem|ram~4056_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3288_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3544_q )) ) ) )
+
+ .dataa(!\datamem|ram~3800_q ),
+ .datab(!\datamem|ram~3544_q ),
+ .datac(!\datamem|ram~3288_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4056_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5187_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5187 .extended_lut = "off";
+defparam \datamem|ram~5187 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5187 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N58
+dffeas \datamem|ram~3672 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3672_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3672 .is_wysiwyg = "true";
+defparam \datamem|ram~3672 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N59
+dffeas \datamem|ram~3160 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3160_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3160 .is_wysiwyg = "true";
+defparam \datamem|ram~3160 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N38
+dffeas \datamem|ram~3416 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3416_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3416 .is_wysiwyg = "true";
+defparam \datamem|ram~3416 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N38
+dffeas \datamem|ram~3928 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3928_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3928 .is_wysiwyg = "true";
+defparam \datamem|ram~3928 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y15_N36
+cyclonev_lcell_comb \datamem|ram~5185 (
+// Equation(s):
+// \datamem|ram~5185_combout = ( \datamem|ram~3928_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3416_q ) ) ) ) # ( !\datamem|ram~3928_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3416_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3928_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3160_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3672_q )) ) ) ) # ( !\datamem|ram~3928_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3160_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3672_q )) ) ) )
+
+ .dataa(!\datamem|ram~3672_q ),
+ .datab(!\datamem|ram~3160_q ),
+ .datac(!\datamem|ram~3416_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~3928_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5185_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5185 .extended_lut = "off";
+defparam \datamem|ram~5185 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5185 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N2
+dffeas \datamem|ram~3608 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3608_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3608 .is_wysiwyg = "true";
+defparam \datamem|ram~3608 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N3
+cyclonev_lcell_comb \datamem|ram~3352feeder (
+// Equation(s):
+// \datamem|ram~3352feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3352feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3352feeder .extended_lut = "off";
+defparam \datamem|ram~3352feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3352feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N4
+dffeas \datamem|ram~3352 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3352feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3352_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3352 .is_wysiwyg = "true";
+defparam \datamem|ram~3352 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N24
+cyclonev_lcell_comb \datamem|ram~3096feeder (
+// Equation(s):
+// \datamem|ram~3096feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3096feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3096feeder .extended_lut = "off";
+defparam \datamem|ram~3096feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3096feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N25
+dffeas \datamem|ram~3096 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3096feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3096_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3096 .is_wysiwyg = "true";
+defparam \datamem|ram~3096 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N8
+dffeas \datamem|ram~3864 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3864_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3864 .is_wysiwyg = "true";
+defparam \datamem|ram~3864 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y20_N6
+cyclonev_lcell_comb \datamem|ram~5184 (
+// Equation(s):
+// \datamem|ram~5184_combout = ( \datamem|ram~3864_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3608_q ) ) ) ) # ( !\datamem|ram~3864_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3608_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3864_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3096_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3352_q )) ) ) ) # ( !\datamem|ram~3864_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3096_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3352_q )) ) ) )
+
+ .dataa(!\datamem|ram~3608_q ),
+ .datab(!\datamem|ram~3352_q ),
+ .datac(!\datamem|ram~3096_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3864_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5184_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5184 .extended_lut = "off";
+defparam \datamem|ram~5184 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5184 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N30
+cyclonev_lcell_comb \datamem|ram~5188 (
+// Equation(s):
+// \datamem|ram~5188_combout = ( \datamem|ram~5185_combout & ( \datamem|ram~5184_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5186_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5187_combout )))) ) )
+// ) # ( !\datamem|ram~5185_combout & ( \datamem|ram~5184_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout )) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5186_combout )) # (\alu_unit|Mux12~2_combout
+// & ((\datamem|ram~5187_combout ))))) ) ) ) # ( \datamem|ram~5185_combout & ( !\datamem|ram~5184_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout )) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// (\datamem|ram~5186_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5187_combout ))))) ) ) ) # ( !\datamem|ram~5185_combout & ( !\datamem|ram~5184_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// (\datamem|ram~5186_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5187_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~5186_combout ),
+ .datad(!\datamem|ram~5187_combout ),
+ .datae(!\datamem|ram~5185_combout ),
+ .dataf(!\datamem|ram~5184_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5188_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5188 .extended_lut = "off";
+defparam \datamem|ram~5188 .lut_mask = 64'h041526378C9DAEBF;
+defparam \datamem|ram~5188 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N4
+dffeas \datamem|ram~3128 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3128_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3128 .is_wysiwyg = "true";
+defparam \datamem|ram~3128 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y20_N15
+cyclonev_lcell_comb \datamem|ram~3256feeder (
+// Equation(s):
+// \datamem|ram~3256feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3256feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3256feeder .extended_lut = "off";
+defparam \datamem|ram~3256feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3256feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y20_N16
+dffeas \datamem|ram~3256 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3256feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3256_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3256 .is_wysiwyg = "true";
+defparam \datamem|ram~3256 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N22
+dffeas \datamem|ram~3192 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3192_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3192 .is_wysiwyg = "true";
+defparam \datamem|ram~3192 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y14_N44
+dffeas \datamem|ram~3320 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3320_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3320 .is_wysiwyg = "true";
+defparam \datamem|ram~3320 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N42
+cyclonev_lcell_comb \datamem|ram~5194 (
+// Equation(s):
+// \datamem|ram~5194_combout = ( \datamem|ram~3320_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3192_q ) ) ) ) # ( !\datamem|ram~3320_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3192_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3320_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3128_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3256_q ))) ) ) ) # ( !\datamem|ram~3320_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3128_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3256_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3128_q ),
+ .datab(!\datamem|ram~3256_q ),
+ .datac(!\datamem|ram~3192_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~3320_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5194_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5194 .extended_lut = "off";
+defparam \datamem|ram~5194 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5194 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N29
+dffeas \datamem|ram~3704 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3704_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3704 .is_wysiwyg = "true";
+defparam \datamem|ram~3704 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N58
+dffeas \datamem|ram~3640 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3640_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3640 .is_wysiwyg = "true";
+defparam \datamem|ram~3640 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N42
+cyclonev_lcell_comb \datamem|ram~3768feeder (
+// Equation(s):
+// \datamem|ram~3768feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3768feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3768feeder .extended_lut = "off";
+defparam \datamem|ram~3768feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3768feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N43
+dffeas \datamem|ram~3768 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3768feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3768_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3768 .is_wysiwyg = "true";
+defparam \datamem|ram~3768 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y14_N38
+dffeas \datamem|ram~3832 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3832_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3832 .is_wysiwyg = "true";
+defparam \datamem|ram~3832 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N36
+cyclonev_lcell_comb \datamem|ram~5196 (
+// Equation(s):
+// \datamem|ram~5196_combout = ( \datamem|ram~3832_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3704_q ) ) ) ) # ( !\datamem|ram~3832_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3704_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3832_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3640_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3768_q ))) ) ) ) # ( !\datamem|ram~3832_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3640_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3768_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3704_q ),
+ .datab(!\datamem|ram~3640_q ),
+ .datac(!\datamem|ram~3768_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~3832_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5196_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5196 .extended_lut = "off";
+defparam \datamem|ram~5196 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5196 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y12_N38
+dffeas \datamem|ram~3896 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3896_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3896 .is_wysiwyg = "true";
+defparam \datamem|ram~3896 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y16_N49
+dffeas \datamem|ram~4088 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4088_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4088 .is_wysiwyg = "true";
+defparam \datamem|ram~4088 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y12_N52
+dffeas \datamem|ram~3960 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3960_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3960 .is_wysiwyg = "true";
+defparam \datamem|ram~3960 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y12_N20
+dffeas \datamem|ram~4024 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4024_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4024 .is_wysiwyg = "true";
+defparam \datamem|ram~4024 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N18
+cyclonev_lcell_comb \datamem|ram~5197 (
+// Equation(s):
+// \datamem|ram~5197_combout = ( \datamem|ram~4024_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout ) # (\datamem|ram~4088_q ) ) ) ) # ( !\datamem|ram~4024_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout &
+// \datamem|ram~4088_q ) ) ) ) # ( \datamem|ram~4024_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3896_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3960_q ))) ) ) ) # ( !\datamem|ram~4024_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3896_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3960_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3896_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4088_q ),
+ .datad(!\datamem|ram~3960_q ),
+ .datae(!\datamem|ram~4024_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5197_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5197 .extended_lut = "off";
+defparam \datamem|ram~5197 .lut_mask = 64'h447744770303CFCF;
+defparam \datamem|ram~5197 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N5
+dffeas \datamem|ram~3384 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3384_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3384 .is_wysiwyg = "true";
+defparam \datamem|ram~3384 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N45
+cyclonev_lcell_comb \datamem|ram~3448feeder (
+// Equation(s):
+// \datamem|ram~3448feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3448feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3448feeder .extended_lut = "off";
+defparam \datamem|ram~3448feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3448feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N46
+dffeas \datamem|ram~3448 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3448feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3448_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3448 .is_wysiwyg = "true";
+defparam \datamem|ram~3448 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N21
+cyclonev_lcell_comb \datamem|ram~3512feeder (
+// Equation(s):
+// \datamem|ram~3512feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3512feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3512feeder .extended_lut = "off";
+defparam \datamem|ram~3512feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3512feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N22
+dffeas \datamem|ram~3512 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3512feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3512_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3512 .is_wysiwyg = "true";
+defparam \datamem|ram~3512 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N41
+dffeas \datamem|ram~3576 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3576_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3576 .is_wysiwyg = "true";
+defparam \datamem|ram~3576 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y6_N39
+cyclonev_lcell_comb \datamem|ram~5195 (
+// Equation(s):
+// \datamem|ram~5195_combout = ( \datamem|ram~3576_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3448_q ) ) ) ) # ( !\datamem|ram~3576_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3448_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3576_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3384_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3512_q ))) ) ) ) # ( !\datamem|ram~3576_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3384_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3512_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3384_q ),
+ .datab(!\datamem|ram~3448_q ),
+ .datac(!\datamem|ram~3512_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~3576_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5195_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5195 .extended_lut = "off";
+defparam \datamem|ram~5195 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5195 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N6
+cyclonev_lcell_comb \datamem|ram~5198 (
+// Equation(s):
+// \datamem|ram~5198_combout = ( \datamem|ram~5197_combout & ( \datamem|ram~5195_combout & ( ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5194_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5196_combout )))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~5197_combout & ( \datamem|ram~5195_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~5194_combout ))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~5196_combout & !\alu_unit|Mux10~6_combout
+// )))) ) ) ) # ( \datamem|ram~5197_combout & ( !\datamem|ram~5195_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~5194_combout & ((!\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~5196_combout )))) ) ) ) # ( !\datamem|ram~5197_combout & ( !\datamem|ram~5195_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5194_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5196_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~5194_combout ),
+ .datac(!\datamem|ram~5196_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~5197_combout ),
+ .dataf(!\datamem|ram~5195_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5198_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5198 .extended_lut = "off";
+defparam \datamem|ram~5198 .lut_mask = 64'h2700275527AA27FF;
+defparam \datamem|ram~5198 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N16
+dffeas \datamem|ram~3272 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3272_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3272 .is_wysiwyg = "true";
+defparam \datamem|ram~3272 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N31
+dffeas \datamem|ram~3784 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3784_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3784 .is_wysiwyg = "true";
+defparam \datamem|ram~3784 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N54
+cyclonev_lcell_comb \datamem|ram~3528feeder (
+// Equation(s):
+// \datamem|ram~3528feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3528feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3528feeder .extended_lut = "off";
+defparam \datamem|ram~3528feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3528feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N55
+dffeas \datamem|ram~3528 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3528feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3528_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3528 .is_wysiwyg = "true";
+defparam \datamem|ram~3528 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y19_N2
+dffeas \datamem|ram~4040 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4040_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4040 .is_wysiwyg = "true";
+defparam \datamem|ram~4040 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y19_N0
+cyclonev_lcell_comb \datamem|ram~5182 (
+// Equation(s):
+// \datamem|ram~5182_combout = ( \datamem|ram~4040_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3528_q ) ) ) ) # ( !\datamem|ram~4040_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3528_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~4040_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3272_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3784_q ))) ) ) ) # ( !\datamem|ram~4040_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3272_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3784_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3272_q ),
+ .datab(!\datamem|ram~3784_q ),
+ .datac(!\datamem|ram~3528_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4040_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5182_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5182 .extended_lut = "off";
+defparam \datamem|ram~5182 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5182 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N45
+cyclonev_lcell_comb \datamem|ram~3592feeder (
+// Equation(s):
+// \datamem|ram~3592feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3592feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3592feeder .extended_lut = "off";
+defparam \datamem|ram~3592feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3592feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N46
+dffeas \datamem|ram~3592 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3592feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3592_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3592 .is_wysiwyg = "true";
+defparam \datamem|ram~3592 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N4
+dffeas \datamem|ram~3336 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3336_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3336 .is_wysiwyg = "true";
+defparam \datamem|ram~3336 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y22_N30
+cyclonev_lcell_comb \datamem|ram~3080feeder (
+// Equation(s):
+// \datamem|ram~3080feeder_combout = ( \reg_file|reg_read_data_2[8]~8_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3080feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3080feeder .extended_lut = "off";
+defparam \datamem|ram~3080feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3080feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y22_N31
+dffeas \datamem|ram~3080 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3080feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3080_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3080 .is_wysiwyg = "true";
+defparam \datamem|ram~3080 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N38
+dffeas \datamem|ram~3848 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3848_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3848 .is_wysiwyg = "true";
+defparam \datamem|ram~3848 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y21_N36
+cyclonev_lcell_comb \datamem|ram~5179 (
+// Equation(s):
+// \datamem|ram~5179_combout = ( \datamem|ram~3848_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3336_q ) ) ) ) # ( !\datamem|ram~3848_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3336_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3848_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3080_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3592_q )) ) ) ) # ( !\datamem|ram~3848_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3080_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3592_q )) ) ) )
+
+ .dataa(!\datamem|ram~3592_q ),
+ .datab(!\datamem|ram~3336_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3080_q ),
+ .datae(!\datamem|ram~3848_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5179_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5179 .extended_lut = "off";
+defparam \datamem|ram~5179 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5179 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N3
+cyclonev_lcell_comb \datamem|ram~3208feeder (
+// Equation(s):
+// \datamem|ram~3208feeder_combout = \reg_file|reg_read_data_2[8]~8_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3208feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3208feeder .extended_lut = "off";
+defparam \datamem|ram~3208feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3208feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N5
+dffeas \datamem|ram~3208 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3208feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3208_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3208 .is_wysiwyg = "true";
+defparam \datamem|ram~3208 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N38
+dffeas \datamem|ram~3464 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3464_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3464 .is_wysiwyg = "true";
+defparam \datamem|ram~3464 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N29
+dffeas \datamem|ram~3720 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3720_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3720 .is_wysiwyg = "true";
+defparam \datamem|ram~3720 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N14
+dffeas \datamem|ram~3976 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3976_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3976 .is_wysiwyg = "true";
+defparam \datamem|ram~3976 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N12
+cyclonev_lcell_comb \datamem|ram~5181 (
+// Equation(s):
+// \datamem|ram~5181_combout = ( \datamem|ram~3976_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3720_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~3976_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~3720_q ) ) ) ) # ( \datamem|ram~3976_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3208_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3464_q ))) ) ) ) # ( !\datamem|ram~3976_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3208_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3464_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3208_q ),
+ .datab(!\datamem|ram~3464_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3720_q ),
+ .datae(!\datamem|ram~3976_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5181_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5181 .extended_lut = "off";
+defparam \datamem|ram~5181 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5181 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N46
+dffeas \datamem|ram~3144 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3144_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3144 .is_wysiwyg = "true";
+defparam \datamem|ram~3144 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N55
+dffeas \datamem|ram~3656 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3656_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3656 .is_wysiwyg = "true";
+defparam \datamem|ram~3656 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N4
+dffeas \datamem|ram~3400 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3400_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3400 .is_wysiwyg = "true";
+defparam \datamem|ram~3400 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y19_N14
+dffeas \datamem|ram~3912 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[8]~8_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3912_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3912 .is_wysiwyg = "true";
+defparam \datamem|ram~3912 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y19_N12
+cyclonev_lcell_comb \datamem|ram~5180 (
+// Equation(s):
+// \datamem|ram~5180_combout = ( \datamem|ram~3912_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3656_q ) ) ) ) # ( !\datamem|ram~3912_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3656_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3912_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3144_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3400_q ))) ) ) ) # ( !\datamem|ram~3912_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3144_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3400_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3144_q ),
+ .datab(!\datamem|ram~3656_q ),
+ .datac(!\datamem|ram~3400_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3912_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5180_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5180 .extended_lut = "off";
+defparam \datamem|ram~5180 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5180 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y19_N51
+cyclonev_lcell_comb \datamem|ram~5183 (
+// Equation(s):
+// \datamem|ram~5183_combout = ( \datamem|ram~5181_combout & ( \datamem|ram~5180_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~5179_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~5182_combout )))) ) ) ) # ( !\datamem|ram~5181_combout & ( \datamem|ram~5180_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~5179_combout )))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~5182_combout )))) ) ) ) # ( \datamem|ram~5181_combout & ( !\datamem|ram~5180_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~5179_combout )) # (\alu_unit|Mux11~4_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~5182_combout ))) ) ) ) # ( !\datamem|ram~5181_combout & ( !\datamem|ram~5180_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~5179_combout
+// )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~5182_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5182_combout ),
+ .datad(!\datamem|ram~5179_combout ),
+ .datae(!\datamem|ram~5181_combout ),
+ .dataf(!\datamem|ram~5180_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5183_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5183 .extended_lut = "off";
+defparam \datamem|ram~5183 .lut_mask = 64'h018923AB45CD67EF;
+defparam \datamem|ram~5183 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N6
+cyclonev_lcell_comb \datamem|ram~5199 (
+// Equation(s):
+// \datamem|ram~5199_combout = ( \datamem|ram~5198_combout & ( \datamem|ram~5183_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) # ((\datamem|ram~5188_combout )))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~5193_combout )) #
+// (\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~5198_combout & ( \datamem|ram~5183_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) # ((\datamem|ram~5188_combout )))) # (\alu_unit|Mux13~4_combout &
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~5193_combout ))) ) ) ) # ( \datamem|ram~5198_combout & ( !\datamem|ram~5183_combout & ( (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout & ((\datamem|ram~5188_combout )))) #
+// (\alu_unit|Mux13~4_combout & (((\datamem|ram~5193_combout )) # (\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~5198_combout & ( !\datamem|ram~5183_combout & ( (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~5188_combout )))) # (\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout & (\datamem|ram~5193_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~5193_combout ),
+ .datad(!\datamem|ram~5188_combout ),
+ .datae(!\datamem|ram~5198_combout ),
+ .dataf(!\datamem|ram~5183_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5199_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5199 .extended_lut = "off";
+defparam \datamem|ram~5199 .lut_mask = 64'h042615378CAE9DBF;
+defparam \datamem|ram~5199 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y16_N24
+cyclonev_lcell_comb \datamem|ram~5200 (
+// Equation(s):
+// \datamem|ram~5200_combout = ( \datamem|ram~5136_combout & ( \datamem|ram~5199_combout & ( (!\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout ) # ((\datamem|ram~5157_combout )))) # (\alu_unit|Mux7~2_combout & (((\datamem|ram~5178_combout )) #
+// (\alu_unit|Mux8~2_combout ))) ) ) ) # ( !\datamem|ram~5136_combout & ( \datamem|ram~5199_combout & ( (!\alu_unit|Mux7~2_combout & (\alu_unit|Mux8~2_combout & ((\datamem|ram~5157_combout )))) # (\alu_unit|Mux7~2_combout & (((\datamem|ram~5178_combout
+// )) # (\alu_unit|Mux8~2_combout ))) ) ) ) # ( \datamem|ram~5136_combout & ( !\datamem|ram~5199_combout & ( (!\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout ) # ((\datamem|ram~5157_combout )))) # (\alu_unit|Mux7~2_combout &
+// (!\alu_unit|Mux8~2_combout & (\datamem|ram~5178_combout ))) ) ) ) # ( !\datamem|ram~5136_combout & ( !\datamem|ram~5199_combout & ( (!\alu_unit|Mux7~2_combout & (\alu_unit|Mux8~2_combout & ((\datamem|ram~5157_combout )))) # (\alu_unit|Mux7~2_combout
+// & (!\alu_unit|Mux8~2_combout & (\datamem|ram~5178_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux7~2_combout ),
+ .datab(!\alu_unit|Mux8~2_combout ),
+ .datac(!\datamem|ram~5178_combout ),
+ .datad(!\datamem|ram~5157_combout ),
+ .datae(!\datamem|ram~5136_combout ),
+ .dataf(!\datamem|ram~5199_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5200_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5200 .extended_lut = "off";
+defparam \datamem|ram~5200 .lut_mask = 64'h04268CAE15379DBF;
+defparam \datamem|ram~5200 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N42
+cyclonev_lcell_comb \reg_write_data[8]~14 (
+// Equation(s):
+// \reg_write_data[8]~14_combout = ( \datamem|ram~5200_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux7~2_combout )))) # (\reg_write_data[1]~0_combout & (((\reg_write_data[1]~1_combout )) # (\Add0~29_sumout ))) ) ) # (
+// !\datamem|ram~5200_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux7~2_combout )))) # (\reg_write_data[1]~0_combout & (\Add0~29_sumout & (!\reg_write_data[1]~1_combout ))) ) )
+
+ .dataa(!\Add0~29_sumout ),
+ .datab(!\reg_write_data[1]~1_combout ),
+ .datac(!\alu_unit|Mux7~2_combout ),
+ .datad(!\reg_write_data[1]~0_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5200_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[8]~14_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[8]~14 .extended_lut = "off";
+defparam \reg_write_data[8]~14 .lut_mask = 64'h0F440F440F770F77;
+defparam \reg_write_data[8]~14 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N38
+dffeas \reg_file|reg_array[0][8] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[8]~14_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][8]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][8] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y14_N55
+dffeas \reg_file|reg_array[2][8] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[8]~14_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][8]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][8] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][8] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y16_N14
+dffeas \reg_file|reg_array[1][8] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[8]~14_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][8]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][8] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N6
+cyclonev_lcell_comb \reg_file|reg_read_data_2[8]~8 (
+// Equation(s):
+// \reg_file|reg_read_data_2[8]~8_combout = ( \instrucion_memory|rom~17_combout & ( \instrucion_memory|rom~18_combout & ( \reg_file|reg_array[3][8]~q ) ) ) # ( !\instrucion_memory|rom~17_combout & ( \instrucion_memory|rom~18_combout & (
+// \reg_file|reg_array[2][8]~q ) ) ) # ( \instrucion_memory|rom~17_combout & ( !\instrucion_memory|rom~18_combout & ( \reg_file|reg_array[1][8]~q ) ) ) # ( !\instrucion_memory|rom~17_combout & ( !\instrucion_memory|rom~18_combout & (
+// \reg_file|reg_array[0][8]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[0][8]~q ),
+ .datab(!\reg_file|reg_array[2][8]~q ),
+ .datac(!\reg_file|reg_array[3][8]~q ),
+ .datad(!\reg_file|reg_array[1][8]~q ),
+ .datae(!\instrucion_memory|rom~17_combout ),
+ .dataf(!\instrucion_memory|rom~18_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[8]~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[8]~8 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[8]~8 .lut_mask = 64'h555500FF33330F0F;
+defparam \reg_file|reg_read_data_2[8]~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N48
+cyclonev_lcell_comb \read_data2[8]~8 (
+// Equation(s):
+// \read_data2[8]~8_combout = ( \imm_ext[15]~0_combout & ( (!\reg_file|Equal1~0_combout & (!\control_unit|WideOr0~0_combout & \reg_file|reg_read_data_2[8]~8_combout )) ) ) # ( !\imm_ext[15]~0_combout & ( ((!\reg_file|Equal1~0_combout &
+// \reg_file|reg_read_data_2[8]~8_combout )) # (\control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(gnd),
+ .datac(!\control_unit|WideOr0~0_combout ),
+ .datad(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datae(gnd),
+ .dataf(!\imm_ext[15]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[8]~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[8]~8 .extended_lut = "off";
+defparam \read_data2[8]~8 .lut_mask = 64'h0FAF0FAF00A000A0;
+defparam \read_data2[8]~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N36
+cyclonev_lcell_comb \alu_unit|Add1~33 (
+// Equation(s):
+// \alu_unit|Add1~33_sumout = SUM(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[9]~8_combout ))) ) + ( !\read_data2[9]~9_combout ) + ( \alu_unit|Add1~30 ))
+// \alu_unit|Add1~34 = CARRY(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[9]~8_combout ))) ) + ( !\read_data2[9]~9_combout ) + ( \alu_unit|Add1~30 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[9]~8_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[9]~9_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~33_sumout ),
+ .cout(\alu_unit|Add1~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~33 .extended_lut = "off";
+defparam \alu_unit|Add1~33 .lut_mask = 64'h000000FF00000008;
+defparam \alu_unit|Add1~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N27
+cyclonev_lcell_comb \alu_unit|Add0~33 (
+// Equation(s):
+// \alu_unit|Add0~33_sumout = SUM(( \read_data2[9]~9_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[9]~8_combout ))) ) + ( \alu_unit|Add0~30 ))
+// \alu_unit|Add0~34 = CARRY(( \read_data2[9]~9_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[9]~8_combout ))) ) + ( \alu_unit|Add0~30 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[9]~9_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[9]~8_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~33_sumout ),
+ .cout(\alu_unit|Add0~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~33 .extended_lut = "off";
+defparam \alu_unit|Add0~33 .lut_mask = 64'h0000FFF7000000FF;
+defparam \alu_unit|Add0~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y11_N39
+cyclonev_lcell_comb \alu_unit|Mux6~2 (
+// Equation(s):
+// \alu_unit|Mux6~2_combout = ( \ALU_Control_unit|WideOr2~0_combout & ( \alu_unit|Add0~33_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout ) # ((\reg_file|reg_read_data_1[9]~9_combout & \read_data2[9]~9_combout )) ) ) ) # (
+// !\ALU_Control_unit|WideOr2~0_combout & ( \alu_unit|Add0~33_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (\alu_unit|Add1~33_sumout )) # (\ALU_Control_unit|WideOr1~0_combout & (((\read_data2[9]~9_combout ) # (\reg_file|reg_read_data_1[9]~9_combout
+// )))) ) ) ) # ( \ALU_Control_unit|WideOr2~0_combout & ( !\alu_unit|Add0~33_sumout & ( (\reg_file|reg_read_data_1[9]~9_combout & (\ALU_Control_unit|WideOr1~0_combout & \read_data2[9]~9_combout )) ) ) ) # ( !\ALU_Control_unit|WideOr2~0_combout & (
+// !\alu_unit|Add0~33_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (\alu_unit|Add1~33_sumout )) # (\ALU_Control_unit|WideOr1~0_combout & (((\read_data2[9]~9_combout ) # (\reg_file|reg_read_data_1[9]~9_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Add1~33_sumout ),
+ .datab(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datac(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datad(!\read_data2[9]~9_combout ),
+ .datae(!\ALU_Control_unit|WideOr2~0_combout ),
+ .dataf(!\alu_unit|Add0~33_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux6~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux6~2 .extended_lut = "off";
+defparam \alu_unit|Mux6~2 .lut_mask = 64'h535F0003535FF0F3;
+defparam \alu_unit|Mux6~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N0
+cyclonev_lcell_comb \alu_unit|Mux6~5 (
+// Equation(s):
+// \alu_unit|Mux6~5_combout = ( \alu_unit|Mux10~1_combout & ( (!\ALU_Control_unit|WideOr2~0_combout & (!\read_data2[2]~3_combout & ((!\read_data2[3]~2_combout ) # (!\ALU_Control_unit|WideOr1~0_combout )))) # (\ALU_Control_unit|WideOr2~0_combout &
+// (((\ALU_Control_unit|WideOr1~0_combout )))) ) )
+
+ .dataa(!\read_data2[3]~2_combout ),
+ .datab(!\read_data2[2]~3_combout ),
+ .datac(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux10~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux6~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux6~5 .extended_lut = "off";
+defparam \alu_unit|Mux6~5 .lut_mask = 64'h00000000C80FC80F;
+defparam \alu_unit|Mux6~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N27
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[5]~6 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[5]~6_combout = ( \reg_file|reg_read_data_1[2]~3_combout & ( \read_data2[1]~0_combout & ( (\reg_file|reg_read_data_1[3]~5_combout ) # (\read_data2[0]~1_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[2]~3_combout & (
+// \read_data2[1]~0_combout & ( (!\read_data2[0]~1_combout & \reg_file|reg_read_data_1[3]~5_combout ) ) ) ) # ( \reg_file|reg_read_data_1[2]~3_combout & ( !\read_data2[1]~0_combout & ( (!\read_data2[0]~1_combout &
+// (\reg_file|reg_read_data_1[5]~17_combout )) # (\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[4]~7_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[2]~3_combout & ( !\read_data2[1]~0_combout & ( (!\read_data2[0]~1_combout &
+// (\reg_file|reg_read_data_1[5]~17_combout )) # (\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[4]~7_combout ))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datab(!\read_data2[0]~1_combout ),
+ .datac(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datad(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .datae(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .dataf(!\read_data2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[5]~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[5]~6 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[5]~6 .lut_mask = 64'h447744770C0C3F3F;
+defparam \alu_unit|shifter_left|st2[5]~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N54
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[1]~1 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[1]~1_combout = ( \alu_unit|shifter_left|st2[1]~0_combout & ( (!\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[1]~0_combout ))) # (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[0]~30_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_1[0]~30_combout ),
+ .datac(!\reg_file|reg_read_data_1[1]~0_combout ),
+ .datad(!\read_data2[0]~1_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|shifter_left|st2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[1]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[1]~1 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[1]~1 .lut_mask = 64'h000000000F330F33;
+defparam \alu_unit|shifter_left|st2[1]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y11_N0
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[9]~10 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[9]~10_combout = ( \reg_file|reg_read_data_1[8]~23_combout & ( \reg_file|reg_read_data_1[9]~9_combout & ( (!\read_data2[1]~0_combout ) # ((!\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[7]~21_combout ))) #
+// (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[6]~19_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[8]~23_combout & ( \reg_file|reg_read_data_1[9]~9_combout & ( (!\read_data2[0]~1_combout & (((!\read_data2[1]~0_combout ) #
+// (\reg_file|reg_read_data_1[7]~21_combout )))) # (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[6]~19_combout & ((\read_data2[1]~0_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[8]~23_combout & ( !\reg_file|reg_read_data_1[9]~9_combout & (
+// (!\read_data2[0]~1_combout & (((\reg_file|reg_read_data_1[7]~21_combout & \read_data2[1]~0_combout )))) # (\read_data2[0]~1_combout & (((!\read_data2[1]~0_combout )) # (\reg_file|reg_read_data_1[6]~19_combout ))) ) ) ) # (
+// !\reg_file|reg_read_data_1[8]~23_combout & ( !\reg_file|reg_read_data_1[9]~9_combout & ( (\read_data2[1]~0_combout & ((!\read_data2[0]~1_combout & ((\reg_file|reg_read_data_1[7]~21_combout ))) # (\read_data2[0]~1_combout &
+// (\reg_file|reg_read_data_1[6]~19_combout )))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .datab(!\read_data2[0]~1_combout ),
+ .datac(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datad(!\read_data2[1]~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .dataf(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[9]~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[9]~10 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[9]~10 .lut_mask = 64'h001D331DCC1DFF1D;
+defparam \alu_unit|shifter_left|st2[9]~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y11_N54
+cyclonev_lcell_comb \alu_unit|Mux6~4 (
+// Equation(s):
+// \alu_unit|Mux6~4_combout = ( \read_data2[3]~2_combout & ( \alu_unit|shifter_left|st2[9]~10_combout & ( (\alu_unit|shifter_left|st2[1]~1_combout & (!\alu_unit|Mux6~0_combout & !\read_data2[2]~3_combout )) ) ) ) # ( !\read_data2[3]~2_combout & (
+// \alu_unit|shifter_left|st2[9]~10_combout & ( (!\alu_unit|Mux6~0_combout & ((!\read_data2[2]~3_combout ) # (\alu_unit|shifter_left|st2[5]~6_combout ))) ) ) ) # ( \read_data2[3]~2_combout & ( !\alu_unit|shifter_left|st2[9]~10_combout & (
+// (\alu_unit|shifter_left|st2[1]~1_combout & (!\alu_unit|Mux6~0_combout & !\read_data2[2]~3_combout )) ) ) ) # ( !\read_data2[3]~2_combout & ( !\alu_unit|shifter_left|st2[9]~10_combout & ( (\alu_unit|shifter_left|st2[5]~6_combout &
+// (!\alu_unit|Mux6~0_combout & \read_data2[2]~3_combout )) ) ) )
+
+ .dataa(!\alu_unit|shifter_left|st2[5]~6_combout ),
+ .datab(!\alu_unit|shifter_left|st2[1]~1_combout ),
+ .datac(!\alu_unit|Mux6~0_combout ),
+ .datad(!\read_data2[2]~3_combout ),
+ .datae(!\read_data2[3]~2_combout ),
+ .dataf(!\alu_unit|shifter_left|st2[9]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux6~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux6~4 .extended_lut = "off";
+defparam \alu_unit|Mux6~4 .lut_mask = 64'h00503000F0503000;
+defparam \alu_unit|Mux6~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N54
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[2]~2 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[2]~2_combout = ( \reg_file|reg_read_data_1[13]~27_combout & ( (!\read_data2[1]~0_combout & (((!\read_data2[0]~1_combout ) # (\reg_file|reg_read_data_1[14]~29_combout )))) # (\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[15]~25_combout & (!\read_data2[0]~1_combout ))) ) ) # ( !\reg_file|reg_read_data_1[13]~27_combout & ( (!\read_data2[1]~0_combout & (((\read_data2[0]~1_combout & \reg_file|reg_read_data_1[14]~29_combout )))) #
+// (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[15]~25_combout & (!\read_data2[0]~1_combout ))) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[15]~25_combout ),
+ .datab(!\read_data2[1]~0_combout ),
+ .datac(!\read_data2[0]~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[14]~29_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[13]~27_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[2]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[2]~2 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[2]~2 .lut_mask = 64'h101C101CD0DCD0DC;
+defparam \alu_unit|shifter_right|st2[2]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y11_N15
+cyclonev_lcell_comb \alu_unit|Mux6~6 (
+// Equation(s):
+// \alu_unit|Mux6~6_combout = ( \alu_unit|Mux6~0_combout & ( \alu_unit|Mult0~17 & ( !\alu_unit|Mux10~1_combout ) ) ) # ( !\alu_unit|Mux6~0_combout & ( \alu_unit|Mult0~17 & ( (!\alu_unit|Mux10~1_combout ) # ((\alu_unit|shifter_right|st2[2]~2_combout &
+// \alu_unit|Mux6~1_combout )) ) ) ) # ( !\alu_unit|Mux6~0_combout & ( !\alu_unit|Mult0~17 & ( (\alu_unit|Mux10~1_combout & (\alu_unit|shifter_right|st2[2]~2_combout & \alu_unit|Mux6~1_combout )) ) ) )
+
+ .dataa(gnd),
+ .datab(!\alu_unit|Mux10~1_combout ),
+ .datac(!\alu_unit|shifter_right|st2[2]~2_combout ),
+ .datad(!\alu_unit|Mux6~1_combout ),
+ .datae(!\alu_unit|Mux6~0_combout ),
+ .dataf(!\alu_unit|Mult0~17 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux6~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux6~6 .extended_lut = "off";
+defparam \alu_unit|Mux6~6 .lut_mask = 64'h00030000CCCFCCCC;
+defparam \alu_unit|Mux6~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y11_N9
+cyclonev_lcell_comb \alu_unit|Mux6~7 (
+// Equation(s):
+// \alu_unit|Mux6~7_combout = ( \alu_unit|Mux6~4_combout & ( \alu_unit|Mux6~6_combout & ( (\ALU_Control_unit|WideOr0~0_combout ) # (\alu_unit|Mux6~2_combout ) ) ) ) # ( !\alu_unit|Mux6~4_combout & ( \alu_unit|Mux6~6_combout & (
+// (\ALU_Control_unit|WideOr0~0_combout ) # (\alu_unit|Mux6~2_combout ) ) ) ) # ( \alu_unit|Mux6~4_combout & ( !\alu_unit|Mux6~6_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & (\alu_unit|Mux6~2_combout )) # (\ALU_Control_unit|WideOr0~0_combout &
+// ((\alu_unit|Mux6~5_combout ))) ) ) ) # ( !\alu_unit|Mux6~4_combout & ( !\alu_unit|Mux6~6_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & (((\alu_unit|Mux6~2_combout )))) # (\ALU_Control_unit|WideOr0~0_combout & (\alu_unit|Mux6~3_combout &
+// ((\alu_unit|Mux6~5_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux6~3_combout ),
+ .datab(!\alu_unit|Mux6~2_combout ),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(!\alu_unit|Mux6~5_combout ),
+ .datae(!\alu_unit|Mux6~4_combout ),
+ .dataf(!\alu_unit|Mux6~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux6~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux6~7 .extended_lut = "off";
+defparam \alu_unit|Mux6~7 .lut_mask = 64'h3035303F3F3F3F3F;
+defparam \alu_unit|Mux6~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N54
+cyclonev_lcell_comb \datamem|ram~3417feeder (
+// Equation(s):
+// \datamem|ram~3417feeder_combout = \reg_file|reg_read_data_2[9]~9_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3417feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3417feeder .extended_lut = "off";
+defparam \datamem|ram~3417feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3417feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N55
+dffeas \datamem|ram~3417 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3417feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3417_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3417 .is_wysiwyg = "true";
+defparam \datamem|ram~3417 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N18
+cyclonev_lcell_comb \datamem|ram~3353feeder (
+// Equation(s):
+// \datamem|ram~3353feeder_combout = \reg_file|reg_read_data_2[9]~9_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3353feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3353feeder .extended_lut = "off";
+defparam \datamem|ram~3353feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3353feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N19
+dffeas \datamem|ram~3353 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3353feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3353_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3353 .is_wysiwyg = "true";
+defparam \datamem|ram~3353 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N28
+dffeas \datamem|ram~3481 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3481_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3481 .is_wysiwyg = "true";
+defparam \datamem|ram~3481 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N14
+dffeas \datamem|ram~3545 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3545_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3545 .is_wysiwyg = "true";
+defparam \datamem|ram~3545 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y17_N12
+cyclonev_lcell_comb \datamem|ram~4590 (
+// Equation(s):
+// \datamem|ram~4590_combout = ( \datamem|ram~3545_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3481_q ) ) ) ) # ( !\datamem|ram~3545_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3481_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3545_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3353_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3417_q )) ) ) ) # ( !\datamem|ram~3545_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3353_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3417_q )) ) ) )
+
+ .dataa(!\datamem|ram~3417_q ),
+ .datab(!\datamem|ram~3353_q ),
+ .datac(!\datamem|ram~3481_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3545_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4590_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4590 .extended_lut = "off";
+defparam \datamem|ram~4590 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4590 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N30
+cyclonev_lcell_comb \datamem|ram~3369feeder (
+// Equation(s):
+// \datamem|ram~3369feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3369feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3369feeder .extended_lut = "off";
+defparam \datamem|ram~3369feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3369feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N31
+dffeas \datamem|ram~3369 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3369feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3369_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3369 .is_wysiwyg = "true";
+defparam \datamem|ram~3369 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N31
+dffeas \datamem|ram~3433 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3433_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3433 .is_wysiwyg = "true";
+defparam \datamem|ram~3433 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N8
+dffeas \datamem|ram~3497 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3497_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3497 .is_wysiwyg = "true";
+defparam \datamem|ram~3497 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N20
+dffeas \datamem|ram~3561 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3561_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3561 .is_wysiwyg = "true";
+defparam \datamem|ram~3561 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y17_N18
+cyclonev_lcell_comb \datamem|ram~4591 (
+// Equation(s):
+// \datamem|ram~4591_combout = ( \datamem|ram~3561_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3497_q ) ) ) ) # ( !\datamem|ram~3561_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3497_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3561_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3369_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3433_q ))) ) ) ) # ( !\datamem|ram~3561_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3369_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3433_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3369_q ),
+ .datab(!\datamem|ram~3433_q ),
+ .datac(!\datamem|ram~3497_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3561_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4591_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4591 .extended_lut = "off";
+defparam \datamem|ram~4591 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4591 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N5
+dffeas \datamem|ram~3401 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3401_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3401 .is_wysiwyg = "true";
+defparam \datamem|ram~3401 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N45
+cyclonev_lcell_comb \datamem|ram~3465feeder (
+// Equation(s):
+// \datamem|ram~3465feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3465feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3465feeder .extended_lut = "off";
+defparam \datamem|ram~3465feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3465feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N47
+dffeas \datamem|ram~3465 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3465feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3465_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3465 .is_wysiwyg = "true";
+defparam \datamem|ram~3465 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y4_N42
+cyclonev_lcell_comb \datamem|ram~3337feeder (
+// Equation(s):
+// \datamem|ram~3337feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3337feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3337feeder .extended_lut = "off";
+defparam \datamem|ram~3337feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3337feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y4_N43
+dffeas \datamem|ram~3337 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3337feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3337_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3337 .is_wysiwyg = "true";
+defparam \datamem|ram~3337 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N20
+dffeas \datamem|ram~3529 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3529_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3529 .is_wysiwyg = "true";
+defparam \datamem|ram~3529 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N18
+cyclonev_lcell_comb \datamem|ram~4589 (
+// Equation(s):
+// \datamem|ram~4589_combout = ( \datamem|ram~3529_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3465_q ) ) ) ) # ( !\datamem|ram~3529_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3465_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3529_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3337_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3401_q )) ) ) ) # ( !\datamem|ram~3529_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3337_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3401_q )) ) ) )
+
+ .dataa(!\datamem|ram~3401_q ),
+ .datab(!\datamem|ram~3465_q ),
+ .datac(!\datamem|ram~3337_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3529_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4589_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4589 .extended_lut = "off";
+defparam \datamem|ram~4589 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4589 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N46
+dffeas \datamem|ram~3513 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3513_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3513 .is_wysiwyg = "true";
+defparam \datamem|ram~3513 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N44
+dffeas \datamem|ram~3385 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3385_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3385 .is_wysiwyg = "true";
+defparam \datamem|ram~3385 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N54
+cyclonev_lcell_comb \datamem|ram~3449feeder (
+// Equation(s):
+// \datamem|ram~3449feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3449feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3449feeder .extended_lut = "off";
+defparam \datamem|ram~3449feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3449feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N55
+dffeas \datamem|ram~3449 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3449feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3449_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3449 .is_wysiwyg = "true";
+defparam \datamem|ram~3449 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N50
+dffeas \datamem|ram~3577 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3577_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3577 .is_wysiwyg = "true";
+defparam \datamem|ram~3577 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y17_N48
+cyclonev_lcell_comb \datamem|ram~4592 (
+// Equation(s):
+// \datamem|ram~4592_combout = ( \datamem|ram~3577_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3449_q ) # (\alu_unit|Mux11~4_combout ) ) ) ) # ( !\datamem|ram~3577_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout &
+// \datamem|ram~3449_q ) ) ) ) # ( \datamem|ram~3577_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3385_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3513_q )) ) ) ) # ( !\datamem|ram~3577_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3385_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3513_q )) ) ) )
+
+ .dataa(!\datamem|ram~3513_q ),
+ .datab(!\datamem|ram~3385_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~3449_q ),
+ .datae(!\datamem|ram~3577_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4592_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4592 .extended_lut = "off";
+defparam \datamem|ram~4592 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4592 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4593 (
+// Equation(s):
+// \datamem|ram~4593_combout = ( \datamem|ram~4589_combout & ( \datamem|ram~4592_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4590_combout ))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~4591_combout ) #
+// (\alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~4589_combout & ( \datamem|ram~4592_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4590_combout & (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout &
+// (((\datamem|ram~4591_combout ) # (\alu_unit|Mux14~6_combout )))) ) ) ) # ( \datamem|ram~4589_combout & ( !\datamem|ram~4592_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4590_combout ))) #
+// (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~4591_combout )))) ) ) ) # ( !\datamem|ram~4589_combout & ( !\datamem|ram~4592_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4590_combout & (\alu_unit|Mux14~6_combout
+// ))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~4591_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4590_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4591_combout ),
+ .datae(!\datamem|ram~4589_combout ),
+ .dataf(!\datamem|ram~4592_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4593_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4593 .extended_lut = "off";
+defparam \datamem|ram~4593 .lut_mask = 64'h0434C4F40737C7F7;
+defparam \datamem|ram~4593 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N15
+cyclonev_lcell_comb \datamem|ram~3257feeder (
+// Equation(s):
+// \datamem|ram~3257feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3257feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3257feeder .extended_lut = "off";
+defparam \datamem|ram~3257feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3257feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N16
+dffeas \datamem|ram~3257 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3257feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3257_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3257 .is_wysiwyg = "true";
+defparam \datamem|ram~3257 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y6_N42
+cyclonev_lcell_comb \datamem|ram~3193feeder (
+// Equation(s):
+// \datamem|ram~3193feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3193feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3193feeder .extended_lut = "off";
+defparam \datamem|ram~3193feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3193feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N43
+dffeas \datamem|ram~3193 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3193feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3193_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3193 .is_wysiwyg = "true";
+defparam \datamem|ram~3193 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N3
+cyclonev_lcell_comb \datamem|ram~3129feeder (
+// Equation(s):
+// \datamem|ram~3129feeder_combout = \reg_file|reg_read_data_2[9]~9_combout
+
+ .dataa(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3129feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3129feeder .extended_lut = "off";
+defparam \datamem|ram~3129feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3129feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N5
+dffeas \datamem|ram~3129 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3129feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3129_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3129 .is_wysiwyg = "true";
+defparam \datamem|ram~3129 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N44
+dffeas \datamem|ram~3321 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3321_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3321 .is_wysiwyg = "true";
+defparam \datamem|ram~3321 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N42
+cyclonev_lcell_comb \datamem|ram~4587 (
+// Equation(s):
+// \datamem|ram~4587_combout = ( \datamem|ram~3321_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3257_q ) ) ) ) # ( !\datamem|ram~3321_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3257_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3321_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3129_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3193_q )) ) ) ) # ( !\datamem|ram~3321_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3129_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3193_q )) ) ) )
+
+ .dataa(!\datamem|ram~3257_q ),
+ .datab(!\datamem|ram~3193_q ),
+ .datac(!\datamem|ram~3129_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3321_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4587_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4587 .extended_lut = "off";
+defparam \datamem|ram~4587 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4587 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y22_N18
+cyclonev_lcell_comb \datamem|ram~3081feeder (
+// Equation(s):
+// \datamem|ram~3081feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3081feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3081feeder .extended_lut = "off";
+defparam \datamem|ram~3081feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3081feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y22_N19
+dffeas \datamem|ram~3081 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3081feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3081_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3081 .is_wysiwyg = "true";
+defparam \datamem|ram~3081 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N57
+cyclonev_lcell_comb \datamem|ram~3145feeder (
+// Equation(s):
+// \datamem|ram~3145feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3145feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3145feeder .extended_lut = "off";
+defparam \datamem|ram~3145feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3145feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N58
+dffeas \datamem|ram~3145 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3145feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3145_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3145 .is_wysiwyg = "true";
+defparam \datamem|ram~3145 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N51
+cyclonev_lcell_comb \datamem|ram~3209feeder (
+// Equation(s):
+// \datamem|ram~3209feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3209feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3209feeder .extended_lut = "off";
+defparam \datamem|ram~3209feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3209feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N52
+dffeas \datamem|ram~3209 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3209feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3209_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3209 .is_wysiwyg = "true";
+defparam \datamem|ram~3209 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N14
+dffeas \datamem|ram~3273 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3273_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3273 .is_wysiwyg = "true";
+defparam \datamem|ram~3273 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y20_N12
+cyclonev_lcell_comb \datamem|ram~4584 (
+// Equation(s):
+// \datamem|ram~4584_combout = ( \datamem|ram~3273_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3209_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3273_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3209_q ) ) ) ) # ( \datamem|ram~3273_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3081_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3145_q ))) ) ) ) # ( !\datamem|ram~3273_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3081_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3145_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3081_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3145_q ),
+ .datad(!\datamem|ram~3209_q ),
+ .datae(!\datamem|ram~3273_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4584_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4584 .extended_lut = "off";
+defparam \datamem|ram~4584 .lut_mask = 64'h4747474700CC33FF;
+defparam \datamem|ram~4584 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N0
+cyclonev_lcell_comb \datamem|ram~3097feeder (
+// Equation(s):
+// \datamem|ram~3097feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3097feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3097feeder .extended_lut = "off";
+defparam \datamem|ram~3097feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3097feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N1
+dffeas \datamem|ram~3097 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3097feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3097_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3097 .is_wysiwyg = "true";
+defparam \datamem|ram~3097 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N44
+dffeas \datamem|ram~3161 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3161_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3161 .is_wysiwyg = "true";
+defparam \datamem|ram~3161 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N14
+dffeas \datamem|ram~3225 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3225_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3225 .is_wysiwyg = "true";
+defparam \datamem|ram~3225 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N50
+dffeas \datamem|ram~3289 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3289_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3289 .is_wysiwyg = "true";
+defparam \datamem|ram~3289 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N48
+cyclonev_lcell_comb \datamem|ram~4585 (
+// Equation(s):
+// \datamem|ram~4585_combout = ( \datamem|ram~3289_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3225_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3289_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3225_q ) ) ) ) # ( \datamem|ram~3289_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3097_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3161_q ))) ) ) ) # ( !\datamem|ram~3289_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3097_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3161_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3097_q ),
+ .datab(!\datamem|ram~3161_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3225_q ),
+ .datae(!\datamem|ram~3289_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4585_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4585 .extended_lut = "off";
+defparam \datamem|ram~4585 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4585 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N50
+dffeas \datamem|ram~3241 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3241_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3241 .is_wysiwyg = "true";
+defparam \datamem|ram~3241 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N42
+cyclonev_lcell_comb \datamem|ram~3113feeder (
+// Equation(s):
+// \datamem|ram~3113feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3113feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3113feeder .extended_lut = "off";
+defparam \datamem|ram~3113feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3113feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N43
+dffeas \datamem|ram~3113 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3113feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3113_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3113 .is_wysiwyg = "true";
+defparam \datamem|ram~3113 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N36
+cyclonev_lcell_comb \datamem|ram~3177feeder (
+// Equation(s):
+// \datamem|ram~3177feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3177feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3177feeder .extended_lut = "off";
+defparam \datamem|ram~3177feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3177feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N38
+dffeas \datamem|ram~3177 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3177feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3177_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3177 .is_wysiwyg = "true";
+defparam \datamem|ram~3177 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N44
+dffeas \datamem|ram~3305 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3305_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3305 .is_wysiwyg = "true";
+defparam \datamem|ram~3305 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y22_N42
+cyclonev_lcell_comb \datamem|ram~4586 (
+// Equation(s):
+// \datamem|ram~4586_combout = ( \datamem|ram~3305_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3241_q ) ) ) ) # ( !\datamem|ram~3305_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3241_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3305_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3113_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3177_q ))) ) ) ) # ( !\datamem|ram~3305_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3113_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3177_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3241_q ),
+ .datab(!\datamem|ram~3113_q ),
+ .datac(!\datamem|ram~3177_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3305_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4586_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4586 .extended_lut = "off";
+defparam \datamem|ram~4586 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4586 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N51
+cyclonev_lcell_comb \datamem|ram~4588 (
+// Equation(s):
+// \datamem|ram~4588_combout = ( \datamem|ram~4585_combout & ( \datamem|ram~4586_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~4584_combout ) # (\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) #
+// (\datamem|ram~4587_combout ))) ) ) ) # ( !\datamem|ram~4585_combout & ( \datamem|ram~4586_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~4584_combout )))) # (\alu_unit|Mux13~4_combout &
+// (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4587_combout ))) ) ) ) # ( \datamem|ram~4585_combout & ( !\datamem|ram~4586_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~4584_combout ) # (\alu_unit|Mux14~6_combout )))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4587_combout & (\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~4585_combout & ( !\datamem|ram~4586_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~4584_combout
+// )))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4587_combout & (\alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~4587_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4584_combout ),
+ .datae(!\datamem|ram~4585_combout ),
+ .dataf(!\datamem|ram~4586_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4588_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4588 .extended_lut = "off";
+defparam \datamem|ram~4588 .lut_mask = 64'h01A10BAB51F15BFB;
+defparam \datamem|ram~4588 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N2
+dffeas \datamem|ram~3945 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3945_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3945 .is_wysiwyg = "true";
+defparam \datamem|ram~3945 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y21_N54
+cyclonev_lcell_comb \datamem|ram~3913feeder (
+// Equation(s):
+// \datamem|ram~3913feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3913feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3913feeder .extended_lut = "off";
+defparam \datamem|ram~3913feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3913feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y21_N55
+dffeas \datamem|ram~3913 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3913feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3913_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3913 .is_wysiwyg = "true";
+defparam \datamem|ram~3913 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N16
+dffeas \datamem|ram~3929 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3929_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3929 .is_wysiwyg = "true";
+defparam \datamem|ram~3929 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y17_N44
+dffeas \datamem|ram~3961 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3961_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3961 .is_wysiwyg = "true";
+defparam \datamem|ram~3961 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y17_N42
+cyclonev_lcell_comb \datamem|ram~4600 (
+// Equation(s):
+// \datamem|ram~4600_combout = ( \datamem|ram~3961_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3929_q ) ) ) ) # ( !\datamem|ram~3961_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3929_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3961_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3913_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3945_q )) ) ) ) # ( !\datamem|ram~3961_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3913_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3945_q )) ) ) )
+
+ .dataa(!\datamem|ram~3945_q ),
+ .datab(!\datamem|ram~3913_q ),
+ .datac(!\datamem|ram~3929_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3961_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4600_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4600 .extended_lut = "off";
+defparam \datamem|ram~4600 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4600 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N10
+dffeas \datamem|ram~4057 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4057_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4057 .is_wysiwyg = "true";
+defparam \datamem|ram~4057 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y17_N0
+cyclonev_lcell_comb \datamem|ram~4089feeder (
+// Equation(s):
+// \datamem|ram~4089feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4089feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4089feeder .extended_lut = "off";
+defparam \datamem|ram~4089feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4089feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y17_N1
+dffeas \datamem|ram~4089 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4089feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4089_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4089 .is_wysiwyg = "true";
+defparam \datamem|ram~4089 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y20_N27
+cyclonev_lcell_comb \datamem|ram~4041feeder (
+// Equation(s):
+// \datamem|ram~4041feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4041feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4041feeder .extended_lut = "off";
+defparam \datamem|ram~4041feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4041feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y20_N28
+dffeas \datamem|ram~4041 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4041feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4041_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4041 .is_wysiwyg = "true";
+defparam \datamem|ram~4041 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y17_N59
+dffeas \datamem|ram~4073 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4073_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4073 .is_wysiwyg = "true";
+defparam \datamem|ram~4073 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y17_N57
+cyclonev_lcell_comb \datamem|ram~4602 (
+// Equation(s):
+// \datamem|ram~4602_combout = ( \datamem|ram~4073_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4057_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4089_q ))) ) ) ) # ( !\datamem|ram~4073_q & (
+// \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4057_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4089_q ))) ) ) ) # ( \datamem|ram~4073_q & ( !\alu_unit|Mux14~6_combout & ( (\datamem|ram~4041_q ) #
+// (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~4073_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & \datamem|ram~4041_q ) ) ) )
+
+ .dataa(!\datamem|ram~4057_q ),
+ .datab(!\datamem|ram~4089_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4041_q ),
+ .datae(!\datamem|ram~4073_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4602_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4602 .extended_lut = "off";
+defparam \datamem|ram~4602 .lut_mask = 64'h00F00FFF53535353;
+defparam \datamem|ram~4602 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N34
+dffeas \datamem|ram~3993 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3993_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3993 .is_wysiwyg = "true";
+defparam \datamem|ram~3993 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N46
+dffeas \datamem|ram~4009 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4009_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4009 .is_wysiwyg = "true";
+defparam \datamem|ram~4009 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y15_N27
+cyclonev_lcell_comb \datamem|ram~3977feeder (
+// Equation(s):
+// \datamem|ram~3977feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3977feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3977feeder .extended_lut = "off";
+defparam \datamem|ram~3977feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3977feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N28
+dffeas \datamem|ram~3977 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3977feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3977_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3977 .is_wysiwyg = "true";
+defparam \datamem|ram~3977 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y17_N5
+dffeas \datamem|ram~4025 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4025_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4025 .is_wysiwyg = "true";
+defparam \datamem|ram~4025 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y17_N3
+cyclonev_lcell_comb \datamem|ram~4601 (
+// Equation(s):
+// \datamem|ram~4601_combout = ( \datamem|ram~4025_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3993_q ) ) ) ) # ( !\datamem|ram~4025_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3993_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~4025_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3977_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4009_q )) ) ) ) # ( !\datamem|ram~4025_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3977_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4009_q )) ) ) )
+
+ .dataa(!\datamem|ram~3993_q ),
+ .datab(!\datamem|ram~4009_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3977_q ),
+ .datae(!\datamem|ram~4025_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4601_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4601 .extended_lut = "off";
+defparam \datamem|ram~4601 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4601 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N37
+dffeas \datamem|ram~3881 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3881_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3881 .is_wysiwyg = "true";
+defparam \datamem|ram~3881 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y21_N42
+cyclonev_lcell_comb \datamem|ram~3849feeder (
+// Equation(s):
+// \datamem|ram~3849feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3849feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3849feeder .extended_lut = "off";
+defparam \datamem|ram~3849feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3849feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N43
+dffeas \datamem|ram~3849 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3849feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3849_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3849 .is_wysiwyg = "true";
+defparam \datamem|ram~3849 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y20_N48
+cyclonev_lcell_comb \datamem|ram~3865feeder (
+// Equation(s):
+// \datamem|ram~3865feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3865feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3865feeder .extended_lut = "off";
+defparam \datamem|ram~3865feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3865feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y20_N49
+dffeas \datamem|ram~3865 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3865feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3865_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3865 .is_wysiwyg = "true";
+defparam \datamem|ram~3865 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N20
+dffeas \datamem|ram~3897 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3897_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3897 .is_wysiwyg = "true";
+defparam \datamem|ram~3897 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y15_N18
+cyclonev_lcell_comb \datamem|ram~4599 (
+// Equation(s):
+// \datamem|ram~4599_combout = ( \datamem|ram~3897_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3881_q ) ) ) ) # ( !\datamem|ram~3897_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3881_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3897_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3849_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3865_q ))) ) ) ) # ( !\datamem|ram~3897_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3849_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3865_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3881_q ),
+ .datab(!\datamem|ram~3849_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3865_q ),
+ .datae(!\datamem|ram~3897_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4599_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4599 .extended_lut = "off";
+defparam \datamem|ram~4599 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4599 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y17_N51
+cyclonev_lcell_comb \datamem|ram~4603 (
+// Equation(s):
+// \datamem|ram~4603_combout = ( \datamem|ram~4601_combout & ( \datamem|ram~4599_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4600_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4602_combout )))) ) )
+// ) # ( !\datamem|ram~4601_combout & ( \datamem|ram~4599_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4600_combout )) #
+// (\alu_unit|Mux11~4_combout & ((\datamem|ram~4602_combout ))))) ) ) ) # ( \datamem|ram~4601_combout & ( !\datamem|ram~4599_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4600_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4602_combout ))))) ) ) ) # ( !\datamem|ram~4601_combout & ( !\datamem|ram~4599_combout & ( (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4600_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4602_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~4600_combout ),
+ .datac(!\datamem|ram~4602_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4601_combout ),
+ .dataf(!\datamem|ram~4599_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4603_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4603 .extended_lut = "off";
+defparam \datamem|ram~4603 .lut_mask = 64'h110511AFBB05BBAF;
+defparam \datamem|ram~4603 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N49
+dffeas \datamem|ram~3609 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3609_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3609 .is_wysiwyg = "true";
+defparam \datamem|ram~3609 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N11
+dffeas \datamem|ram~3737 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3737_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3737 .is_wysiwyg = "true";
+defparam \datamem|ram~3737 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N54
+cyclonev_lcell_comb \datamem|ram~3673feeder (
+// Equation(s):
+// \datamem|ram~3673feeder_combout = \reg_file|reg_read_data_2[9]~9_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3673feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3673feeder .extended_lut = "off";
+defparam \datamem|ram~3673feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3673feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N56
+dffeas \datamem|ram~3673 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3673feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3673_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3673 .is_wysiwyg = "true";
+defparam \datamem|ram~3673 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N44
+dffeas \datamem|ram~3801 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3801_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3801 .is_wysiwyg = "true";
+defparam \datamem|ram~3801 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N42
+cyclonev_lcell_comb \datamem|ram~4595 (
+// Equation(s):
+// \datamem|ram~4595_combout = ( \datamem|ram~3801_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3737_q ) ) ) ) # ( !\datamem|ram~3801_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3737_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3801_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3609_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3673_q ))) ) ) ) # ( !\datamem|ram~3801_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3609_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3673_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3609_q ),
+ .datab(!\datamem|ram~3737_q ),
+ .datac(!\datamem|ram~3673_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3801_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4595_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4595 .extended_lut = "off";
+defparam \datamem|ram~4595 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4595 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N24
+cyclonev_lcell_comb \datamem|ram~3705feeder (
+// Equation(s):
+// \datamem|ram~3705feeder_combout = \reg_file|reg_read_data_2[9]~9_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3705feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3705feeder .extended_lut = "off";
+defparam \datamem|ram~3705feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3705feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N26
+dffeas \datamem|ram~3705 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3705feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3705_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3705 .is_wysiwyg = "true";
+defparam \datamem|ram~3705 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N38
+dffeas \datamem|ram~3641 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3641_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3641 .is_wysiwyg = "true";
+defparam \datamem|ram~3641 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y13_N43
+dffeas \datamem|ram~3833 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3833_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3833 .is_wysiwyg = "true";
+defparam \datamem|ram~3833 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N33
+cyclonev_lcell_comb \datamem|ram~3769feeder (
+// Equation(s):
+// \datamem|ram~3769feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3769feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3769feeder .extended_lut = "off";
+defparam \datamem|ram~3769feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3769feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N34
+dffeas \datamem|ram~3769 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3769feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3769_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3769 .is_wysiwyg = "true";
+defparam \datamem|ram~3769 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y13_N39
+cyclonev_lcell_comb \datamem|ram~4597 (
+// Equation(s):
+// \datamem|ram~4597_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~3833_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~3769_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~3705_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~3641_q ) ) )
+
+ .dataa(!\datamem|ram~3705_q ),
+ .datab(!\datamem|ram~3641_q ),
+ .datac(!\datamem|ram~3833_q ),
+ .datad(!\datamem|ram~3769_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4597_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4597 .extended_lut = "off";
+defparam \datamem|ram~4597 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~4597 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N21
+cyclonev_lcell_comb \datamem|ram~3689feeder (
+// Equation(s):
+// \datamem|ram~3689feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3689feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3689feeder .extended_lut = "off";
+defparam \datamem|ram~3689feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3689feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N23
+dffeas \datamem|ram~3689 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3689feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3689_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3689 .is_wysiwyg = "true";
+defparam \datamem|ram~3689 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y9_N12
+cyclonev_lcell_comb \datamem|ram~3753feeder (
+// Equation(s):
+// \datamem|ram~3753feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3753feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3753feeder .extended_lut = "off";
+defparam \datamem|ram~3753feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3753feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y9_N13
+dffeas \datamem|ram~3753 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3753feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3753_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3753 .is_wysiwyg = "true";
+defparam \datamem|ram~3753 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N52
+dffeas \datamem|ram~3625 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3625_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3625 .is_wysiwyg = "true";
+defparam \datamem|ram~3625 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N38
+dffeas \datamem|ram~3817 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3817_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3817 .is_wysiwyg = "true";
+defparam \datamem|ram~3817 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N36
+cyclonev_lcell_comb \datamem|ram~4596 (
+// Equation(s):
+// \datamem|ram~4596_combout = ( \datamem|ram~3817_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3753_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3817_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3753_q ) ) ) ) # ( \datamem|ram~3817_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3625_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3689_q )) ) ) ) # ( !\datamem|ram~3817_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3625_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3689_q )) ) ) )
+
+ .dataa(!\datamem|ram~3689_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3753_q ),
+ .datad(!\datamem|ram~3625_q ),
+ .datae(!\datamem|ram~3817_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4596_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4596 .extended_lut = "off";
+defparam \datamem|ram~4596 .lut_mask = 64'h11DD11DD0C0C3F3F;
+defparam \datamem|ram~4596 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y17_N51
+cyclonev_lcell_comb \datamem|ram~3657feeder (
+// Equation(s):
+// \datamem|ram~3657feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3657feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3657feeder .extended_lut = "off";
+defparam \datamem|ram~3657feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3657feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y17_N53
+dffeas \datamem|ram~3657 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3657feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3657_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3657 .is_wysiwyg = "true";
+defparam \datamem|ram~3657 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y17_N57
+cyclonev_lcell_comb \datamem|ram~3721feeder (
+// Equation(s):
+// \datamem|ram~3721feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3721feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3721feeder .extended_lut = "off";
+defparam \datamem|ram~3721feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3721feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y17_N59
+dffeas \datamem|ram~3721 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3721feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3721_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3721 .is_wysiwyg = "true";
+defparam \datamem|ram~3721 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N36
+cyclonev_lcell_comb \datamem|ram~3593feeder (
+// Equation(s):
+// \datamem|ram~3593feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3593feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3593feeder .extended_lut = "off";
+defparam \datamem|ram~3593feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3593feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N37
+dffeas \datamem|ram~3593 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3593feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3593_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3593 .is_wysiwyg = "true";
+defparam \datamem|ram~3593 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y17_N32
+dffeas \datamem|ram~3785 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3785_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3785 .is_wysiwyg = "true";
+defparam \datamem|ram~3785 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y17_N30
+cyclonev_lcell_comb \datamem|ram~4594 (
+// Equation(s):
+// \datamem|ram~4594_combout = ( \datamem|ram~3785_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3721_q ) ) ) ) # ( !\datamem|ram~3785_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3721_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3785_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3593_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3657_q )) ) ) ) # ( !\datamem|ram~3785_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3593_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3657_q )) ) ) )
+
+ .dataa(!\datamem|ram~3657_q ),
+ .datab(!\datamem|ram~3721_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3593_q ),
+ .datae(!\datamem|ram~3785_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4594_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4594 .extended_lut = "off";
+defparam \datamem|ram~4594 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4594 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N30
+cyclonev_lcell_comb \datamem|ram~4598 (
+// Equation(s):
+// \datamem|ram~4598_combout = ( \datamem|ram~4596_combout & ( \datamem|ram~4594_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4595_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4597_combout )))) ) )
+// ) # ( !\datamem|ram~4596_combout & ( \datamem|ram~4594_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) # ((\datamem|ram~4595_combout )))) # (\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout & ((\datamem|ram~4597_combout
+// )))) ) ) ) # ( \datamem|ram~4596_combout & ( !\datamem|ram~4594_combout & ( (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout & (\datamem|ram~4595_combout ))) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) #
+// ((\datamem|ram~4597_combout )))) ) ) ) # ( !\datamem|ram~4596_combout & ( !\datamem|ram~4594_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4595_combout )) # (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4597_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4595_combout ),
+ .datad(!\datamem|ram~4597_combout ),
+ .datae(!\datamem|ram~4596_combout ),
+ .dataf(!\datamem|ram~4594_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4598_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4598 .extended_lut = "off";
+defparam \datamem|ram~4598 .lut_mask = 64'h021346578A9BCEDF;
+defparam \datamem|ram~4598 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N30
+cyclonev_lcell_comb \datamem|ram~4604 (
+// Equation(s):
+// \datamem|ram~4604_combout = ( \datamem|ram~4603_combout & ( \datamem|ram~4598_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4588_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4593_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4603_combout & ( \datamem|ram~4598_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4588_combout ) # (\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4593_combout & (!\alu_unit|Mux9~4_combout )))
+// ) ) ) # ( \datamem|ram~4603_combout & ( !\datamem|ram~4598_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout & \datamem|ram~4588_combout )))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) #
+// (\datamem|ram~4593_combout ))) ) ) ) # ( !\datamem|ram~4603_combout & ( !\datamem|ram~4598_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4588_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4593_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\datamem|ram~4593_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~4588_combout ),
+ .datae(!\datamem|ram~4603_combout ),
+ .dataf(!\datamem|ram~4598_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4604_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4604 .extended_lut = "off";
+defparam \datamem|ram~4604 .lut_mask = 64'h10B015B51ABA1FBF;
+defparam \datamem|ram~4604 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N50
+dffeas \datamem|ram~425 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~425_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~425 .is_wysiwyg = "true";
+defparam \datamem|ram~425 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N21
+cyclonev_lcell_comb \datamem|ram~297feeder (
+// Equation(s):
+// \datamem|ram~297feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~297feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~297feeder .extended_lut = "off";
+defparam \datamem|ram~297feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~297feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y17_N22
+dffeas \datamem|ram~297 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~297feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~297_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~297 .is_wysiwyg = "true";
+defparam \datamem|ram~297 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N31
+dffeas \datamem|ram~361 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~361_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~361 .is_wysiwyg = "true";
+defparam \datamem|ram~361 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N26
+dffeas \datamem|ram~489 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~489_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~489 .is_wysiwyg = "true";
+defparam \datamem|ram~489 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y16_N24
+cyclonev_lcell_comb \datamem|ram~4528 (
+// Equation(s):
+// \datamem|ram~4528_combout = ( \datamem|ram~489_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~425_q ) ) ) ) # ( !\datamem|ram~489_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~425_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~489_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~297_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~361_q ))) ) ) ) # ( !\datamem|ram~489_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~297_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~361_q ))) ) ) )
+
+ .dataa(!\datamem|ram~425_q ),
+ .datab(!\datamem|ram~297_q ),
+ .datac(!\datamem|ram~361_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~489_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4528_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4528 .extended_lut = "off";
+defparam \datamem|ram~4528 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4528 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N54
+cyclonev_lcell_comb \datamem|ram~265feeder (
+// Equation(s):
+// \datamem|ram~265feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~265feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~265feeder .extended_lut = "off";
+defparam \datamem|ram~265feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~265feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N56
+dffeas \datamem|ram~265 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~265feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~265_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~265 .is_wysiwyg = "true";
+defparam \datamem|ram~265 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N1
+dffeas \datamem|ram~457 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~457_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~457 .is_wysiwyg = "true";
+defparam \datamem|ram~457 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N46
+dffeas \datamem|ram~393 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~393_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~393 .is_wysiwyg = "true";
+defparam \datamem|ram~393 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N44
+dffeas \datamem|ram~329 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~329_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~329 .is_wysiwyg = "true";
+defparam \datamem|ram~329 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y13_N42
+cyclonev_lcell_comb \datamem|ram~4526 (
+// Equation(s):
+// \datamem|ram~4526_combout = ( \datamem|ram~329_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~393_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~457_q )) ) ) ) # ( !\datamem|ram~329_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~393_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~457_q )) ) ) ) # ( \datamem|ram~329_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~265_q ) ) ) ) # ( !\datamem|ram~329_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~265_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~265_q ),
+ .datab(!\datamem|ram~457_q ),
+ .datac(!\datamem|ram~393_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~329_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4526_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4526 .extended_lut = "off";
+defparam \datamem|ram~4526 .lut_mask = 64'h550055FF0F330F33;
+defparam \datamem|ram~4526 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y6_N48
+cyclonev_lcell_comb \datamem|ram~281feeder (
+// Equation(s):
+// \datamem|ram~281feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~281feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~281feeder .extended_lut = "off";
+defparam \datamem|ram~281feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~281feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N50
+dffeas \datamem|ram~281 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~281feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~281_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~281 .is_wysiwyg = "true";
+defparam \datamem|ram~281 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y6_N12
+cyclonev_lcell_comb \datamem|ram~473feeder (
+// Equation(s):
+// \datamem|ram~473feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~473feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~473feeder .extended_lut = "off";
+defparam \datamem|ram~473feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~473feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N14
+dffeas \datamem|ram~473 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~473feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~473_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~473 .is_wysiwyg = "true";
+defparam \datamem|ram~473 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N53
+dffeas \datamem|ram~409 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~409_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~409 .is_wysiwyg = "true";
+defparam \datamem|ram~409 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N56
+dffeas \datamem|ram~345 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~345_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~345 .is_wysiwyg = "true";
+defparam \datamem|ram~345 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y6_N54
+cyclonev_lcell_comb \datamem|ram~4527 (
+// Equation(s):
+// \datamem|ram~4527_combout = ( \datamem|ram~345_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~409_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~473_q )) ) ) ) # ( !\datamem|ram~345_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~409_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~473_q )) ) ) ) # ( \datamem|ram~345_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~281_q ) ) ) ) # ( !\datamem|ram~345_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~281_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~281_q ),
+ .datab(!\datamem|ram~473_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~409_q ),
+ .datae(!\datamem|ram~345_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4527_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4527 .extended_lut = "off";
+defparam \datamem|ram~4527 .lut_mask = 64'h50505F5F03F303F3;
+defparam \datamem|ram~4527 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N16
+dffeas \datamem|ram~313 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~313_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~313 .is_wysiwyg = "true";
+defparam \datamem|ram~313 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N56
+dffeas \datamem|ram~441 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~441_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~441 .is_wysiwyg = "true";
+defparam \datamem|ram~441 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N0
+cyclonev_lcell_comb \datamem|ram~377feeder (
+// Equation(s):
+// \datamem|ram~377feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~377feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~377feeder .extended_lut = "off";
+defparam \datamem|ram~377feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~377feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N1
+dffeas \datamem|ram~377 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~377feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~377_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~377 .is_wysiwyg = "true";
+defparam \datamem|ram~377 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y9_N38
+dffeas \datamem|ram~505 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~505_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~505 .is_wysiwyg = "true";
+defparam \datamem|ram~505 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N36
+cyclonev_lcell_comb \datamem|ram~4529 (
+// Equation(s):
+// \datamem|ram~4529_combout = ( \datamem|ram~505_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~441_q ) ) ) ) # ( !\datamem|ram~505_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~441_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~505_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~313_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~377_q ))) ) ) ) # ( !\datamem|ram~505_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~313_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~377_q ))) ) ) )
+
+ .dataa(!\datamem|ram~313_q ),
+ .datab(!\datamem|ram~441_q ),
+ .datac(!\datamem|ram~377_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~505_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4529_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4529 .extended_lut = "off";
+defparam \datamem|ram~4529 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4529 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N18
+cyclonev_lcell_comb \datamem|ram~4530 (
+// Equation(s):
+// \datamem|ram~4530_combout = ( \datamem|ram~4527_combout & ( \datamem|ram~4529_combout & ( ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4526_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4528_combout ))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~4527_combout & ( \datamem|ram~4529_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4526_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4528_combout )))) #
+// (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout )) ) ) ) # ( \datamem|ram~4527_combout & ( !\datamem|ram~4529_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4526_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4528_combout )))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout )) ) ) ) # ( !\datamem|ram~4527_combout & ( !\datamem|ram~4529_combout & ( (!\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4526_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4528_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4528_combout ),
+ .datad(!\datamem|ram~4526_combout ),
+ .datae(!\datamem|ram~4527_combout ),
+ .dataf(!\datamem|ram~4529_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4530_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4530 .extended_lut = "off";
+defparam \datamem|ram~4530 .lut_mask = 64'h028A46CE139B57DF;
+defparam \datamem|ram~4530 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N50
+dffeas \datamem|ram~1001 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1001_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1001 .is_wysiwyg = "true";
+defparam \datamem|ram~1001 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N17
+dffeas \datamem|ram~985 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~985_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~985 .is_wysiwyg = "true";
+defparam \datamem|ram~985 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y21_N21
+cyclonev_lcell_comb \datamem|ram~969feeder (
+// Equation(s):
+// \datamem|ram~969feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~969feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~969feeder .extended_lut = "off";
+defparam \datamem|ram~969feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~969feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N22
+dffeas \datamem|ram~969 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~969feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~969_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~969 .is_wysiwyg = "true";
+defparam \datamem|ram~969 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N2
+dffeas \datamem|ram~1017 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1017_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1017 .is_wysiwyg = "true";
+defparam \datamem|ram~1017 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y19_N0
+cyclonev_lcell_comb \datamem|ram~4539 (
+// Equation(s):
+// \datamem|ram~4539_combout = ( \datamem|ram~1017_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1001_q ) ) ) ) # ( !\datamem|ram~1017_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1001_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1017_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~969_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~985_q )) ) ) ) # ( !\datamem|ram~1017_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~969_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~985_q )) ) ) )
+
+ .dataa(!\datamem|ram~1001_q ),
+ .datab(!\datamem|ram~985_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~969_q ),
+ .datae(!\datamem|ram~1017_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4539_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4539 .extended_lut = "off";
+defparam \datamem|ram~4539 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4539 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N49
+dffeas \datamem|ram~921 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~921_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~921 .is_wysiwyg = "true";
+defparam \datamem|ram~921 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N38
+dffeas \datamem|ram~905 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~905_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~905 .is_wysiwyg = "true";
+defparam \datamem|ram~905 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y18_N46
+dffeas \datamem|ram~937 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~937_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~937 .is_wysiwyg = "true";
+defparam \datamem|ram~937 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N2
+dffeas \datamem|ram~953 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~953_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~953 .is_wysiwyg = "true";
+defparam \datamem|ram~953 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N0
+cyclonev_lcell_comb \datamem|ram~4538 (
+// Equation(s):
+// \datamem|ram~4538_combout = ( \datamem|ram~953_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~921_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~953_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & \datamem|ram~921_q
+// ) ) ) ) # ( \datamem|ram~953_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~905_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~937_q ))) ) ) ) # ( !\datamem|ram~953_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~905_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~937_q ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~921_q ),
+ .datac(!\datamem|ram~905_q ),
+ .datad(!\datamem|ram~937_q ),
+ .datae(!\datamem|ram~953_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4538_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4538 .extended_lut = "off";
+defparam \datamem|ram~4538 .lut_mask = 64'h0A5F0A5F22227777;
+defparam \datamem|ram~4538 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N33
+cyclonev_lcell_comb \datamem|ram~841feeder (
+// Equation(s):
+// \datamem|ram~841feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~841feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~841feeder .extended_lut = "off";
+defparam \datamem|ram~841feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~841feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N35
+dffeas \datamem|ram~841 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~841feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~841_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~841 .is_wysiwyg = "true";
+defparam \datamem|ram~841 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N4
+dffeas \datamem|ram~857 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~857_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~857 .is_wysiwyg = "true";
+defparam \datamem|ram~857 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N28
+dffeas \datamem|ram~873 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~873_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~873 .is_wysiwyg = "true";
+defparam \datamem|ram~873 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N56
+dffeas \datamem|ram~889 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~889_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~889 .is_wysiwyg = "true";
+defparam \datamem|ram~889 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N54
+cyclonev_lcell_comb \datamem|ram~4537 (
+// Equation(s):
+// \datamem|ram~4537_combout = ( \datamem|ram~889_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~857_q ) ) ) ) # ( !\datamem|ram~889_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~857_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~889_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~841_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~873_q ))) ) ) ) # ( !\datamem|ram~889_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~841_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~873_q ))) ) ) )
+
+ .dataa(!\datamem|ram~841_q ),
+ .datab(!\datamem|ram~857_q ),
+ .datac(!\datamem|ram~873_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~889_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4537_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4537 .extended_lut = "off";
+defparam \datamem|ram~4537 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4537 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N2
+dffeas \datamem|ram~809 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~809_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~809 .is_wysiwyg = "true";
+defparam \datamem|ram~809 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N15
+cyclonev_lcell_comb \datamem|ram~777feeder (
+// Equation(s):
+// \datamem|ram~777feeder_combout = \reg_file|reg_read_data_2[9]~9_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~777feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~777feeder .extended_lut = "off";
+defparam \datamem|ram~777feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~777feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N17
+dffeas \datamem|ram~777 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~777feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~777_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~777 .is_wysiwyg = "true";
+defparam \datamem|ram~777 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N22
+dffeas \datamem|ram~793 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~793_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~793 .is_wysiwyg = "true";
+defparam \datamem|ram~793 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N38
+dffeas \datamem|ram~825 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~825_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~825 .is_wysiwyg = "true";
+defparam \datamem|ram~825 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N36
+cyclonev_lcell_comb \datamem|ram~4536 (
+// Equation(s):
+// \datamem|ram~4536_combout = ( \datamem|ram~825_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~793_q ) ) ) ) # ( !\datamem|ram~825_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~793_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~825_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~777_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~809_q )) ) ) ) # ( !\datamem|ram~825_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~777_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~809_q )) ) ) )
+
+ .dataa(!\datamem|ram~809_q ),
+ .datab(!\datamem|ram~777_q ),
+ .datac(!\datamem|ram~793_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~825_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4536_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4536 .extended_lut = "off";
+defparam \datamem|ram~4536 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4536 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N6
+cyclonev_lcell_comb \datamem|ram~4540 (
+// Equation(s):
+// \datamem|ram~4540_combout = ( \datamem|ram~4537_combout & ( \datamem|ram~4536_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4538_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4539_combout ))) ) )
+// ) # ( !\datamem|ram~4537_combout & ( \datamem|ram~4536_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4538_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~4539_combout )))) ) ) ) # ( \datamem|ram~4537_combout & ( !\datamem|ram~4536_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4538_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4539_combout )))) ) ) ) # ( !\datamem|ram~4537_combout & ( !\datamem|ram~4536_combout & ( (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4538_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4539_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4539_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~4538_combout ),
+ .datae(!\datamem|ram~4537_combout ),
+ .dataf(!\datamem|ram~4536_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4540_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4540 .extended_lut = "off";
+defparam \datamem|ram~4540 .lut_mask = 64'h01510B5BA1F1ABFB;
+defparam \datamem|ram~4540 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N57
+cyclonev_lcell_comb \datamem|ram~57feeder (
+// Equation(s):
+// \datamem|ram~57feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~57feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~57feeder .extended_lut = "off";
+defparam \datamem|ram~57feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~57feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N58
+dffeas \datamem|ram~57 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~57feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~57_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~57 .is_wysiwyg = "true";
+defparam \datamem|ram~57 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N47
+dffeas \datamem|ram~185 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~185_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~185 .is_wysiwyg = "true";
+defparam \datamem|ram~185 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N40
+dffeas \datamem|ram~121 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~121_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~121 .is_wysiwyg = "true";
+defparam \datamem|ram~121 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y16_N20
+dffeas \datamem|ram~249 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~249_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~249 .is_wysiwyg = "true";
+defparam \datamem|ram~249 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y16_N18
+cyclonev_lcell_comb \datamem|ram~4524 (
+// Equation(s):
+// \datamem|ram~4524_combout = ( \datamem|ram~249_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~121_q ) # (\alu_unit|Mux11~4_combout ) ) ) ) # ( !\datamem|ram~249_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & \datamem|ram~121_q
+// ) ) ) ) # ( \datamem|ram~249_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~57_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~185_q ))) ) ) ) # ( !\datamem|ram~249_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & (\datamem|ram~57_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~185_q ))) ) ) )
+
+ .dataa(!\datamem|ram~57_q ),
+ .datab(!\datamem|ram~185_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~121_q ),
+ .datae(!\datamem|ram~249_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4524_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4524 .extended_lut = "off";
+defparam \datamem|ram~4524 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4524 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N27
+cyclonev_lcell_comb \datamem|ram~41feeder (
+// Equation(s):
+// \datamem|ram~41feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~41feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~41feeder .extended_lut = "off";
+defparam \datamem|ram~41feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~41feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N28
+dffeas \datamem|ram~41 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~41feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~41_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~41 .is_wysiwyg = "true";
+defparam \datamem|ram~41 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y21_N24
+cyclonev_lcell_comb \datamem|ram~105feeder (
+// Equation(s):
+// \datamem|ram~105feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~105feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~105feeder .extended_lut = "off";
+defparam \datamem|ram~105feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~105feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N25
+dffeas \datamem|ram~105 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~105feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~105_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~105 .is_wysiwyg = "true";
+defparam \datamem|ram~105 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y21_N21
+cyclonev_lcell_comb \datamem|ram~169feeder (
+// Equation(s):
+// \datamem|ram~169feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~169feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~169feeder .extended_lut = "off";
+defparam \datamem|ram~169feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~169feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y21_N22
+dffeas \datamem|ram~169 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~169feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~169_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~169 .is_wysiwyg = "true";
+defparam \datamem|ram~169 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y16_N26
+dffeas \datamem|ram~233 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~233_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~233 .is_wysiwyg = "true";
+defparam \datamem|ram~233 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y16_N24
+cyclonev_lcell_comb \datamem|ram~4523 (
+// Equation(s):
+// \datamem|ram~4523_combout = ( \datamem|ram~233_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~169_q ) ) ) ) # ( !\datamem|ram~233_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~169_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~233_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~41_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~105_q ))) ) ) ) # ( !\datamem|ram~233_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~41_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~105_q ))) ) ) )
+
+ .dataa(!\datamem|ram~41_q ),
+ .datab(!\datamem|ram~105_q ),
+ .datac(!\datamem|ram~169_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~233_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4523_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4523 .extended_lut = "off";
+defparam \datamem|ram~4523 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4523 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N37
+dffeas \datamem|ram~153 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~153_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~153 .is_wysiwyg = "true";
+defparam \datamem|ram~153 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y21_N12
+cyclonev_lcell_comb \datamem|ram~25feeder (
+// Equation(s):
+// \datamem|ram~25feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~25feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~25feeder .extended_lut = "off";
+defparam \datamem|ram~25feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~25feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N14
+dffeas \datamem|ram~25 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~25feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~25_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~25 .is_wysiwyg = "true";
+defparam \datamem|ram~25 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N31
+dffeas \datamem|ram~217 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~217_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~217 .is_wysiwyg = "true";
+defparam \datamem|ram~217 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N59
+dffeas \datamem|ram~89 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~89_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~89 .is_wysiwyg = "true";
+defparam \datamem|ram~89 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y21_N57
+cyclonev_lcell_comb \datamem|ram~4522 (
+// Equation(s):
+// \datamem|ram~4522_combout = ( \datamem|ram~89_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~217_q ) ) ) ) # ( !\datamem|ram~89_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~217_q & \alu_unit|Mux11~4_combout )
+// ) ) ) # ( \datamem|ram~89_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~25_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~153_q )) ) ) ) # ( !\datamem|ram~89_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~25_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~153_q )) ) ) )
+
+ .dataa(!\datamem|ram~153_q ),
+ .datab(!\datamem|ram~25_q ),
+ .datac(!\datamem|ram~217_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~89_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4522_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4522 .extended_lut = "off";
+defparam \datamem|ram~4522 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~4522 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N39
+cyclonev_lcell_comb \datamem|ram~137feeder (
+// Equation(s):
+// \datamem|ram~137feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~137feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~137feeder .extended_lut = "off";
+defparam \datamem|ram~137feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~137feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N40
+dffeas \datamem|ram~137 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~137feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~137_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~137 .is_wysiwyg = "true";
+defparam \datamem|ram~137 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N9
+cyclonev_lcell_comb \datamem|ram~201feeder (
+// Equation(s):
+// \datamem|ram~201feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~201feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~201feeder .extended_lut = "off";
+defparam \datamem|ram~201feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~201feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N11
+dffeas \datamem|ram~201 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~201feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~201_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~201 .is_wysiwyg = "true";
+defparam \datamem|ram~201 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y9_N6
+cyclonev_lcell_comb \datamem|ram~9feeder (
+// Equation(s):
+// \datamem|ram~9feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~9feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~9feeder .extended_lut = "off";
+defparam \datamem|ram~9feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~9feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N8
+dffeas \datamem|ram~9 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~9feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~9_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~9 .is_wysiwyg = "true";
+defparam \datamem|ram~9 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N20
+dffeas \datamem|ram~73 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~73_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~73 .is_wysiwyg = "true";
+defparam \datamem|ram~73 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N18
+cyclonev_lcell_comb \datamem|ram~4521 (
+// Equation(s):
+// \datamem|ram~4521_combout = ( \datamem|ram~73_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~137_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~201_q ))) ) ) ) # ( !\datamem|ram~73_q & ( \alu_unit|Mux11~4_combout
+// & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~137_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~201_q ))) ) ) ) # ( \datamem|ram~73_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~9_q ) ) ) ) # (
+// !\datamem|ram~73_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~9_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~137_q ),
+ .datab(!\datamem|ram~201_q ),
+ .datac(!\datamem|ram~9_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~73_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4521_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4521 .extended_lut = "off";
+defparam \datamem|ram~4521 .lut_mask = 64'h0F000FFF55335533;
+defparam \datamem|ram~4521 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y17_N15
+cyclonev_lcell_comb \datamem|ram~4525 (
+// Equation(s):
+// \datamem|ram~4525_combout = ( \datamem|ram~4522_combout & ( \datamem|ram~4521_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4523_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4524_combout ))) ) )
+// ) # ( !\datamem|ram~4522_combout & ( \datamem|ram~4521_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4523_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~4524_combout
+// ))) ) ) ) # ( \datamem|ram~4522_combout & ( !\datamem|ram~4521_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~4523_combout )))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) #
+// ((\datamem|ram~4524_combout )))) ) ) ) # ( !\datamem|ram~4522_combout & ( !\datamem|ram~4521_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4523_combout ))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4524_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4524_combout ),
+ .datad(!\datamem|ram~4523_combout ),
+ .datae(!\datamem|ram~4522_combout ),
+ .dataf(!\datamem|ram~4521_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4525_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4525 .extended_lut = "off";
+defparam \datamem|ram~4525 .lut_mask = 64'h0123456789ABCDEF;
+defparam \datamem|ram~4525 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N57
+cyclonev_lcell_comb \datamem|ram~569feeder (
+// Equation(s):
+// \datamem|ram~569feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~569feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~569feeder .extended_lut = "off";
+defparam \datamem|ram~569feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~569feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N59
+dffeas \datamem|ram~569 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~569feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~569_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~569 .is_wysiwyg = "true";
+defparam \datamem|ram~569 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N39
+cyclonev_lcell_comb \datamem|ram~633feeder (
+// Equation(s):
+// \datamem|ram~633feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~633feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~633feeder .extended_lut = "off";
+defparam \datamem|ram~633feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~633feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N40
+dffeas \datamem|ram~633 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~633feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~633_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~633 .is_wysiwyg = "true";
+defparam \datamem|ram~633 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y17_N54
+cyclonev_lcell_comb \datamem|ram~697feeder (
+// Equation(s):
+// \datamem|ram~697feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~697feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~697feeder .extended_lut = "off";
+defparam \datamem|ram~697feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~697feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N55
+dffeas \datamem|ram~697 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~697feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~697_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~697 .is_wysiwyg = "true";
+defparam \datamem|ram~697 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N20
+dffeas \datamem|ram~761 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~761_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~761 .is_wysiwyg = "true";
+defparam \datamem|ram~761 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y6_N18
+cyclonev_lcell_comb \datamem|ram~4534 (
+// Equation(s):
+// \datamem|ram~4534_combout = ( \datamem|ram~761_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~697_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~761_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~697_q
+// ) ) ) ) # ( \datamem|ram~761_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~569_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~633_q ))) ) ) ) # ( !\datamem|ram~761_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~569_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~633_q ))) ) ) )
+
+ .dataa(!\datamem|ram~569_q ),
+ .datab(!\datamem|ram~633_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~697_q ),
+ .datae(!\datamem|ram~761_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4534_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4534 .extended_lut = "off";
+defparam \datamem|ram~4534 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4534 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N23
+dffeas \datamem|ram~553 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~553_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~553 .is_wysiwyg = "true";
+defparam \datamem|ram~553 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N59
+dffeas \datamem|ram~681 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~681_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~681 .is_wysiwyg = "true";
+defparam \datamem|ram~681 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y7_N30
+cyclonev_lcell_comb \datamem|ram~617feeder (
+// Equation(s):
+// \datamem|ram~617feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~617feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~617feeder .extended_lut = "off";
+defparam \datamem|ram~617feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~617feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y7_N31
+dffeas \datamem|ram~617 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~617feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~617_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~617 .is_wysiwyg = "true";
+defparam \datamem|ram~617 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N26
+dffeas \datamem|ram~745 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~745_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~745 .is_wysiwyg = "true";
+defparam \datamem|ram~745 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N24
+cyclonev_lcell_comb \datamem|ram~4533 (
+// Equation(s):
+// \datamem|ram~4533_combout = ( \datamem|ram~745_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~681_q ) ) ) ) # ( !\datamem|ram~745_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~681_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~745_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~553_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~617_q ))) ) ) ) # ( !\datamem|ram~745_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~553_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~617_q ))) ) ) )
+
+ .dataa(!\datamem|ram~553_q ),
+ .datab(!\datamem|ram~681_q ),
+ .datac(!\datamem|ram~617_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~745_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4533_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4533 .extended_lut = "off";
+defparam \datamem|ram~4533 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4533 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y19_N30
+cyclonev_lcell_comb \datamem|ram~521feeder (
+// Equation(s):
+// \datamem|ram~521feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~521feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~521feeder .extended_lut = "off";
+defparam \datamem|ram~521feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~521feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N31
+dffeas \datamem|ram~521 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~521feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~521_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~521 .is_wysiwyg = "true";
+defparam \datamem|ram~521 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N42
+cyclonev_lcell_comb \datamem|ram~585feeder (
+// Equation(s):
+// \datamem|ram~585feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~585feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~585feeder .extended_lut = "off";
+defparam \datamem|ram~585feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~585feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N44
+dffeas \datamem|ram~585 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~585feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~585_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~585 .is_wysiwyg = "true";
+defparam \datamem|ram~585 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N38
+dffeas \datamem|ram~649 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~649_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~649 .is_wysiwyg = "true";
+defparam \datamem|ram~649 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N2
+dffeas \datamem|ram~713 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~713_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~713 .is_wysiwyg = "true";
+defparam \datamem|ram~713 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N0
+cyclonev_lcell_comb \datamem|ram~4531 (
+// Equation(s):
+// \datamem|ram~4531_combout = ( \datamem|ram~713_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~649_q ) ) ) ) # ( !\datamem|ram~713_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~649_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~713_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~521_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~585_q ))) ) ) ) # ( !\datamem|ram~713_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~521_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~585_q ))) ) ) )
+
+ .dataa(!\datamem|ram~521_q ),
+ .datab(!\datamem|ram~585_q ),
+ .datac(!\datamem|ram~649_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~713_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4531_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4531 .extended_lut = "off";
+defparam \datamem|ram~4531 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4531 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N13
+dffeas \datamem|ram~601 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~601_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~601 .is_wysiwyg = "true";
+defparam \datamem|ram~601 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N18
+cyclonev_lcell_comb \datamem|ram~537feeder (
+// Equation(s):
+// \datamem|ram~537feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~537feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~537feeder .extended_lut = "off";
+defparam \datamem|ram~537feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~537feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N19
+dffeas \datamem|ram~537 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~537feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~537_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~537 .is_wysiwyg = "true";
+defparam \datamem|ram~537 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N30
+cyclonev_lcell_comb \datamem|ram~665feeder (
+// Equation(s):
+// \datamem|ram~665feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~665feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~665feeder .extended_lut = "off";
+defparam \datamem|ram~665feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~665feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N31
+dffeas \datamem|ram~665 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~665feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~665_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~665 .is_wysiwyg = "true";
+defparam \datamem|ram~665 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N14
+dffeas \datamem|ram~729 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~729_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~729 .is_wysiwyg = "true";
+defparam \datamem|ram~729 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N12
+cyclonev_lcell_comb \datamem|ram~4532 (
+// Equation(s):
+// \datamem|ram~4532_combout = ( \datamem|ram~729_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~665_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~729_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~665_q
+// ) ) ) ) # ( \datamem|ram~729_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~537_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~601_q )) ) ) ) # ( !\datamem|ram~729_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~537_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~601_q )) ) ) )
+
+ .dataa(!\datamem|ram~601_q ),
+ .datab(!\datamem|ram~537_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~665_q ),
+ .datae(!\datamem|ram~729_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4532_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4532 .extended_lut = "off";
+defparam \datamem|ram~4532 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4532 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N24
+cyclonev_lcell_comb \datamem|ram~4535 (
+// Equation(s):
+// \datamem|ram~4535_combout = ( \datamem|ram~4531_combout & ( \datamem|ram~4532_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4533_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4534_combout ))) ) )
+// ) # ( !\datamem|ram~4531_combout & ( \datamem|ram~4532_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~4533_combout )))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4534_combout
+// )))) ) ) ) # ( \datamem|ram~4531_combout & ( !\datamem|ram~4532_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4533_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout &
+// (\datamem|ram~4534_combout ))) ) ) ) # ( !\datamem|ram~4531_combout & ( !\datamem|ram~4532_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4533_combout ))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4534_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4534_combout ),
+ .datad(!\datamem|ram~4533_combout ),
+ .datae(!\datamem|ram~4531_combout ),
+ .dataf(!\datamem|ram~4532_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4535_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4535 .extended_lut = "off";
+defparam \datamem|ram~4535 .lut_mask = 64'h012389AB4567CDEF;
+defparam \datamem|ram~4535 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N12
+cyclonev_lcell_comb \datamem|ram~4541 (
+// Equation(s):
+// \datamem|ram~4541_combout = ( \datamem|ram~4525_combout & ( \datamem|ram~4535_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4530_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4540_combout )))) ) ) )
+// # ( !\datamem|ram~4525_combout & ( \datamem|ram~4535_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\datamem|ram~4530_combout ))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4540_combout ))))
+// ) ) ) # ( \datamem|ram~4525_combout & ( !\datamem|ram~4535_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4530_combout )))) # (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4540_combout )))) ) ) ) # ( !\datamem|ram~4525_combout & ( !\datamem|ram~4535_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4530_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4540_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4530_combout ),
+ .datad(!\datamem|ram~4540_combout ),
+ .datae(!\datamem|ram~4525_combout ),
+ .dataf(!\datamem|ram~4535_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4541_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4541 .extended_lut = "off";
+defparam \datamem|ram~4541 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~4541 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N36
+cyclonev_lcell_comb \datamem|ram~1321feeder (
+// Equation(s):
+// \datamem|ram~1321feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1321feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1321feeder .extended_lut = "off";
+defparam \datamem|ram~1321feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1321feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N38
+dffeas \datamem|ram~1321 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1321feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1321_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1321 .is_wysiwyg = "true";
+defparam \datamem|ram~1321 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N14
+dffeas \datamem|ram~1577 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1577_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1577 .is_wysiwyg = "true";
+defparam \datamem|ram~1577 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N54
+cyclonev_lcell_comb \datamem|ram~1065feeder (
+// Equation(s):
+// \datamem|ram~1065feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1065feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1065feeder .extended_lut = "off";
+defparam \datamem|ram~1065feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1065feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N56
+dffeas \datamem|ram~1065 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1065feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1065_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1065 .is_wysiwyg = "true";
+defparam \datamem|ram~1065 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y18_N26
+dffeas \datamem|ram~1833 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1833_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1833 .is_wysiwyg = "true";
+defparam \datamem|ram~1833 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y18_N24
+cyclonev_lcell_comb \datamem|ram~4552 (
+// Equation(s):
+// \datamem|ram~4552_combout = ( \datamem|ram~1833_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1321_q ) ) ) ) # ( !\datamem|ram~1833_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1321_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1833_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1065_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1577_q )) ) ) ) # ( !\datamem|ram~1833_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1065_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1577_q )) ) ) )
+
+ .dataa(!\datamem|ram~1321_q ),
+ .datab(!\datamem|ram~1577_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1065_q ),
+ .datae(!\datamem|ram~1833_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4552_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4552 .extended_lut = "off";
+defparam \datamem|ram~4552 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4552 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N34
+dffeas \datamem|ram~1641 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1641_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1641 .is_wysiwyg = "true";
+defparam \datamem|ram~1641 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y18_N41
+dffeas \datamem|ram~1385 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1385_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1385 .is_wysiwyg = "true";
+defparam \datamem|ram~1385 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y14_N15
+cyclonev_lcell_comb \datamem|ram~1129feeder (
+// Equation(s):
+// \datamem|ram~1129feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1129feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1129feeder .extended_lut = "off";
+defparam \datamem|ram~1129feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1129feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N16
+dffeas \datamem|ram~1129 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1129feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1129_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1129 .is_wysiwyg = "true";
+defparam \datamem|ram~1129 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y18_N32
+dffeas \datamem|ram~1897 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1897_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1897 .is_wysiwyg = "true";
+defparam \datamem|ram~1897 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y18_N30
+cyclonev_lcell_comb \datamem|ram~4553 (
+// Equation(s):
+// \datamem|ram~4553_combout = ( \datamem|ram~1897_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1385_q ) ) ) ) # ( !\datamem|ram~1897_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1385_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1897_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1129_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1641_q )) ) ) ) # ( !\datamem|ram~1897_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1129_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1641_q )) ) ) )
+
+ .dataa(!\datamem|ram~1641_q ),
+ .datab(!\datamem|ram~1385_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1129_q ),
+ .datae(!\datamem|ram~1897_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4553_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4553 .extended_lut = "off";
+defparam \datamem|ram~4553 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4553 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N55
+dffeas \datamem|ram~1449 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1449_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1449 .is_wysiwyg = "true";
+defparam \datamem|ram~1449 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N49
+dffeas \datamem|ram~1705 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1705_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1705 .is_wysiwyg = "true";
+defparam \datamem|ram~1705 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N16
+dffeas \datamem|ram~1193 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1193_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1193 .is_wysiwyg = "true";
+defparam \datamem|ram~1193 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y18_N2
+dffeas \datamem|ram~1961 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1961_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1961 .is_wysiwyg = "true";
+defparam \datamem|ram~1961 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y18_N0
+cyclonev_lcell_comb \datamem|ram~4554 (
+// Equation(s):
+// \datamem|ram~4554_combout = ( \datamem|ram~1961_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1705_q ) ) ) ) # ( !\datamem|ram~1961_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1705_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1961_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1193_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1449_q )) ) ) ) # ( !\datamem|ram~1961_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1193_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1449_q )) ) ) )
+
+ .dataa(!\datamem|ram~1449_q ),
+ .datab(!\datamem|ram~1705_q ),
+ .datac(!\datamem|ram~1193_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1961_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4554_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4554 .extended_lut = "off";
+defparam \datamem|ram~4554 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4554 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N32
+dffeas \datamem|ram~1257 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1257_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1257 .is_wysiwyg = "true";
+defparam \datamem|ram~1257 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N14
+dffeas \datamem|ram~1513 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1513_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1513 .is_wysiwyg = "true";
+defparam \datamem|ram~1513 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y18_N19
+dffeas \datamem|ram~1769 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1769_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1769 .is_wysiwyg = "true";
+defparam \datamem|ram~1769 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y18_N14
+dffeas \datamem|ram~2025 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2025_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2025 .is_wysiwyg = "true";
+defparam \datamem|ram~2025 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y18_N12
+cyclonev_lcell_comb \datamem|ram~4555 (
+// Equation(s):
+// \datamem|ram~4555_combout = ( \datamem|ram~2025_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1769_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~2025_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~1769_q ) ) ) ) # ( \datamem|ram~2025_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1257_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1513_q ))) ) ) ) # ( !\datamem|ram~2025_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1257_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1513_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1257_q ),
+ .datab(!\datamem|ram~1513_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1769_q ),
+ .datae(!\datamem|ram~2025_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4555_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4555 .extended_lut = "off";
+defparam \datamem|ram~4555 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4555 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y18_N6
+cyclonev_lcell_comb \datamem|ram~4556 (
+// Equation(s):
+// \datamem|ram~4556_combout = ( \datamem|ram~4554_combout & ( \datamem|ram~4555_combout & ( ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4552_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4553_combout )))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4554_combout & ( \datamem|ram~4555_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4552_combout ))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4553_combout )) # (\alu_unit|Mux11~4_combout
+// ))) ) ) ) # ( \datamem|ram~4554_combout & ( !\datamem|ram~4555_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4552_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4553_combout )))) ) ) ) # ( !\datamem|ram~4554_combout & ( !\datamem|ram~4555_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4552_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~4553_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4552_combout ),
+ .datad(!\datamem|ram~4553_combout ),
+ .datae(!\datamem|ram~4554_combout ),
+ .dataf(!\datamem|ram~4555_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4556_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4556 .extended_lut = "off";
+defparam \datamem|ram~4556 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~4556 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N2
+dffeas \datamem|ram~1673 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1673_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1673 .is_wysiwyg = "true";
+defparam \datamem|ram~1673 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y18_N54
+cyclonev_lcell_comb \datamem|ram~1417feeder (
+// Equation(s):
+// \datamem|ram~1417feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1417feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1417feeder .extended_lut = "off";
+defparam \datamem|ram~1417feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1417feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N55
+dffeas \datamem|ram~1417 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1417feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1417_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1417 .is_wysiwyg = "true";
+defparam \datamem|ram~1417 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N36
+cyclonev_lcell_comb \datamem|ram~1161feeder (
+// Equation(s):
+// \datamem|ram~1161feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1161feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1161feeder .extended_lut = "off";
+defparam \datamem|ram~1161feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1161feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N37
+dffeas \datamem|ram~1161 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1161feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1161_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1161 .is_wysiwyg = "true";
+defparam \datamem|ram~1161 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N54
+cyclonev_lcell_comb \datamem|ram~1929feeder (
+// Equation(s):
+// \datamem|ram~1929feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1929feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1929feeder .extended_lut = "off";
+defparam \datamem|ram~1929feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1929feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N55
+dffeas \datamem|ram~1929 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1929feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1929_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1929 .is_wysiwyg = "true";
+defparam \datamem|ram~1929 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y18_N48
+cyclonev_lcell_comb \datamem|ram~4544 (
+// Equation(s):
+// \datamem|ram~4544_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1929_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1417_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~1673_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~1161_q ) ) )
+
+ .dataa(!\datamem|ram~1673_q ),
+ .datab(!\datamem|ram~1417_q ),
+ .datac(!\datamem|ram~1161_q ),
+ .datad(!\datamem|ram~1929_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4544_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4544 .extended_lut = "off";
+defparam \datamem|ram~4544 .lut_mask = 64'h0F0F5555333300FF;
+defparam \datamem|ram~4544 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N45
+cyclonev_lcell_comb \datamem|ram~1481feeder (
+// Equation(s):
+// \datamem|ram~1481feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1481feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1481feeder .extended_lut = "off";
+defparam \datamem|ram~1481feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1481feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N47
+dffeas \datamem|ram~1481 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1481feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1481_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1481 .is_wysiwyg = "true";
+defparam \datamem|ram~1481 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N15
+cyclonev_lcell_comb \datamem|ram~1737feeder (
+// Equation(s):
+// \datamem|ram~1737feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1737feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1737feeder .extended_lut = "off";
+defparam \datamem|ram~1737feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1737feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N17
+dffeas \datamem|ram~1737 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1737feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1737_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1737 .is_wysiwyg = "true";
+defparam \datamem|ram~1737 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N33
+cyclonev_lcell_comb \datamem|ram~1225feeder (
+// Equation(s):
+// \datamem|ram~1225feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1225feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1225feeder .extended_lut = "off";
+defparam \datamem|ram~1225feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1225feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N35
+dffeas \datamem|ram~1225 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1225feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1225_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1225 .is_wysiwyg = "true";
+defparam \datamem|ram~1225 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N38
+dffeas \datamem|ram~1993 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1993_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1993 .is_wysiwyg = "true";
+defparam \datamem|ram~1993 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N36
+cyclonev_lcell_comb \datamem|ram~4545 (
+// Equation(s):
+// \datamem|ram~4545_combout = ( \datamem|ram~1993_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1481_q ) ) ) ) # ( !\datamem|ram~1993_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1481_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1993_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1225_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1737_q )) ) ) ) # ( !\datamem|ram~1993_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1225_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1737_q )) ) ) )
+
+ .dataa(!\datamem|ram~1481_q ),
+ .datab(!\datamem|ram~1737_q ),
+ .datac(!\datamem|ram~1225_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1993_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4545_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4545 .extended_lut = "off";
+defparam \datamem|ram~4545 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4545 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N10
+dffeas \datamem|ram~1033 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1033_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1033 .is_wysiwyg = "true";
+defparam \datamem|ram~1033 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N36
+cyclonev_lcell_comb \datamem|ram~1801feeder (
+// Equation(s):
+// \datamem|ram~1801feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1801feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1801feeder .extended_lut = "off";
+defparam \datamem|ram~1801feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1801feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N38
+dffeas \datamem|ram~1801 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1801feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1801_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1801 .is_wysiwyg = "true";
+defparam \datamem|ram~1801 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y14_N33
+cyclonev_lcell_comb \datamem|ram~1545feeder (
+// Equation(s):
+// \datamem|ram~1545feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1545feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1545feeder .extended_lut = "off";
+defparam \datamem|ram~1545feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1545feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N35
+dffeas \datamem|ram~1545 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1545feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1545_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1545 .is_wysiwyg = "true";
+defparam \datamem|ram~1545 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y16_N35
+dffeas \datamem|ram~1289 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1289_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1289 .is_wysiwyg = "true";
+defparam \datamem|ram~1289 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y16_N33
+cyclonev_lcell_comb \datamem|ram~4542 (
+// Equation(s):
+// \datamem|ram~4542_combout = ( \datamem|ram~1289_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1545_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1801_q )) ) ) ) # ( !\datamem|ram~1289_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1545_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1801_q )) ) ) ) # ( \datamem|ram~1289_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~1033_q ) ) ) ) # ( !\datamem|ram~1289_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~1033_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1033_q ),
+ .datab(!\datamem|ram~1801_q ),
+ .datac(!\datamem|ram~1545_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1289_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4542_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4542 .extended_lut = "off";
+defparam \datamem|ram~4542 .lut_mask = 64'h550055FF0F330F33;
+defparam \datamem|ram~4542 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N49
+dffeas \datamem|ram~1097 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1097_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1097 .is_wysiwyg = "true";
+defparam \datamem|ram~1097 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N8
+dffeas \datamem|ram~1609 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1609_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1609 .is_wysiwyg = "true";
+defparam \datamem|ram~1609 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N16
+dffeas \datamem|ram~1865 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1865_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1865 .is_wysiwyg = "true";
+defparam \datamem|ram~1865 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N44
+dffeas \datamem|ram~1353 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1353_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1353 .is_wysiwyg = "true";
+defparam \datamem|ram~1353 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N42
+cyclonev_lcell_comb \datamem|ram~4543 (
+// Equation(s):
+// \datamem|ram~4543_combout = ( \datamem|ram~1353_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1865_q ) ) ) ) # ( !\datamem|ram~1353_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1865_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1353_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1097_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1609_q ))) ) ) ) # ( !\datamem|ram~1353_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1097_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1609_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1097_q ),
+ .datab(!\datamem|ram~1609_q ),
+ .datac(!\datamem|ram~1865_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1353_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4543_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4543 .extended_lut = "off";
+defparam \datamem|ram~4543 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~4543 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y17_N21
+cyclonev_lcell_comb \datamem|ram~4546 (
+// Equation(s):
+// \datamem|ram~4546_combout = ( \datamem|ram~4542_combout & ( \datamem|ram~4543_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4544_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4545_combout )))) ) )
+// ) # ( !\datamem|ram~4542_combout & ( \datamem|ram~4543_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4544_combout )) #
+// (\alu_unit|Mux12~2_combout & ((\datamem|ram~4545_combout ))))) ) ) ) # ( \datamem|ram~4542_combout & ( !\datamem|ram~4543_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4544_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4545_combout ))))) ) ) ) # ( !\datamem|ram~4542_combout & ( !\datamem|ram~4543_combout & ( (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4544_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4545_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4544_combout ),
+ .datac(!\datamem|ram~4545_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4542_combout ),
+ .dataf(!\datamem|ram~4543_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4546_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4546 .extended_lut = "off";
+defparam \datamem|ram~4546 .lut_mask = 64'h1105BB0511AFBBAF;
+defparam \datamem|ram~4546 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N53
+dffeas \datamem|ram~1689 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1689_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1689 .is_wysiwyg = "true";
+defparam \datamem|ram~1689 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N37
+dffeas \datamem|ram~1177 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1177_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1177 .is_wysiwyg = "true";
+defparam \datamem|ram~1177 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N10
+dffeas \datamem|ram~1433 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1433_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1433 .is_wysiwyg = "true";
+defparam \datamem|ram~1433 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N26
+dffeas \datamem|ram~1945 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1945_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1945 .is_wysiwyg = "true";
+defparam \datamem|ram~1945 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N24
+cyclonev_lcell_comb \datamem|ram~4549 (
+// Equation(s):
+// \datamem|ram~4549_combout = ( \datamem|ram~1945_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1689_q ) ) ) ) # ( !\datamem|ram~1945_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1689_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1945_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1177_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1433_q ))) ) ) ) # ( !\datamem|ram~1945_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1177_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1433_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1689_q ),
+ .datab(!\datamem|ram~1177_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1433_q ),
+ .datae(!\datamem|ram~1945_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4549_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4549 .extended_lut = "off";
+defparam \datamem|ram~4549 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4549 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N49
+dffeas \datamem|ram~1753 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1753_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1753 .is_wysiwyg = "true";
+defparam \datamem|ram~1753 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N22
+dffeas \datamem|ram~1497 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1497_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1497 .is_wysiwyg = "true";
+defparam \datamem|ram~1497 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N17
+dffeas \datamem|ram~1241 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1241_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1241 .is_wysiwyg = "true";
+defparam \datamem|ram~1241 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N56
+dffeas \datamem|ram~2009 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2009_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2009 .is_wysiwyg = "true";
+defparam \datamem|ram~2009 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N54
+cyclonev_lcell_comb \datamem|ram~4550 (
+// Equation(s):
+// \datamem|ram~4550_combout = ( \datamem|ram~2009_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1753_q ) ) ) ) # ( !\datamem|ram~2009_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1753_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2009_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1241_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1497_q )) ) ) ) # ( !\datamem|ram~2009_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1241_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1497_q )) ) ) )
+
+ .dataa(!\datamem|ram~1753_q ),
+ .datab(!\datamem|ram~1497_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1241_q ),
+ .datae(!\datamem|ram~2009_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4550_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4550 .extended_lut = "off";
+defparam \datamem|ram~4550 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4550 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N36
+cyclonev_lcell_comb \datamem|ram~1881feeder (
+// Equation(s):
+// \datamem|ram~1881feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1881feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1881feeder .extended_lut = "off";
+defparam \datamem|ram~1881feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1881feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N38
+dffeas \datamem|ram~1881 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1881feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1881_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1881 .is_wysiwyg = "true";
+defparam \datamem|ram~1881 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y14_N9
+cyclonev_lcell_comb \datamem|ram~1113feeder (
+// Equation(s):
+// \datamem|ram~1113feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1113feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1113feeder .extended_lut = "off";
+defparam \datamem|ram~1113feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1113feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N10
+dffeas \datamem|ram~1113 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1113feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1113_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1113 .is_wysiwyg = "true";
+defparam \datamem|ram~1113 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y11_N18
+cyclonev_lcell_comb \datamem|ram~1625feeder (
+// Equation(s):
+// \datamem|ram~1625feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1625feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1625feeder .extended_lut = "off";
+defparam \datamem|ram~1625feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1625feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y11_N19
+dffeas \datamem|ram~1625 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1625feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1625_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1625 .is_wysiwyg = "true";
+defparam \datamem|ram~1625 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N20
+dffeas \datamem|ram~1369 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1369_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1369 .is_wysiwyg = "true";
+defparam \datamem|ram~1369 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N18
+cyclonev_lcell_comb \datamem|ram~4548 (
+// Equation(s):
+// \datamem|ram~4548_combout = ( \datamem|ram~1369_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1881_q ) ) ) ) # ( !\datamem|ram~1369_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1881_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1369_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1113_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1625_q ))) ) ) ) # ( !\datamem|ram~1369_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1113_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1625_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1881_q ),
+ .datab(!\datamem|ram~1113_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1625_q ),
+ .datae(!\datamem|ram~1369_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4548_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4548 .extended_lut = "off";
+defparam \datamem|ram~4548 .lut_mask = 64'h303F303F0505F5F5;
+defparam \datamem|ram~4548 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N54
+cyclonev_lcell_comb \datamem|ram~1561feeder (
+// Equation(s):
+// \datamem|ram~1561feeder_combout = \reg_file|reg_read_data_2[9]~9_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1561feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1561feeder .extended_lut = "off";
+defparam \datamem|ram~1561feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1561feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N55
+dffeas \datamem|ram~1561 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1561feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1561_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1561 .is_wysiwyg = "true";
+defparam \datamem|ram~1561 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N8
+dffeas \datamem|ram~1817 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1817_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1817 .is_wysiwyg = "true";
+defparam \datamem|ram~1817 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N56
+dffeas \datamem|ram~1049 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1049_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1049 .is_wysiwyg = "true";
+defparam \datamem|ram~1049 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N44
+dffeas \datamem|ram~1305 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1305_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1305 .is_wysiwyg = "true";
+defparam \datamem|ram~1305 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N42
+cyclonev_lcell_comb \datamem|ram~4547 (
+// Equation(s):
+// \datamem|ram~4547_combout = ( \datamem|ram~1305_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1561_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1817_q ))) ) ) ) # ( !\datamem|ram~1305_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1561_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1817_q ))) ) ) ) # ( \datamem|ram~1305_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~1049_q ) ) ) ) # ( !\datamem|ram~1305_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~1049_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1561_q ),
+ .datab(!\datamem|ram~1817_q ),
+ .datac(!\datamem|ram~1049_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1305_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4547_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4547 .extended_lut = "off";
+defparam \datamem|ram~4547 .lut_mask = 64'h0F000FFF55335533;
+defparam \datamem|ram~4547 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N21
+cyclonev_lcell_comb \datamem|ram~4551 (
+// Equation(s):
+// \datamem|ram~4551_combout = ( \datamem|ram~4548_combout & ( \datamem|ram~4547_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4549_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4550_combout )))) ) )
+// ) # ( !\datamem|ram~4548_combout & ( \datamem|ram~4547_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4549_combout )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~4550_combout
+// )))) ) ) ) # ( \datamem|ram~4548_combout & ( !\datamem|ram~4547_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4549_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~4550_combout )))) ) ) ) # ( !\datamem|ram~4548_combout & ( !\datamem|ram~4547_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4549_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~4550_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4549_combout ),
+ .datad(!\datamem|ram~4550_combout ),
+ .datae(!\datamem|ram~4548_combout ),
+ .dataf(!\datamem|ram~4547_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4551_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4551 .extended_lut = "off";
+defparam \datamem|ram~4551 .lut_mask = 64'h021346578A9BCEDF;
+defparam \datamem|ram~4551 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y6_N27
+cyclonev_lcell_comb \datamem|ram~1401feeder (
+// Equation(s):
+// \datamem|ram~1401feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1401feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1401feeder .extended_lut = "off";
+defparam \datamem|ram~1401feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1401feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y6_N28
+dffeas \datamem|ram~1401 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1401feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1401_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1401 .is_wysiwyg = "true";
+defparam \datamem|ram~1401 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N14
+dffeas \datamem|ram~1337 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1337_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1337 .is_wysiwyg = "true";
+defparam \datamem|ram~1337 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N21
+cyclonev_lcell_comb \datamem|ram~1465feeder (
+// Equation(s):
+// \datamem|ram~1465feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1465feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1465feeder .extended_lut = "off";
+defparam \datamem|ram~1465feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1465feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N22
+dffeas \datamem|ram~1465 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1465feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1465_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1465 .is_wysiwyg = "true";
+defparam \datamem|ram~1465 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N56
+dffeas \datamem|ram~1529 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1529_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1529 .is_wysiwyg = "true";
+defparam \datamem|ram~1529 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N54
+cyclonev_lcell_comb \datamem|ram~4558 (
+// Equation(s):
+// \datamem|ram~4558_combout = ( \datamem|ram~1529_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1465_q ) ) ) ) # ( !\datamem|ram~1529_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1465_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1529_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1337_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1401_q )) ) ) ) # ( !\datamem|ram~1529_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1337_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1401_q )) ) ) )
+
+ .dataa(!\datamem|ram~1401_q ),
+ .datab(!\datamem|ram~1337_q ),
+ .datac(!\datamem|ram~1465_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1529_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4558_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4558 .extended_lut = "off";
+defparam \datamem|ram~4558 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4558 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N45
+cyclonev_lcell_comb \datamem|ram~1145feeder (
+// Equation(s):
+// \datamem|ram~1145feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1145feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1145feeder .extended_lut = "off";
+defparam \datamem|ram~1145feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1145feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N46
+dffeas \datamem|ram~1145 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1145feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1145_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1145 .is_wysiwyg = "true";
+defparam \datamem|ram~1145 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N58
+dffeas \datamem|ram~1081 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1081_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1081 .is_wysiwyg = "true";
+defparam \datamem|ram~1081 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N28
+dffeas \datamem|ram~1209 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1209_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1209 .is_wysiwyg = "true";
+defparam \datamem|ram~1209 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N8
+dffeas \datamem|ram~1273 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1273_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1273 .is_wysiwyg = "true";
+defparam \datamem|ram~1273 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N6
+cyclonev_lcell_comb \datamem|ram~4557 (
+// Equation(s):
+// \datamem|ram~4557_combout = ( \datamem|ram~1273_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1209_q ) ) ) ) # ( !\datamem|ram~1273_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1209_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1273_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1081_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1145_q )) ) ) ) # ( !\datamem|ram~1273_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1081_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1145_q )) ) ) )
+
+ .dataa(!\datamem|ram~1145_q ),
+ .datab(!\datamem|ram~1081_q ),
+ .datac(!\datamem|ram~1209_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1273_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4557_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4557 .extended_lut = "off";
+defparam \datamem|ram~4557 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4557 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y12_N40
+dffeas \datamem|ram~1977 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1977_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1977 .is_wysiwyg = "true";
+defparam \datamem|ram~1977 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N41
+dffeas \datamem|ram~1849 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1849_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1849 .is_wysiwyg = "true";
+defparam \datamem|ram~1849 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N25
+dffeas \datamem|ram~1913 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1913_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1913 .is_wysiwyg = "true";
+defparam \datamem|ram~1913 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N20
+dffeas \datamem|ram~2041 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2041_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2041 .is_wysiwyg = "true";
+defparam \datamem|ram~2041 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y16_N18
+cyclonev_lcell_comb \datamem|ram~4560 (
+// Equation(s):
+// \datamem|ram~4560_combout = ( \datamem|ram~2041_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1977_q ) ) ) ) # ( !\datamem|ram~2041_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1977_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2041_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1849_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1913_q ))) ) ) ) # ( !\datamem|ram~2041_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1849_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1913_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1977_q ),
+ .datab(!\datamem|ram~1849_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1913_q ),
+ .datae(!\datamem|ram~2041_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4560_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4560 .extended_lut = "off";
+defparam \datamem|ram~4560 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4560 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N29
+dffeas \datamem|ram~1721 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1721_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1721 .is_wysiwyg = "true";
+defparam \datamem|ram~1721 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N37
+dffeas \datamem|ram~1593 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1593_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1593 .is_wysiwyg = "true";
+defparam \datamem|ram~1593 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N53
+dffeas \datamem|ram~1657 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1657_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1657 .is_wysiwyg = "true";
+defparam \datamem|ram~1657 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N2
+dffeas \datamem|ram~1785 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1785_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1785 .is_wysiwyg = "true";
+defparam \datamem|ram~1785 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N0
+cyclonev_lcell_comb \datamem|ram~4559 (
+// Equation(s):
+// \datamem|ram~4559_combout = ( \datamem|ram~1785_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1721_q ) ) ) ) # ( !\datamem|ram~1785_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1721_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1785_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1593_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1657_q ))) ) ) ) # ( !\datamem|ram~1785_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1593_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1657_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1721_q ),
+ .datab(!\datamem|ram~1593_q ),
+ .datac(!\datamem|ram~1657_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1785_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4559_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4559 .extended_lut = "off";
+defparam \datamem|ram~4559 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4559 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N15
+cyclonev_lcell_comb \datamem|ram~4561 (
+// Equation(s):
+// \datamem|ram~4561_combout = ( \alu_unit|Mux9~4_combout & ( \datamem|ram~4559_combout & ( (!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4560_combout ) ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~4559_combout & ( (!\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4557_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4558_combout )) ) ) ) # ( \alu_unit|Mux9~4_combout & ( !\datamem|ram~4559_combout & ( (\alu_unit|Mux10~6_combout & \datamem|ram~4560_combout ) ) ) ) # (
+// !\alu_unit|Mux9~4_combout & ( !\datamem|ram~4559_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4557_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4558_combout )) ) ) )
+
+ .dataa(!\datamem|ram~4558_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4557_combout ),
+ .datad(!\datamem|ram~4560_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\datamem|ram~4559_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4561_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4561 .extended_lut = "off";
+defparam \datamem|ram~4561 .lut_mask = 64'h1D1D00331D1DCCFF;
+defparam \datamem|ram~4561 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N54
+cyclonev_lcell_comb \datamem|ram~4562 (
+// Equation(s):
+// \datamem|ram~4562_combout = ( \datamem|ram~4551_combout & ( \datamem|ram~4561_combout & ( ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4546_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4556_combout ))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~4551_combout & ( \datamem|ram~4561_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4546_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4556_combout )))) #
+// (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) ) ) ) # ( \datamem|ram~4551_combout & ( !\datamem|ram~4561_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4546_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4556_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4551_combout & ( !\datamem|ram~4561_combout & ( (!\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4546_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4556_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4556_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4546_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4551_combout ),
+ .dataf(!\datamem|ram~4561_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4562_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4562 .extended_lut = "off";
+defparam \datamem|ram~4562 .lut_mask = 64'h0C443F440C773F77;
+defparam \datamem|ram~4562 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y20_N18
+cyclonev_lcell_comb \datamem|ram~3017feeder (
+// Equation(s):
+// \datamem|ram~3017feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3017feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3017feeder .extended_lut = "off";
+defparam \datamem|ram~3017feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3017feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N19
+dffeas \datamem|ram~3017 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3017feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3017_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3017 .is_wysiwyg = "true";
+defparam \datamem|ram~3017 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N47
+dffeas \datamem|ram~3049 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3049_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3049 .is_wysiwyg = "true";
+defparam \datamem|ram~3049 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N5
+dffeas \datamem|ram~3033 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3033_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3033 .is_wysiwyg = "true";
+defparam \datamem|ram~3033 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N14
+dffeas \datamem|ram~3065 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3065_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3065 .is_wysiwyg = "true";
+defparam \datamem|ram~3065 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N12
+cyclonev_lcell_comb \datamem|ram~4581 (
+// Equation(s):
+// \datamem|ram~4581_combout = ( \datamem|ram~3065_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3033_q ) ) ) ) # ( !\datamem|ram~3065_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3033_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3065_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3017_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3049_q ))) ) ) ) # ( !\datamem|ram~3065_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3017_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3049_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3017_q ),
+ .datab(!\datamem|ram~3049_q ),
+ .datac(!\datamem|ram~3033_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3065_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4581_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4581 .extended_lut = "off";
+defparam \datamem|ram~4581 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4581 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N22
+dffeas \datamem|ram~2521 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2521_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2521 .is_wysiwyg = "true";
+defparam \datamem|ram~2521 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N40
+dffeas \datamem|ram~2537 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2537_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2537 .is_wysiwyg = "true";
+defparam \datamem|ram~2537 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y21_N48
+cyclonev_lcell_comb \datamem|ram~2505feeder (
+// Equation(s):
+// \datamem|ram~2505feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2505feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2505feeder .extended_lut = "off";
+defparam \datamem|ram~2505feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2505feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y21_N50
+dffeas \datamem|ram~2505 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2505feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2505_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2505 .is_wysiwyg = "true";
+defparam \datamem|ram~2505 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y21_N26
+dffeas \datamem|ram~2553 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2553_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2553 .is_wysiwyg = "true";
+defparam \datamem|ram~2553 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y21_N24
+cyclonev_lcell_comb \datamem|ram~4579 (
+// Equation(s):
+// \datamem|ram~4579_combout = ( \datamem|ram~2553_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2521_q ) ) ) ) # ( !\datamem|ram~2553_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2521_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2553_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2505_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2537_q )) ) ) ) # ( !\datamem|ram~2553_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2505_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2537_q )) ) ) )
+
+ .dataa(!\datamem|ram~2521_q ),
+ .datab(!\datamem|ram~2537_q ),
+ .datac(!\datamem|ram~2505_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2553_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4579_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4579 .extended_lut = "off";
+defparam \datamem|ram~4579 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4579 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N19
+dffeas \datamem|ram~2297 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2297_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2297 .is_wysiwyg = "true";
+defparam \datamem|ram~2297 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N4
+dffeas \datamem|ram~2249 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2249_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2249 .is_wysiwyg = "true";
+defparam \datamem|ram~2249 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N58
+dffeas \datamem|ram~2265 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2265_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2265 .is_wysiwyg = "true";
+defparam \datamem|ram~2265 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y10_N58
+dffeas \datamem|ram~2281 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2281_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2281 .is_wysiwyg = "true";
+defparam \datamem|ram~2281 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N3
+cyclonev_lcell_comb \datamem|ram~4578 (
+// Equation(s):
+// \datamem|ram~4578_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~2297_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~2281_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~2265_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~2249_q ) ) )
+
+ .dataa(!\datamem|ram~2297_q ),
+ .datab(!\datamem|ram~2249_q ),
+ .datac(!\datamem|ram~2265_q ),
+ .datad(!\datamem|ram~2281_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4578_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4578 .extended_lut = "off";
+defparam \datamem|ram~4578 .lut_mask = 64'h33330F0F00FF5555;
+defparam \datamem|ram~4578 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N21
+cyclonev_lcell_comb \datamem|ram~2793feeder (
+// Equation(s):
+// \datamem|ram~2793feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2793feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2793feeder .extended_lut = "off";
+defparam \datamem|ram~2793feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2793feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N22
+dffeas \datamem|ram~2793 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2793feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2793_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2793 .is_wysiwyg = "true";
+defparam \datamem|ram~2793 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N4
+dffeas \datamem|ram~2777 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2777_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2777 .is_wysiwyg = "true";
+defparam \datamem|ram~2777 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N46
+dffeas \datamem|ram~2761 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2761_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2761 .is_wysiwyg = "true";
+defparam \datamem|ram~2761 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y9_N20
+dffeas \datamem|ram~2809 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2809_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2809 .is_wysiwyg = "true";
+defparam \datamem|ram~2809 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N18
+cyclonev_lcell_comb \datamem|ram~4580 (
+// Equation(s):
+// \datamem|ram~4580_combout = ( \datamem|ram~2809_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2793_q ) ) ) ) # ( !\datamem|ram~2809_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2793_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2809_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2761_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2777_q )) ) ) ) # ( !\datamem|ram~2809_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2761_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2777_q )) ) ) )
+
+ .dataa(!\datamem|ram~2793_q ),
+ .datab(!\datamem|ram~2777_q ),
+ .datac(!\datamem|ram~2761_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2809_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4580_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4580 .extended_lut = "off";
+defparam \datamem|ram~4580 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4580 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4582 (
+// Equation(s):
+// \datamem|ram~4582_combout = ( \datamem|ram~4578_combout & ( \datamem|ram~4580_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4579_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4581_combout ))) ) ) )
+// # ( !\datamem|ram~4578_combout & ( \datamem|ram~4580_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~4579_combout )))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4581_combout
+// )))) ) ) ) # ( \datamem|ram~4578_combout & ( !\datamem|ram~4580_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4579_combout )))) # (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4581_combout ))) ) ) ) # ( !\datamem|ram~4578_combout & ( !\datamem|ram~4580_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4579_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4581_combout
+// )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4581_combout ),
+ .datad(!\datamem|ram~4579_combout ),
+ .datae(!\datamem|ram~4578_combout ),
+ .dataf(!\datamem|ram~4580_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4582_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4582 .extended_lut = "off";
+defparam \datamem|ram~4582 .lut_mask = 64'h012389AB4567CDEF;
+defparam \datamem|ram~4582 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y11_N13
+dffeas \datamem|ram~2953 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2953_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2953 .is_wysiwyg = "true";
+defparam \datamem|ram~2953 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N31
+dffeas \datamem|ram~2441 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2441_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2441 .is_wysiwyg = "true";
+defparam \datamem|ram~2441 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N24
+cyclonev_lcell_comb \datamem|ram~2185feeder (
+// Equation(s):
+// \datamem|ram~2185feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2185feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2185feeder .extended_lut = "off";
+defparam \datamem|ram~2185feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2185feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N25
+dffeas \datamem|ram~2185 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2185feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2185_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2185 .is_wysiwyg = "true";
+defparam \datamem|ram~2185 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y14_N4
+dffeas \datamem|ram~2697 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2697_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2697 .is_wysiwyg = "true";
+defparam \datamem|ram~2697 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y11_N18
+cyclonev_lcell_comb \datamem|ram~4573 (
+// Equation(s):
+// \datamem|ram~4573_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2953_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2697_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~2441_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~2185_q ) ) )
+
+ .dataa(!\datamem|ram~2953_q ),
+ .datab(!\datamem|ram~2441_q ),
+ .datac(!\datamem|ram~2185_q ),
+ .datad(!\datamem|ram~2697_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4573_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4573 .extended_lut = "off";
+defparam \datamem|ram~4573 .lut_mask = 64'h0F0F333300FF5555;
+defparam \datamem|ram~4573 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y8_N36
+cyclonev_lcell_comb \datamem|ram~2489feeder (
+// Equation(s):
+// \datamem|ram~2489feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2489feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2489feeder .extended_lut = "off";
+defparam \datamem|ram~2489feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2489feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y8_N37
+dffeas \datamem|ram~2489 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2489feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2489_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2489 .is_wysiwyg = "true";
+defparam \datamem|ram~2489 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N38
+dffeas \datamem|ram~2233 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2233_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2233 .is_wysiwyg = "true";
+defparam \datamem|ram~2233 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N49
+dffeas \datamem|ram~3001 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3001_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3001 .is_wysiwyg = "true";
+defparam \datamem|ram~3001 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N20
+dffeas \datamem|ram~2745 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2745_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2745 .is_wysiwyg = "true";
+defparam \datamem|ram~2745 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y11_N12
+cyclonev_lcell_comb \datamem|ram~4576 (
+// Equation(s):
+// \datamem|ram~4576_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~3001_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2745_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~2489_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~2233_q ) ) )
+
+ .dataa(!\datamem|ram~2489_q ),
+ .datab(!\datamem|ram~2233_q ),
+ .datac(!\datamem|ram~3001_q ),
+ .datad(!\datamem|ram~2745_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4576_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4576 .extended_lut = "off";
+defparam \datamem|ram~4576 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~4576 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N35
+dffeas \datamem|ram~2473 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2473_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2473 .is_wysiwyg = "true";
+defparam \datamem|ram~2473 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y11_N49
+dffeas \datamem|ram~2985 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2985_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2985 .is_wysiwyg = "true";
+defparam \datamem|ram~2985 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N33
+cyclonev_lcell_comb \datamem|ram~2217feeder (
+// Equation(s):
+// \datamem|ram~2217feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2217feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2217feeder .extended_lut = "off";
+defparam \datamem|ram~2217feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2217feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N34
+dffeas \datamem|ram~2217 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2217feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2217_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2217 .is_wysiwyg = "true";
+defparam \datamem|ram~2217 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N16
+dffeas \datamem|ram~2729 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2729_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2729 .is_wysiwyg = "true";
+defparam \datamem|ram~2729 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y11_N54
+cyclonev_lcell_comb \datamem|ram~4575 (
+// Equation(s):
+// \datamem|ram~4575_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2985_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2729_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~2473_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~2217_q ) ) )
+
+ .dataa(!\datamem|ram~2473_q ),
+ .datab(!\datamem|ram~2985_q ),
+ .datac(!\datamem|ram~2217_q ),
+ .datad(!\datamem|ram~2729_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4575_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4575 .extended_lut = "off";
+defparam \datamem|ram~4575 .lut_mask = 64'h0F0F555500FF3333;
+defparam \datamem|ram~4575 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N58
+dffeas \datamem|ram~2457 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2457_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2457 .is_wysiwyg = "true";
+defparam \datamem|ram~2457 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N16
+dffeas \datamem|ram~2713 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2713_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2713 .is_wysiwyg = "true";
+defparam \datamem|ram~2713 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N56
+dffeas \datamem|ram~2201 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2201_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2201 .is_wysiwyg = "true";
+defparam \datamem|ram~2201 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y11_N56
+dffeas \datamem|ram~2969 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2969_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2969 .is_wysiwyg = "true";
+defparam \datamem|ram~2969 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y11_N54
+cyclonev_lcell_comb \datamem|ram~4574 (
+// Equation(s):
+// \datamem|ram~4574_combout = ( \datamem|ram~2969_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2713_q ) ) ) ) # ( !\datamem|ram~2969_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2713_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2969_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2201_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2457_q )) ) ) ) # ( !\datamem|ram~2969_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2201_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2457_q )) ) ) )
+
+ .dataa(!\datamem|ram~2457_q ),
+ .datab(!\datamem|ram~2713_q ),
+ .datac(!\datamem|ram~2201_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2969_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4574_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4574 .extended_lut = "off";
+defparam \datamem|ram~4574 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4574 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y11_N9
+cyclonev_lcell_comb \datamem|ram~4577 (
+// Equation(s):
+// \datamem|ram~4577_combout = ( \datamem|ram~4575_combout & ( \datamem|ram~4574_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~4573_combout ))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~4576_combout )))) ) ) ) # ( !\datamem|ram~4575_combout & ( \datamem|ram~4574_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4573_combout & ((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// (((!\alu_unit|Mux13~4_combout ) # (\datamem|ram~4576_combout )))) ) ) ) # ( \datamem|ram~4575_combout & ( !\datamem|ram~4574_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~4573_combout ))) #
+// (\alu_unit|Mux14~6_combout & (((\datamem|ram~4576_combout & \alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4575_combout & ( !\datamem|ram~4574_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4573_combout &
+// ((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~4576_combout & \alu_unit|Mux13~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4573_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4576_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4575_combout ),
+ .dataf(!\datamem|ram~4574_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4577_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4577 .extended_lut = "off";
+defparam \datamem|ram~4577 .lut_mask = 64'h440344CF770377CF;
+defparam \datamem|ram~4577 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N49
+dffeas \datamem|ram~2313 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2313_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2313 .is_wysiwyg = "true";
+defparam \datamem|ram~2313 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N49
+dffeas \datamem|ram~2345 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2345_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2345 .is_wysiwyg = "true";
+defparam \datamem|ram~2345 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N8
+dffeas \datamem|ram~2329 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2329_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2329 .is_wysiwyg = "true";
+defparam \datamem|ram~2329 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N59
+dffeas \datamem|ram~2361 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2361_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2361 .is_wysiwyg = "true";
+defparam \datamem|ram~2361 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y4_N57
+cyclonev_lcell_comb \datamem|ram~4564 (
+// Equation(s):
+// \datamem|ram~4564_combout = ( \datamem|ram~2361_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2345_q ) ) ) ) # ( !\datamem|ram~2361_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2345_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2361_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2313_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2329_q ))) ) ) ) # ( !\datamem|ram~2361_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2313_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2329_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2313_q ),
+ .datab(!\datamem|ram~2345_q ),
+ .datac(!\datamem|ram~2329_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2361_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4564_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4564 .extended_lut = "off";
+defparam \datamem|ram~4564 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4564 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N38
+dffeas \datamem|ram~2057 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2057_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2057 .is_wysiwyg = "true";
+defparam \datamem|ram~2057 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y10_N44
+dffeas \datamem|ram~2089 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2089_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2089 .is_wysiwyg = "true";
+defparam \datamem|ram~2089 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N16
+dffeas \datamem|ram~2073 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2073_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2073 .is_wysiwyg = "true";
+defparam \datamem|ram~2073 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N32
+dffeas \datamem|ram~2105 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2105_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2105 .is_wysiwyg = "true";
+defparam \datamem|ram~2105 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N30
+cyclonev_lcell_comb \datamem|ram~4563 (
+// Equation(s):
+// \datamem|ram~4563_combout = ( \datamem|ram~2105_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2073_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2105_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2073_q ) ) ) ) # ( \datamem|ram~2105_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2057_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2089_q ))) ) ) ) # ( !\datamem|ram~2105_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2057_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2089_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2057_q ),
+ .datab(!\datamem|ram~2089_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2073_q ),
+ .datae(!\datamem|ram~2105_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4563_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4563 .extended_lut = "off";
+defparam \datamem|ram~4563 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4563 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N47
+dffeas \datamem|ram~2841 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2841_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2841 .is_wysiwyg = "true";
+defparam \datamem|ram~2841 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N58
+dffeas \datamem|ram~2857 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2857_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2857 .is_wysiwyg = "true";
+defparam \datamem|ram~2857 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y18_N52
+dffeas \datamem|ram~2825 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2825_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2825 .is_wysiwyg = "true";
+defparam \datamem|ram~2825 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y17_N17
+dffeas \datamem|ram~2873 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2873_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2873 .is_wysiwyg = "true";
+defparam \datamem|ram~2873 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y17_N15
+cyclonev_lcell_comb \datamem|ram~4566 (
+// Equation(s):
+// \datamem|ram~4566_combout = ( \datamem|ram~2873_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2841_q ) ) ) ) # ( !\datamem|ram~2873_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2841_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2873_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2825_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2857_q )) ) ) ) # ( !\datamem|ram~2873_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2825_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2857_q )) ) ) )
+
+ .dataa(!\datamem|ram~2841_q ),
+ .datab(!\datamem|ram~2857_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2825_q ),
+ .datae(!\datamem|ram~2873_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4566_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4566 .extended_lut = "off";
+defparam \datamem|ram~4566 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4566 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N27
+cyclonev_lcell_comb \datamem|ram~2569feeder (
+// Equation(s):
+// \datamem|ram~2569feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2569feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2569feeder .extended_lut = "off";
+defparam \datamem|ram~2569feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2569feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N28
+dffeas \datamem|ram~2569 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2569feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2569_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2569 .is_wysiwyg = "true";
+defparam \datamem|ram~2569 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N55
+dffeas \datamem|ram~2585 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2585_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2585 .is_wysiwyg = "true";
+defparam \datamem|ram~2585 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N40
+dffeas \datamem|ram~2601 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2601_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2601 .is_wysiwyg = "true";
+defparam \datamem|ram~2601 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N32
+dffeas \datamem|ram~2617 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2617_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2617 .is_wysiwyg = "true";
+defparam \datamem|ram~2617 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N30
+cyclonev_lcell_comb \datamem|ram~4565 (
+// Equation(s):
+// \datamem|ram~4565_combout = ( \datamem|ram~2617_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2601_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~2617_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~2601_q ) ) ) ) # ( \datamem|ram~2617_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2569_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2585_q ))) ) ) ) # ( !\datamem|ram~2617_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2569_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2585_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2569_q ),
+ .datab(!\datamem|ram~2585_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~2601_q ),
+ .datae(!\datamem|ram~2617_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4565_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4565 .extended_lut = "off";
+defparam \datamem|ram~4565 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4565 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N0
+cyclonev_lcell_comb \datamem|ram~4567 (
+// Equation(s):
+// \datamem|ram~4567_combout = ( \datamem|ram~4566_combout & ( \datamem|ram~4565_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4563_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4564_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4566_combout & ( \datamem|ram~4565_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4563_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4564_combout )))) # (\alu_unit|Mux9~4_combout &
+// (!\alu_unit|Mux10~6_combout )) ) ) ) # ( \datamem|ram~4566_combout & ( !\datamem|ram~4565_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4563_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4564_combout )))) # (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout )) ) ) ) # ( !\datamem|ram~4566_combout & ( !\datamem|ram~4565_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4563_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4564_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4564_combout ),
+ .datad(!\datamem|ram~4563_combout ),
+ .datae(!\datamem|ram~4566_combout ),
+ .dataf(!\datamem|ram~4565_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4567_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4567 .extended_lut = "off";
+defparam \datamem|ram~4567 .lut_mask = 64'h028A139B46CE57DF;
+defparam \datamem|ram~4567 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y11_N31
+dffeas \datamem|ram~2889 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2889_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2889 .is_wysiwyg = "true";
+defparam \datamem|ram~2889 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N7
+dffeas \datamem|ram~2905 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2905_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2905 .is_wysiwyg = "true";
+defparam \datamem|ram~2905 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y16_N37
+dffeas \datamem|ram~2921 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2921_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2921 .is_wysiwyg = "true";
+defparam \datamem|ram~2921 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y21_N38
+dffeas \datamem|ram~2937 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2937_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2937 .is_wysiwyg = "true";
+defparam \datamem|ram~2937 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y21_N36
+cyclonev_lcell_comb \datamem|ram~4571 (
+// Equation(s):
+// \datamem|ram~4571_combout = ( \datamem|ram~2937_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2905_q ) ) ) ) # ( !\datamem|ram~2937_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2905_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2937_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2889_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2921_q ))) ) ) ) # ( !\datamem|ram~2937_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2889_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2921_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2889_q ),
+ .datab(!\datamem|ram~2905_q ),
+ .datac(!\datamem|ram~2921_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2937_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4571_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4571 .extended_lut = "off";
+defparam \datamem|ram~4571 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4571 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N34
+dffeas \datamem|ram~2377 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2377_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2377 .is_wysiwyg = "true";
+defparam \datamem|ram~2377 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N5
+dffeas \datamem|ram~2393 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2393_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2393 .is_wysiwyg = "true";
+defparam \datamem|ram~2393 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N7
+dffeas \datamem|ram~2409 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2409_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2409 .is_wysiwyg = "true";
+defparam \datamem|ram~2409 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y21_N44
+dffeas \datamem|ram~2425 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2425_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2425 .is_wysiwyg = "true";
+defparam \datamem|ram~2425 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y21_N42
+cyclonev_lcell_comb \datamem|ram~4569 (
+// Equation(s):
+// \datamem|ram~4569_combout = ( \datamem|ram~2425_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2393_q ) ) ) ) # ( !\datamem|ram~2425_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2393_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2425_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2377_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2409_q ))) ) ) ) # ( !\datamem|ram~2425_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2377_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2409_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2377_q ),
+ .datab(!\datamem|ram~2393_q ),
+ .datac(!\datamem|ram~2409_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2425_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4569_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4569 .extended_lut = "off";
+defparam \datamem|ram~4569 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4569 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N22
+dffeas \datamem|ram~2121 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2121_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2121 .is_wysiwyg = "true";
+defparam \datamem|ram~2121 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N57
+cyclonev_lcell_comb \datamem|ram~2153feeder (
+// Equation(s):
+// \datamem|ram~2153feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2153feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2153feeder .extended_lut = "off";
+defparam \datamem|ram~2153feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2153feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N59
+dffeas \datamem|ram~2153 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2153feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2153_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2153 .is_wysiwyg = "true";
+defparam \datamem|ram~2153 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N4
+dffeas \datamem|ram~2137 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2137_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2137 .is_wysiwyg = "true";
+defparam \datamem|ram~2137 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N14
+dffeas \datamem|ram~2169 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2169_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2169 .is_wysiwyg = "true";
+defparam \datamem|ram~2169 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N12
+cyclonev_lcell_comb \datamem|ram~4568 (
+// Equation(s):
+// \datamem|ram~4568_combout = ( \datamem|ram~2169_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2137_q ) ) ) ) # ( !\datamem|ram~2169_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2137_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2169_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2121_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2153_q ))) ) ) ) # ( !\datamem|ram~2169_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2121_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2153_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2121_q ),
+ .datab(!\datamem|ram~2153_q ),
+ .datac(!\datamem|ram~2137_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2169_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4568_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4568 .extended_lut = "off";
+defparam \datamem|ram~4568 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4568 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N47
+dffeas \datamem|ram~2649 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2649_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2649 .is_wysiwyg = "true";
+defparam \datamem|ram~2649 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y7_N39
+cyclonev_lcell_comb \datamem|ram~2665feeder (
+// Equation(s):
+// \datamem|ram~2665feeder_combout = ( \reg_file|reg_read_data_2[9]~9_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[9]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2665feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2665feeder .extended_lut = "off";
+defparam \datamem|ram~2665feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2665feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y7_N40
+dffeas \datamem|ram~2665 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2665feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2665_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2665 .is_wysiwyg = "true";
+defparam \datamem|ram~2665 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N19
+dffeas \datamem|ram~2633 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2633_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2633 .is_wysiwyg = "true";
+defparam \datamem|ram~2633 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N20
+dffeas \datamem|ram~2681 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[9]~9_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2681_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2681 .is_wysiwyg = "true";
+defparam \datamem|ram~2681 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y12_N18
+cyclonev_lcell_comb \datamem|ram~4570 (
+// Equation(s):
+// \datamem|ram~4570_combout = ( \datamem|ram~2681_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2665_q ) ) ) ) # ( !\datamem|ram~2681_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2665_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2681_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2633_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2649_q )) ) ) ) # ( !\datamem|ram~2681_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2633_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2649_q )) ) ) )
+
+ .dataa(!\datamem|ram~2649_q ),
+ .datab(!\datamem|ram~2665_q ),
+ .datac(!\datamem|ram~2633_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2681_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4570_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4570 .extended_lut = "off";
+defparam \datamem|ram~4570 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4570 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y21_N30
+cyclonev_lcell_comb \datamem|ram~4572 (
+// Equation(s):
+// \datamem|ram~4572_combout = ( \datamem|ram~4568_combout & ( \datamem|ram~4570_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4569_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4571_combout ))) ) ) )
+// # ( !\datamem|ram~4568_combout & ( \datamem|ram~4570_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4569_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4571_combout )))) ) ) ) # ( \datamem|ram~4568_combout & ( !\datamem|ram~4570_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4569_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4571_combout )))) ) ) ) # ( !\datamem|ram~4568_combout & ( !\datamem|ram~4570_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4569_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4571_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4571_combout ),
+ .datad(!\datamem|ram~4569_combout ),
+ .datae(!\datamem|ram~4568_combout ),
+ .dataf(!\datamem|ram~4570_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4572_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4572 .extended_lut = "off";
+defparam \datamem|ram~4572 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~4572 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N42
+cyclonev_lcell_comb \datamem|ram~4583 (
+// Equation(s):
+// \datamem|ram~4583_combout = ( \datamem|ram~4567_combout & ( \datamem|ram~4572_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4577_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4582_combout ))) ) )
+// ) # ( !\datamem|ram~4567_combout & ( \datamem|ram~4572_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4577_combout & \alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) #
+// (\datamem|ram~4582_combout ))) ) ) ) # ( \datamem|ram~4567_combout & ( !\datamem|ram~4572_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) # (\datamem|ram~4577_combout )))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4582_combout & ((\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~4567_combout & ( !\datamem|ram~4572_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4577_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~4582_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4582_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4577_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4567_combout ),
+ .dataf(!\datamem|ram~4572_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4583_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4583 .extended_lut = "off";
+defparam \datamem|ram~4583 .lut_mask = 64'h001DCC1D331DFF1D;
+defparam \datamem|ram~4583 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y17_N48
+cyclonev_lcell_comb \datamem|ram~4605 (
+// Equation(s):
+// \datamem|ram~4605_combout = ( \datamem|ram~4562_combout & ( \datamem|ram~4583_combout & ( (!\alu_unit|Mux8~2_combout & (((\datamem|ram~4541_combout ) # (\alu_unit|Mux7~2_combout )))) # (\alu_unit|Mux8~2_combout & (((!\alu_unit|Mux7~2_combout )) #
+// (\datamem|ram~4604_combout ))) ) ) ) # ( !\datamem|ram~4562_combout & ( \datamem|ram~4583_combout & ( (!\alu_unit|Mux8~2_combout & (((\datamem|ram~4541_combout ) # (\alu_unit|Mux7~2_combout )))) # (\alu_unit|Mux8~2_combout & (\datamem|ram~4604_combout
+// & (\alu_unit|Mux7~2_combout ))) ) ) ) # ( \datamem|ram~4562_combout & ( !\datamem|ram~4583_combout & ( (!\alu_unit|Mux8~2_combout & (((!\alu_unit|Mux7~2_combout & \datamem|ram~4541_combout )))) # (\alu_unit|Mux8~2_combout &
+// (((!\alu_unit|Mux7~2_combout )) # (\datamem|ram~4604_combout ))) ) ) ) # ( !\datamem|ram~4562_combout & ( !\datamem|ram~4583_combout & ( (!\alu_unit|Mux8~2_combout & (((!\alu_unit|Mux7~2_combout & \datamem|ram~4541_combout )))) #
+// (\alu_unit|Mux8~2_combout & (\datamem|ram~4604_combout & (\alu_unit|Mux7~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux8~2_combout ),
+ .datab(!\datamem|ram~4604_combout ),
+ .datac(!\alu_unit|Mux7~2_combout ),
+ .datad(!\datamem|ram~4541_combout ),
+ .datae(!\datamem|ram~4562_combout ),
+ .dataf(!\datamem|ram~4583_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4605_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4605 .extended_lut = "off";
+defparam \datamem|ram~4605 .lut_mask = 64'h01A151F10BAB5BFB;
+defparam \datamem|ram~4605 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N39
+cyclonev_lcell_comb \reg_write_data[9]~7 (
+// Equation(s):
+// \reg_write_data[9]~7_combout = ( \datamem|ram~4605_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux6~7_combout )))) # (\reg_write_data[1]~0_combout & (((\reg_write_data[1]~1_combout )) # (\Add0~33_sumout ))) ) ) # (
+// !\datamem|ram~4605_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux6~7_combout )))) # (\reg_write_data[1]~0_combout & (\Add0~33_sumout & ((!\reg_write_data[1]~1_combout )))) ) )
+
+ .dataa(!\Add0~33_sumout ),
+ .datab(!\alu_unit|Mux6~7_combout ),
+ .datac(!\reg_write_data[1]~1_combout ),
+ .datad(!\reg_write_data[1]~0_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~4605_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[9]~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[9]~7 .extended_lut = "off";
+defparam \reg_write_data[9]~7 .lut_mask = 64'h33503350335F335F;
+defparam \reg_write_data[9]~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N36
+cyclonev_lcell_comb \reg_file|reg_array[3][9]~feeder (
+// Equation(s):
+// \reg_file|reg_array[3][9]~feeder_combout = ( \reg_write_data[9]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[9]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[3][9]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[3][9]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[3][9]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[3][9]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y14_N38
+dffeas \reg_file|reg_array[3][9] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[3][9]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][9]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][9] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][9] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y16_N8
+dffeas \reg_file|reg_array[4][9] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[9]~7_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][9]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][9] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N15
+cyclonev_lcell_comb \reg_file|reg_array[7][9]~feeder (
+// Equation(s):
+// \reg_file|reg_array[7][9]~feeder_combout = ( \reg_write_data[9]~7_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[9]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[7][9]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[7][9]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[7][9]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[7][9]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N16
+dffeas \reg_file|reg_array[7][9] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[7][9]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][9]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][9] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N9
+cyclonev_lcell_comb \reg_file|reg_read_data_1[9]~8 (
+// Equation(s):
+// \reg_file|reg_read_data_1[9]~8_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][9]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][9]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][9]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][9]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[3][9]~q ),
+ .datab(!\reg_file|reg_array[0][9]~q ),
+ .datac(!\reg_file|reg_array[4][9]~q ),
+ .datad(!\reg_file|reg_array[7][9]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[9]~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[9]~8 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[9]~8 .lut_mask = 64'h333355550F0F00FF;
+defparam \reg_file|reg_read_data_1[9]~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N39
+cyclonev_lcell_comb \alu_unit|Add1~37 (
+// Equation(s):
+// \alu_unit|Add1~37_sumout = SUM(( !\read_data2[10]~10_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[10]~10_combout ))) ) + ( \alu_unit|Add1~34 ))
+// \alu_unit|Add1~38 = CARRY(( !\read_data2[10]~10_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[10]~10_combout ))) ) + ( \alu_unit|Add1~34 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[10]~10_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[10]~10_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~37_sumout ),
+ .cout(\alu_unit|Add1~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~37 .extended_lut = "off";
+defparam \alu_unit|Add1~37 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N30
+cyclonev_lcell_comb \alu_unit|Add0~37 (
+// Equation(s):
+// \alu_unit|Add0~37_sumout = SUM(( \read_data2[10]~10_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[10]~10_combout ))) ) + ( \alu_unit|Add0~34 ))
+// \alu_unit|Add0~38 = CARRY(( \read_data2[10]~10_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[10]~10_combout ))) ) + ( \alu_unit|Add0~34 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[10]~10_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[10]~10_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~37_sumout ),
+ .cout(\alu_unit|Add0~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~37 .extended_lut = "off";
+defparam \alu_unit|Add0~37 .lut_mask = 64'h0000FFF7000000FF;
+defparam \alu_unit|Add0~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y9_N6
+cyclonev_lcell_comb \alu_unit|Mux5~0 (
+// Equation(s):
+// \alu_unit|Mux5~0_combout = ( \alu_unit|Add1~37_sumout & ( \alu_unit|Add0~37_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout ) # ((!\reg_file|reg_read_data_1[10]~11_combout & (!\ALU_Control_unit|WideOr2~0_combout & \read_data2[10]~10_combout )) #
+// (\reg_file|reg_read_data_1[10]~11_combout & ((!\ALU_Control_unit|WideOr2~0_combout ) # (\read_data2[10]~10_combout )))) ) ) ) # ( !\alu_unit|Add1~37_sumout & ( \alu_unit|Add0~37_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout &
+// (((\ALU_Control_unit|WideOr2~0_combout )))) # (\ALU_Control_unit|WideOr1~0_combout & ((!\reg_file|reg_read_data_1[10]~11_combout & (!\ALU_Control_unit|WideOr2~0_combout & \read_data2[10]~10_combout )) # (\reg_file|reg_read_data_1[10]~11_combout &
+// ((!\ALU_Control_unit|WideOr2~0_combout ) # (\read_data2[10]~10_combout ))))) ) ) ) # ( \alu_unit|Add1~37_sumout & ( !\alu_unit|Add0~37_sumout & ( (!\reg_file|reg_read_data_1[10]~11_combout & (!\ALU_Control_unit|WideOr2~0_combout &
+// ((!\ALU_Control_unit|WideOr1~0_combout ) # (\read_data2[10]~10_combout )))) # (\reg_file|reg_read_data_1[10]~11_combout & ((!\ALU_Control_unit|WideOr2~0_combout ) # ((\ALU_Control_unit|WideOr1~0_combout & \read_data2[10]~10_combout )))) ) ) ) # (
+// !\alu_unit|Add1~37_sumout & ( !\alu_unit|Add0~37_sumout & ( (\ALU_Control_unit|WideOr1~0_combout & ((!\reg_file|reg_read_data_1[10]~11_combout & (!\ALU_Control_unit|WideOr2~0_combout & \read_data2[10]~10_combout )) #
+// (\reg_file|reg_read_data_1[10]~11_combout & ((!\ALU_Control_unit|WideOr2~0_combout ) # (\read_data2[10]~10_combout ))))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .datab(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datac(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datad(!\read_data2[10]~10_combout ),
+ .datae(!\alu_unit|Add1~37_sumout ),
+ .dataf(!\alu_unit|Add0~37_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux5~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux5~0 .extended_lut = "off";
+defparam \alu_unit|Mux5~0 .lut_mask = 64'h1031D0F11C3DDCFD;
+defparam \alu_unit|Mux5~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N12
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[5]~3 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[5]~3_combout = ( \reg_file|reg_read_data_1[12]~15_combout & ( \reg_file|reg_read_data_1[10]~11_combout & ( (!\read_data2[0]~1_combout ) # ((!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[11]~13_combout ))) #
+// (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[13]~27_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[12]~15_combout & ( \reg_file|reg_read_data_1[10]~11_combout & ( (!\read_data2[0]~1_combout & (!\read_data2[1]~0_combout )) #
+// (\read_data2[0]~1_combout & ((!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[11]~13_combout ))) # (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[13]~27_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[12]~15_combout & (
+// !\reg_file|reg_read_data_1[10]~11_combout & ( (!\read_data2[0]~1_combout & (\read_data2[1]~0_combout )) # (\read_data2[0]~1_combout & ((!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[11]~13_combout ))) # (\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[13]~27_combout )))) ) ) ) # ( !\reg_file|reg_read_data_1[12]~15_combout & ( !\reg_file|reg_read_data_1[10]~11_combout & ( (\read_data2[0]~1_combout & ((!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[11]~13_combout
+// ))) # (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[13]~27_combout )))) ) ) )
+
+ .dataa(!\read_data2[0]~1_combout ),
+ .datab(!\read_data2[1]~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[13]~27_combout ),
+ .datad(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .datae(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .dataf(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[5]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[5]~3 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[5]~3 .lut_mask = 64'h0145236789CDABEF;
+defparam \alu_unit|shifter_right|st2[5]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y11_N42
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[10]~11 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[10]~11_combout = ( \reg_file|reg_read_data_1[9]~9_combout & ( \read_data2[1]~0_combout & ( (!\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[8]~23_combout )) # (\read_data2[0]~1_combout &
+// ((\reg_file|reg_read_data_1[7]~21_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[9]~9_combout & ( \read_data2[1]~0_combout & ( (!\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[8]~23_combout )) # (\read_data2[0]~1_combout &
+// ((\reg_file|reg_read_data_1[7]~21_combout ))) ) ) ) # ( \reg_file|reg_read_data_1[9]~9_combout & ( !\read_data2[1]~0_combout & ( (\read_data2[0]~1_combout ) # (\reg_file|reg_read_data_1[10]~11_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[9]~9_combout
+// & ( !\read_data2[1]~0_combout & ( (\reg_file|reg_read_data_1[10]~11_combout & !\read_data2[0]~1_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .datab(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .datac(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datad(!\read_data2[0]~1_combout ),
+ .datae(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .dataf(!\read_data2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[10]~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[10]~11 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[10]~11 .lut_mask = 64'h330033FF550F550F;
+defparam \alu_unit|shifter_left|st2[10]~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N9
+cyclonev_lcell_comb \alu_unit|Mux5~2 (
+// Equation(s):
+// \alu_unit|Mux5~2_combout = ( !\read_data2[2]~3_combout & ( \read_data2[3]~2_combout & ( (!\alu_unit|Mux6~1_combout & \alu_unit|shifter_left|st2[2]~2_combout ) ) ) ) # ( \read_data2[2]~3_combout & ( !\read_data2[3]~2_combout & (
+// (!\alu_unit|Mux6~1_combout & \alu_unit|shifter_left|st2[6]~7_combout ) ) ) ) # ( !\read_data2[2]~3_combout & ( !\read_data2[3]~2_combout & ( (\alu_unit|shifter_left|st2[10]~11_combout & !\alu_unit|Mux6~1_combout ) ) ) )
+
+ .dataa(!\alu_unit|shifter_left|st2[10]~11_combout ),
+ .datab(!\alu_unit|Mux6~1_combout ),
+ .datac(!\alu_unit|shifter_left|st2[6]~7_combout ),
+ .datad(!\alu_unit|shifter_left|st2[2]~2_combout ),
+ .datae(!\read_data2[2]~3_combout ),
+ .dataf(!\read_data2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux5~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux5~2 .extended_lut = "off";
+defparam \alu_unit|Mux5~2 .lut_mask = 64'h44440C0C00CC0000;
+defparam \alu_unit|Mux5~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y9_N3
+cyclonev_lcell_comb \alu_unit|Mux5~1 (
+// Equation(s):
+// \alu_unit|Mux5~1_combout = ( \read_data2[3]~2_combout & ( \alu_unit|shifter_right|st2[1]~5_combout & ( (\alu_unit|shifter_left|st2[1]~0_combout & ((!\ALU_Control_unit|WideOr2~0_combout & ((\read_data2[2]~3_combout ) #
+// (\ALU_Control_unit|WideOr1~0_combout ))) # (\ALU_Control_unit|WideOr2~0_combout & (!\ALU_Control_unit|WideOr1~0_combout )))) ) ) ) # ( !\read_data2[3]~2_combout & ( \alu_unit|shifter_right|st2[1]~5_combout & ( (\alu_unit|shifter_left|st2[1]~0_combout
+// & ((!\ALU_Control_unit|WideOr2~0_combout & ((\read_data2[2]~3_combout ))) # (\ALU_Control_unit|WideOr2~0_combout & (!\ALU_Control_unit|WideOr1~0_combout )))) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datab(!\alu_unit|shifter_left|st2[1]~0_combout ),
+ .datac(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datad(!\read_data2[2]~3_combout ),
+ .datae(!\read_data2[3]~2_combout ),
+ .dataf(!\alu_unit|shifter_right|st2[1]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux5~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux5~1 .extended_lut = "off";
+defparam \alu_unit|Mux5~1 .lut_mask = 64'h0000000010321232;
+defparam \alu_unit|Mux5~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N24
+cyclonev_lcell_comb \alu_unit|Mux5~4 (
+// Equation(s):
+// \alu_unit|Mux5~4_combout = ( !\alu_unit|Mux6~0_combout & ( ((!\alu_unit|Mux10~1_combout & (((\alu_unit|Mult0~18 )))) # (\alu_unit|Mux10~1_combout & (((\alu_unit|Mux5~2_combout )) # (\alu_unit|Mux5~1_combout )))) ) ) # ( \alu_unit|Mux6~0_combout & (
+// (!\alu_unit|Mux10~1_combout & ((((\alu_unit|Mult0~18 ))))) # (\alu_unit|Mux10~1_combout & (!\alu_unit|Mux6~1_combout & (\alu_unit|shifter_right|st2[5]~3_combout ))) ) )
+
+ .dataa(!\alu_unit|Mux6~1_combout ),
+ .datab(!\alu_unit|Mux10~1_combout ),
+ .datac(!\alu_unit|shifter_right|st2[5]~3_combout ),
+ .datad(!\alu_unit|Mux5~2_combout ),
+ .datae(!\alu_unit|Mux6~0_combout ),
+ .dataf(!\alu_unit|Mult0~18 ),
+ .datag(!\alu_unit|Mux5~1_combout ),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux5~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux5~4 .extended_lut = "on";
+defparam \alu_unit|Mux5~4 .lut_mask = 64'h03330202CFFFCECE;
+defparam \alu_unit|Mux5~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y12_N12
+cyclonev_lcell_comb \alu_unit|Mux5~3 (
+// Equation(s):
+// \alu_unit|Mux5~3_combout = ( \alu_unit|Mux5~4_combout & ( (\alu_unit|Mux5~0_combout ) # (\ALU_Control_unit|WideOr0~0_combout ) ) ) # ( !\alu_unit|Mux5~4_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & \alu_unit|Mux5~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(!\alu_unit|Mux5~0_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux5~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux5~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux5~3 .extended_lut = "off";
+defparam \alu_unit|Mux5~3 .lut_mask = 64'h00F000F00FFF0FFF;
+defparam \alu_unit|Mux5~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N45
+cyclonev_lcell_comb \datamem|ram~4058feeder (
+// Equation(s):
+// \datamem|ram~4058feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4058feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4058feeder .extended_lut = "off";
+defparam \datamem|ram~4058feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4058feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N46
+dffeas \datamem|ram~4058 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4058feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4058_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4058 .is_wysiwyg = "true";
+defparam \datamem|ram~4058 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y23_N14
+dffeas \datamem|ram~4042 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4042_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4042 .is_wysiwyg = "true";
+defparam \datamem|ram~4042 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y23_N26
+dffeas \datamem|ram~4090 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4090_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4090 .is_wysiwyg = "true";
+defparam \datamem|ram~4090 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y23_N56
+dffeas \datamem|ram~4074 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4074_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4074 .is_wysiwyg = "true";
+defparam \datamem|ram~4074 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y23_N54
+cyclonev_lcell_comb \datamem|ram~4687 (
+// Equation(s):
+// \datamem|ram~4687_combout = ( \datamem|ram~4074_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4090_q ) ) ) ) # ( !\datamem|ram~4074_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~4090_q &
+// \alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~4074_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4042_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4058_q )) ) ) ) # ( !\datamem|ram~4074_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4042_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4058_q )) ) ) )
+
+ .dataa(!\datamem|ram~4058_q ),
+ .datab(!\datamem|ram~4042_q ),
+ .datac(!\datamem|ram~4090_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4074_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4687_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4687 .extended_lut = "off";
+defparam \datamem|ram~4687 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~4687 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N27
+cyclonev_lcell_comb \datamem|ram~3786feeder (
+// Equation(s):
+// \datamem|ram~3786feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3786feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3786feeder .extended_lut = "off";
+defparam \datamem|ram~3786feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3786feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N29
+dffeas \datamem|ram~3786 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3786feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3786_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3786 .is_wysiwyg = "true";
+defparam \datamem|ram~3786 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N33
+cyclonev_lcell_comb \datamem|ram~3818feeder (
+// Equation(s):
+// \datamem|ram~3818feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3818feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3818feeder .extended_lut = "off";
+defparam \datamem|ram~3818feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3818feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N34
+dffeas \datamem|ram~3818 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3818feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3818_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3818 .is_wysiwyg = "true";
+defparam \datamem|ram~3818 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N27
+cyclonev_lcell_comb \datamem|ram~3802feeder (
+// Equation(s):
+// \datamem|ram~3802feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3802feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3802feeder .extended_lut = "off";
+defparam \datamem|ram~3802feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3802feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N29
+dffeas \datamem|ram~3802 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3802feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3802_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3802 .is_wysiwyg = "true";
+defparam \datamem|ram~3802 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N20
+dffeas \datamem|ram~3834 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3834_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3834 .is_wysiwyg = "true";
+defparam \datamem|ram~3834 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N18
+cyclonev_lcell_comb \datamem|ram~4686 (
+// Equation(s):
+// \datamem|ram~4686_combout = ( \datamem|ram~3834_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3802_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~3834_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~3802_q ) ) ) ) # ( \datamem|ram~3834_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3786_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3818_q ))) ) ) ) # ( !\datamem|ram~3834_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3786_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3818_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3786_q ),
+ .datab(!\datamem|ram~3818_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3802_q ),
+ .datae(!\datamem|ram~3834_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4686_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4686 .extended_lut = "off";
+defparam \datamem|ram~4686 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4686 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N30
+cyclonev_lcell_comb \datamem|ram~3290feeder (
+// Equation(s):
+// \datamem|ram~3290feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3290feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3290feeder .extended_lut = "off";
+defparam \datamem|ram~3290feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3290feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N31
+dffeas \datamem|ram~3290 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3290feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3290_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3290 .is_wysiwyg = "true";
+defparam \datamem|ram~3290 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N40
+dffeas \datamem|ram~3274 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3274_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3274 .is_wysiwyg = "true";
+defparam \datamem|ram~3274 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y22_N36
+cyclonev_lcell_comb \datamem|ram~3306feeder (
+// Equation(s):
+// \datamem|ram~3306feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3306feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3306feeder .extended_lut = "off";
+defparam \datamem|ram~3306feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3306feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y22_N37
+dffeas \datamem|ram~3306 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3306feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3306_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3306 .is_wysiwyg = "true";
+defparam \datamem|ram~3306 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y22_N2
+dffeas \datamem|ram~3322 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3322_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3322 .is_wysiwyg = "true";
+defparam \datamem|ram~3322 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y22_N0
+cyclonev_lcell_comb \datamem|ram~4684 (
+// Equation(s):
+// \datamem|ram~4684_combout = ( \datamem|ram~3322_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3290_q ) ) ) ) # ( !\datamem|ram~3322_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3290_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3322_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3274_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3306_q ))) ) ) ) # ( !\datamem|ram~3322_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3274_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3306_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3290_q ),
+ .datab(!\datamem|ram~3274_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3306_q ),
+ .datae(!\datamem|ram~3322_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4684_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4684 .extended_lut = "off";
+defparam \datamem|ram~4684 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4684 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y8_N36
+cyclonev_lcell_comb \datamem|ram~3530feeder (
+// Equation(s):
+// \datamem|ram~3530feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3530feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3530feeder .extended_lut = "off";
+defparam \datamem|ram~3530feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3530feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y8_N37
+dffeas \datamem|ram~3530 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3530feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3530_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3530 .is_wysiwyg = "true";
+defparam \datamem|ram~3530 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N9
+cyclonev_lcell_comb \datamem|ram~3546feeder (
+// Equation(s):
+// \datamem|ram~3546feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3546feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3546feeder .extended_lut = "off";
+defparam \datamem|ram~3546feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3546feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N11
+dffeas \datamem|ram~3546 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3546feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3546_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3546 .is_wysiwyg = "true";
+defparam \datamem|ram~3546 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N29
+dffeas \datamem|ram~3562 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3562_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3562 .is_wysiwyg = "true";
+defparam \datamem|ram~3562 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N50
+dffeas \datamem|ram~3578 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3578_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3578 .is_wysiwyg = "true";
+defparam \datamem|ram~3578 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N48
+cyclonev_lcell_comb \datamem|ram~4685 (
+// Equation(s):
+// \datamem|ram~4685_combout = ( \datamem|ram~3578_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3546_q ) ) ) ) # ( !\datamem|ram~3578_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3546_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3578_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3530_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3562_q ))) ) ) ) # ( !\datamem|ram~3578_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3530_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3562_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3530_q ),
+ .datab(!\datamem|ram~3546_q ),
+ .datac(!\datamem|ram~3562_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3578_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4685_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4685 .extended_lut = "off";
+defparam \datamem|ram~4685 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4685 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N24
+cyclonev_lcell_comb \datamem|ram~4688 (
+// Equation(s):
+// \datamem|ram~4688_combout = ( \datamem|ram~4684_combout & ( \datamem|ram~4685_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4686_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4687_combout ))) ) )
+// ) # ( !\datamem|ram~4684_combout & ( \datamem|ram~4685_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout )) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4686_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4687_combout )))) ) ) ) # ( \datamem|ram~4684_combout & ( !\datamem|ram~4685_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout )) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4686_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4687_combout )))) ) ) ) # ( !\datamem|ram~4684_combout & ( !\datamem|ram~4685_combout & ( (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4686_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4687_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4687_combout ),
+ .datad(!\datamem|ram~4686_combout ),
+ .datae(!\datamem|ram~4684_combout ),
+ .dataf(!\datamem|ram~4685_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4688_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4688 .extended_lut = "off";
+defparam \datamem|ram~4688 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~4688 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y21_N24
+cyclonev_lcell_comb \datamem|ram~3914feeder (
+// Equation(s):
+// \datamem|ram~3914feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3914feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3914feeder .extended_lut = "off";
+defparam \datamem|ram~3914feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3914feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y21_N25
+dffeas \datamem|ram~3914 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3914feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3914_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3914 .is_wysiwyg = "true";
+defparam \datamem|ram~3914 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N28
+dffeas \datamem|ram~3930 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3930_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3930 .is_wysiwyg = "true";
+defparam \datamem|ram~3930 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N9
+cyclonev_lcell_comb \datamem|ram~3946feeder (
+// Equation(s):
+// \datamem|ram~3946feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3946feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3946feeder .extended_lut = "off";
+defparam \datamem|ram~3946feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3946feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N11
+dffeas \datamem|ram~3946 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3946feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3946_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3946 .is_wysiwyg = "true";
+defparam \datamem|ram~3946 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y4_N38
+dffeas \datamem|ram~3962 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3962_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3962 .is_wysiwyg = "true";
+defparam \datamem|ram~3962 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y4_N36
+cyclonev_lcell_comb \datamem|ram~4677 (
+// Equation(s):
+// \datamem|ram~4677_combout = ( \datamem|ram~3962_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3930_q ) ) ) ) # ( !\datamem|ram~3962_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3930_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3962_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3914_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3946_q ))) ) ) ) # ( !\datamem|ram~3962_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3914_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3946_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3914_q ),
+ .datab(!\datamem|ram~3930_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3946_q ),
+ .datae(!\datamem|ram~3962_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4677_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4677 .extended_lut = "off";
+defparam \datamem|ram~4677 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4677 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N52
+dffeas \datamem|ram~3434 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3434_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3434 .is_wysiwyg = "true";
+defparam \datamem|ram~3434 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y10_N6
+cyclonev_lcell_comb \datamem|ram~3418feeder (
+// Equation(s):
+// \datamem|ram~3418feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3418feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3418feeder .extended_lut = "off";
+defparam \datamem|ram~3418feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3418feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y10_N8
+dffeas \datamem|ram~3418 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3418feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3418_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3418 .is_wysiwyg = "true";
+defparam \datamem|ram~3418 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N59
+dffeas \datamem|ram~3402 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3402_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3402 .is_wysiwyg = "true";
+defparam \datamem|ram~3402 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y4_N44
+dffeas \datamem|ram~3450 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3450_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3450 .is_wysiwyg = "true";
+defparam \datamem|ram~3450 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y4_N42
+cyclonev_lcell_comb \datamem|ram~4675 (
+// Equation(s):
+// \datamem|ram~4675_combout = ( \datamem|ram~3450_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3418_q ) ) ) ) # ( !\datamem|ram~3450_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3418_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3450_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3402_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3434_q )) ) ) ) # ( !\datamem|ram~3450_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3402_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3434_q )) ) ) )
+
+ .dataa(!\datamem|ram~3434_q ),
+ .datab(!\datamem|ram~3418_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3402_q ),
+ .datae(!\datamem|ram~3450_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4675_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4675 .extended_lut = "off";
+defparam \datamem|ram~4675 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4675 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N58
+dffeas \datamem|ram~3178 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3178_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3178 .is_wysiwyg = "true";
+defparam \datamem|ram~3178 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N11
+dffeas \datamem|ram~3146 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3146_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3146 .is_wysiwyg = "true";
+defparam \datamem|ram~3146 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y20_N54
+cyclonev_lcell_comb \datamem|ram~3162feeder (
+// Equation(s):
+// \datamem|ram~3162feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3162feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3162feeder .extended_lut = "off";
+defparam \datamem|ram~3162feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3162feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N55
+dffeas \datamem|ram~3162 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3162feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3162_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3162 .is_wysiwyg = "true";
+defparam \datamem|ram~3162 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y4_N2
+dffeas \datamem|ram~3194 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3194_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3194 .is_wysiwyg = "true";
+defparam \datamem|ram~3194 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y4_N0
+cyclonev_lcell_comb \datamem|ram~4674 (
+// Equation(s):
+// \datamem|ram~4674_combout = ( \datamem|ram~3194_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3162_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~3194_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~3162_q ) ) ) ) # ( \datamem|ram~3194_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3146_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3178_q )) ) ) ) # ( !\datamem|ram~3194_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3146_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3178_q )) ) ) )
+
+ .dataa(!\datamem|ram~3178_q ),
+ .datab(!\datamem|ram~3146_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3162_q ),
+ .datae(!\datamem|ram~3194_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4674_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4674 .extended_lut = "off";
+defparam \datamem|ram~4674 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4674 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y18_N24
+cyclonev_lcell_comb \datamem|ram~3674feeder (
+// Equation(s):
+// \datamem|ram~3674feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3674feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3674feeder .extended_lut = "off";
+defparam \datamem|ram~3674feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3674feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y18_N25
+dffeas \datamem|ram~3674 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3674feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3674_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3674 .is_wysiwyg = "true";
+defparam \datamem|ram~3674 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N42
+cyclonev_lcell_comb \datamem|ram~3690feeder (
+// Equation(s):
+// \datamem|ram~3690feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3690feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3690feeder .extended_lut = "off";
+defparam \datamem|ram~3690feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3690feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N44
+dffeas \datamem|ram~3690 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3690feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3690_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3690 .is_wysiwyg = "true";
+defparam \datamem|ram~3690 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N2
+dffeas \datamem|ram~3658 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3658_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3658 .is_wysiwyg = "true";
+defparam \datamem|ram~3658 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N8
+dffeas \datamem|ram~3706 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3706_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3706 .is_wysiwyg = "true";
+defparam \datamem|ram~3706 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N6
+cyclonev_lcell_comb \datamem|ram~4676 (
+// Equation(s):
+// \datamem|ram~4676_combout = ( \datamem|ram~3706_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3674_q ) ) ) ) # ( !\datamem|ram~3706_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3674_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3706_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3658_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3690_q )) ) ) ) # ( !\datamem|ram~3706_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3658_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3690_q )) ) ) )
+
+ .dataa(!\datamem|ram~3674_q ),
+ .datab(!\datamem|ram~3690_q ),
+ .datac(!\datamem|ram~3658_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3706_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4676_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4676 .extended_lut = "off";
+defparam \datamem|ram~4676 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4676 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y4_N30
+cyclonev_lcell_comb \datamem|ram~4678 (
+// Equation(s):
+// \datamem|ram~4678_combout = ( \datamem|ram~4674_combout & ( \datamem|ram~4676_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4675_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4677_combout ))) ) ) )
+// # ( !\datamem|ram~4674_combout & ( \datamem|ram~4676_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~4675_combout )))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4677_combout
+// )))) ) ) ) # ( \datamem|ram~4674_combout & ( !\datamem|ram~4676_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4675_combout )))) # (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4677_combout ))) ) ) ) # ( !\datamem|ram~4674_combout & ( !\datamem|ram~4676_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4675_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4677_combout
+// )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4677_combout ),
+ .datad(!\datamem|ram~4675_combout ),
+ .datae(!\datamem|ram~4674_combout ),
+ .dataf(!\datamem|ram~4676_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4678_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4678 .extended_lut = "off";
+defparam \datamem|ram~4678 .lut_mask = 64'h012389AB4567CDEF;
+defparam \datamem|ram~4678 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y5_N15
+cyclonev_lcell_comb \datamem|ram~3338feeder (
+// Equation(s):
+// \datamem|ram~3338feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3338feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3338feeder .extended_lut = "off";
+defparam \datamem|ram~3338feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3338feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N16
+dffeas \datamem|ram~3338 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3338feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3338_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3338 .is_wysiwyg = "true";
+defparam \datamem|ram~3338 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N3
+cyclonev_lcell_comb \datamem|ram~3370feeder (
+// Equation(s):
+// \datamem|ram~3370feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3370feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3370feeder .extended_lut = "off";
+defparam \datamem|ram~3370feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3370feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N4
+dffeas \datamem|ram~3370 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3370feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3370_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3370 .is_wysiwyg = "true";
+defparam \datamem|ram~3370 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N15
+cyclonev_lcell_comb \datamem|ram~3354feeder (
+// Equation(s):
+// \datamem|ram~3354feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3354feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3354feeder .extended_lut = "off";
+defparam \datamem|ram~3354feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3354feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N17
+dffeas \datamem|ram~3354 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3354feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3354_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3354 .is_wysiwyg = "true";
+defparam \datamem|ram~3354 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y22_N17
+dffeas \datamem|ram~3386 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3386_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3386 .is_wysiwyg = "true";
+defparam \datamem|ram~3386 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y22_N15
+cyclonev_lcell_comb \datamem|ram~4670 (
+// Equation(s):
+// \datamem|ram~4670_combout = ( \datamem|ram~3386_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3354_q ) ) ) ) # ( !\datamem|ram~3386_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3354_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3386_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3338_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3370_q ))) ) ) ) # ( !\datamem|ram~3386_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3338_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3370_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3338_q ),
+ .datab(!\datamem|ram~3370_q ),
+ .datac(!\datamem|ram~3354_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3386_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4670_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4670 .extended_lut = "off";
+defparam \datamem|ram~4670 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4670 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N42
+cyclonev_lcell_comb \datamem|ram~3098feeder (
+// Equation(s):
+// \datamem|ram~3098feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3098feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3098feeder .extended_lut = "off";
+defparam \datamem|ram~3098feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3098feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N44
+dffeas \datamem|ram~3098 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3098feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3098_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3098 .is_wysiwyg = "true";
+defparam \datamem|ram~3098 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y22_N37
+dffeas \datamem|ram~3082 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3082_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3082 .is_wysiwyg = "true";
+defparam \datamem|ram~3082 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N59
+dffeas \datamem|ram~3114 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3114_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3114 .is_wysiwyg = "true";
+defparam \datamem|ram~3114 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y22_N35
+dffeas \datamem|ram~3130 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3130_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3130 .is_wysiwyg = "true";
+defparam \datamem|ram~3130 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y22_N33
+cyclonev_lcell_comb \datamem|ram~4669 (
+// Equation(s):
+// \datamem|ram~4669_combout = ( \datamem|ram~3130_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3098_q ) ) ) ) # ( !\datamem|ram~3130_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3098_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3130_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3082_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3114_q ))) ) ) ) # ( !\datamem|ram~3130_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3082_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3114_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3098_q ),
+ .datab(!\datamem|ram~3082_q ),
+ .datac(!\datamem|ram~3114_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3130_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4669_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4669 .extended_lut = "off";
+defparam \datamem|ram~4669 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4669 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N1
+dffeas \datamem|ram~3898 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3898_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3898 .is_wysiwyg = "true";
+defparam \datamem|ram~3898 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y22_N30
+cyclonev_lcell_comb \datamem|ram~3866feeder (
+// Equation(s):
+// \datamem|ram~3866feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3866feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3866feeder .extended_lut = "off";
+defparam \datamem|ram~3866feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3866feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y22_N31
+dffeas \datamem|ram~3866 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3866feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3866_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3866 .is_wysiwyg = "true";
+defparam \datamem|ram~3866 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y22_N57
+cyclonev_lcell_comb \datamem|ram~3850feeder (
+// Equation(s):
+// \datamem|ram~3850feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3850feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3850feeder .extended_lut = "off";
+defparam \datamem|ram~3850feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3850feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y22_N58
+dffeas \datamem|ram~3850 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3850feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3850_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3850 .is_wysiwyg = "true";
+defparam \datamem|ram~3850 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N58
+dffeas \datamem|ram~3882 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3882_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3882 .is_wysiwyg = "true";
+defparam \datamem|ram~3882 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y22_N54
+cyclonev_lcell_comb \datamem|ram~4672 (
+// Equation(s):
+// \datamem|ram~4672_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3898_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3866_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~3882_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~3850_q ) ) )
+
+ .dataa(!\datamem|ram~3898_q ),
+ .datab(!\datamem|ram~3866_q ),
+ .datac(!\datamem|ram~3850_q ),
+ .datad(!\datamem|ram~3882_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4672_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4672 .extended_lut = "off";
+defparam \datamem|ram~4672 .lut_mask = 64'h0F0F00FF33335555;
+defparam \datamem|ram~4672 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y19_N27
+cyclonev_lcell_comb \datamem|ram~3626feeder (
+// Equation(s):
+// \datamem|ram~3626feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3626feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3626feeder .extended_lut = "off";
+defparam \datamem|ram~3626feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3626feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N28
+dffeas \datamem|ram~3626 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3626feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3626_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3626 .is_wysiwyg = "true";
+defparam \datamem|ram~3626 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N35
+dffeas \datamem|ram~3610 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3610_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3610 .is_wysiwyg = "true";
+defparam \datamem|ram~3610 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N54
+cyclonev_lcell_comb \datamem|ram~3594feeder (
+// Equation(s):
+// \datamem|ram~3594feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3594feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3594feeder .extended_lut = "off";
+defparam \datamem|ram~3594feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3594feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N55
+dffeas \datamem|ram~3594 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3594feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3594_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3594 .is_wysiwyg = "true";
+defparam \datamem|ram~3594 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N32
+dffeas \datamem|ram~3642 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3642_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3642 .is_wysiwyg = "true";
+defparam \datamem|ram~3642 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N30
+cyclonev_lcell_comb \datamem|ram~4671 (
+// Equation(s):
+// \datamem|ram~4671_combout = ( \datamem|ram~3642_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3626_q ) ) ) ) # ( !\datamem|ram~3642_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3626_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3642_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3594_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3610_q )) ) ) ) # ( !\datamem|ram~3642_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3594_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3610_q )) ) ) )
+
+ .dataa(!\datamem|ram~3626_q ),
+ .datab(!\datamem|ram~3610_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3594_q ),
+ .datae(!\datamem|ram~3642_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4671_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4671 .extended_lut = "off";
+defparam \datamem|ram~4671 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4671 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y22_N0
+cyclonev_lcell_comb \datamem|ram~4673 (
+// Equation(s):
+// \datamem|ram~4673_combout = ( \datamem|ram~4672_combout & ( \datamem|ram~4671_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4669_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4670_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4672_combout & ( \datamem|ram~4671_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) # (\datamem|ram~4669_combout )))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4670_combout & ((!\alu_unit|Mux9~4_combout
+// )))) ) ) ) # ( \datamem|ram~4672_combout & ( !\datamem|ram~4671_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4669_combout & !\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) #
+// (\datamem|ram~4670_combout ))) ) ) ) # ( !\datamem|ram~4672_combout & ( !\datamem|ram~4671_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4669_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4670_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\datamem|ram~4670_combout ),
+ .datac(!\datamem|ram~4669_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4672_combout ),
+ .dataf(!\datamem|ram~4671_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4673_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4673 .extended_lut = "off";
+defparam \datamem|ram~4673 .lut_mask = 64'h1B001B551BAA1BFF;
+defparam \datamem|ram~4673 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y18_N18
+cyclonev_lcell_comb \datamem|ram~3770feeder (
+// Equation(s):
+// \datamem|ram~3770feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3770feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3770feeder .extended_lut = "off";
+defparam \datamem|ram~3770feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3770feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y18_N19
+dffeas \datamem|ram~3770 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3770feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3770_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3770 .is_wysiwyg = "true";
+defparam \datamem|ram~3770 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N30
+cyclonev_lcell_comb \datamem|ram~3514feeder (
+// Equation(s):
+// \datamem|ram~3514feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3514feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3514feeder .extended_lut = "off";
+defparam \datamem|ram~3514feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3514feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N32
+dffeas \datamem|ram~3514 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3514feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3514_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3514 .is_wysiwyg = "true";
+defparam \datamem|ram~3514 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N18
+cyclonev_lcell_comb \datamem|ram~3258feeder (
+// Equation(s):
+// \datamem|ram~3258feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3258feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3258feeder .extended_lut = "off";
+defparam \datamem|ram~3258feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3258feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N19
+dffeas \datamem|ram~3258 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3258feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3258_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3258 .is_wysiwyg = "true";
+defparam \datamem|ram~3258 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N26
+dffeas \datamem|ram~4026 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4026_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4026 .is_wysiwyg = "true";
+defparam \datamem|ram~4026 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N24
+cyclonev_lcell_comb \datamem|ram~4682 (
+// Equation(s):
+// \datamem|ram~4682_combout = ( \datamem|ram~4026_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3770_q ) ) ) ) # ( !\datamem|ram~4026_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3770_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4026_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3258_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3514_q )) ) ) ) # ( !\datamem|ram~4026_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3258_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3514_q )) ) ) )
+
+ .dataa(!\datamem|ram~3770_q ),
+ .datab(!\datamem|ram~3514_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3258_q ),
+ .datae(!\datamem|ram~4026_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4682_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4682 .extended_lut = "off";
+defparam \datamem|ram~4682 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4682 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N38
+dffeas \datamem|ram~3482 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3482_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3482 .is_wysiwyg = "true";
+defparam \datamem|ram~3482 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N6
+cyclonev_lcell_comb \datamem|ram~3226feeder (
+// Equation(s):
+// \datamem|ram~3226feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3226feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3226feeder .extended_lut = "off";
+defparam \datamem|ram~3226feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3226feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N8
+dffeas \datamem|ram~3226 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3226feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3226_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3226 .is_wysiwyg = "true";
+defparam \datamem|ram~3226 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N45
+cyclonev_lcell_comb \datamem|ram~3738feeder (
+// Equation(s):
+// \datamem|ram~3738feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3738feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3738feeder .extended_lut = "off";
+defparam \datamem|ram~3738feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3738feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N46
+dffeas \datamem|ram~3738 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3738feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3738_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3738 .is_wysiwyg = "true";
+defparam \datamem|ram~3738 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N26
+dffeas \datamem|ram~3994 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3994_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3994 .is_wysiwyg = "true";
+defparam \datamem|ram~3994 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N24
+cyclonev_lcell_comb \datamem|ram~4680 (
+// Equation(s):
+// \datamem|ram~4680_combout = ( \datamem|ram~3994_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3738_q ) ) ) ) # ( !\datamem|ram~3994_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3738_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3994_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3226_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3482_q )) ) ) ) # ( !\datamem|ram~3994_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3226_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3482_q )) ) ) )
+
+ .dataa(!\datamem|ram~3482_q ),
+ .datab(!\datamem|ram~3226_q ),
+ .datac(!\datamem|ram~3738_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3994_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4680_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4680 .extended_lut = "off";
+defparam \datamem|ram~4680 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4680 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N0
+cyclonev_lcell_comb \datamem|ram~3754feeder (
+// Equation(s):
+// \datamem|ram~3754feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3754feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3754feeder .extended_lut = "off";
+defparam \datamem|ram~3754feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~3754feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N1
+dffeas \datamem|ram~3754 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3754feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3754_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3754 .is_wysiwyg = "true";
+defparam \datamem|ram~3754 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N28
+dffeas \datamem|ram~3498 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3498_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3498 .is_wysiwyg = "true";
+defparam \datamem|ram~3498 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N59
+dffeas \datamem|ram~3242 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3242_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3242 .is_wysiwyg = "true";
+defparam \datamem|ram~3242 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N14
+dffeas \datamem|ram~4010 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4010_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4010 .is_wysiwyg = "true";
+defparam \datamem|ram~4010 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N12
+cyclonev_lcell_comb \datamem|ram~4681 (
+// Equation(s):
+// \datamem|ram~4681_combout = ( \datamem|ram~4010_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3754_q ) ) ) ) # ( !\datamem|ram~4010_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3754_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4010_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3242_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3498_q )) ) ) ) # ( !\datamem|ram~4010_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3242_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3498_q )) ) ) )
+
+ .dataa(!\datamem|ram~3754_q ),
+ .datab(!\datamem|ram~3498_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3242_q ),
+ .datae(!\datamem|ram~4010_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4681_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4681 .extended_lut = "off";
+defparam \datamem|ram~4681 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4681 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N31
+dffeas \datamem|ram~3466 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3466_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3466 .is_wysiwyg = "true";
+defparam \datamem|ram~3466 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N11
+dffeas \datamem|ram~3210 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3210_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3210 .is_wysiwyg = "true";
+defparam \datamem|ram~3210 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y21_N37
+dffeas \datamem|ram~3722 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3722_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3722 .is_wysiwyg = "true";
+defparam \datamem|ram~3722 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N2
+dffeas \datamem|ram~3978 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3978_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3978 .is_wysiwyg = "true";
+defparam \datamem|ram~3978 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N0
+cyclonev_lcell_comb \datamem|ram~4679 (
+// Equation(s):
+// \datamem|ram~4679_combout = ( \datamem|ram~3978_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3466_q ) ) ) ) # ( !\datamem|ram~3978_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3466_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3978_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3210_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3722_q ))) ) ) ) # ( !\datamem|ram~3978_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3210_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3722_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3466_q ),
+ .datab(!\datamem|ram~3210_q ),
+ .datac(!\datamem|ram~3722_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~3978_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4679_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4679 .extended_lut = "off";
+defparam \datamem|ram~4679 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4679 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4683 (
+// Equation(s):
+// \datamem|ram~4683_combout = ( \datamem|ram~4681_combout & ( \datamem|ram~4679_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4680_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4682_combout ))) ) )
+// ) # ( !\datamem|ram~4681_combout & ( \datamem|ram~4679_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4680_combout ))) # (\alu_unit|Mux13~4_combout
+// & (\datamem|ram~4682_combout )))) ) ) ) # ( \datamem|ram~4681_combout & ( !\datamem|ram~4679_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4680_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4682_combout )))) ) ) ) # ( !\datamem|ram~4681_combout & ( !\datamem|ram~4679_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4680_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4682_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4682_combout ),
+ .datad(!\datamem|ram~4680_combout ),
+ .datae(!\datamem|ram~4681_combout ),
+ .dataf(!\datamem|ram~4679_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4683_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4683 .extended_lut = "off";
+defparam \datamem|ram~4683 .lut_mask = 64'h0145236789CDABEF;
+defparam \datamem|ram~4683 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N54
+cyclonev_lcell_comb \datamem|ram~4689 (
+// Equation(s):
+// \datamem|ram~4689_combout = ( \datamem|ram~4673_combout & ( \datamem|ram~4683_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4678_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4688_combout ))) ) )
+// ) # ( !\datamem|ram~4673_combout & ( \datamem|ram~4683_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4678_combout ))) # (\alu_unit|Mux11~4_combout
+// & (\datamem|ram~4688_combout )))) ) ) ) # ( \datamem|ram~4673_combout & ( !\datamem|ram~4683_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4678_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4688_combout )))) ) ) ) # ( !\datamem|ram~4673_combout & ( !\datamem|ram~4683_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4678_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4688_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4688_combout ),
+ .datad(!\datamem|ram~4678_combout ),
+ .datae(!\datamem|ram~4673_combout ),
+ .dataf(!\datamem|ram~4683_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4689_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4689 .extended_lut = "off";
+defparam \datamem|ram~4689 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~4689 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N19
+dffeas \datamem|ram~2794 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2794_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2794 .is_wysiwyg = "true";
+defparam \datamem|ram~2794 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N10
+dffeas \datamem|ram~2538 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2538_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2538 .is_wysiwyg = "true";
+defparam \datamem|ram~2538 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N15
+cyclonev_lcell_comb \datamem|ram~2282feeder (
+// Equation(s):
+// \datamem|ram~2282feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2282feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2282feeder .extended_lut = "off";
+defparam \datamem|ram~2282feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2282feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N16
+dffeas \datamem|ram~2282 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2282feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2282_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2282 .is_wysiwyg = "true";
+defparam \datamem|ram~2282 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y18_N12
+cyclonev_lcell_comb \datamem|ram~3050feeder (
+// Equation(s):
+// \datamem|ram~3050feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3050feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3050feeder .extended_lut = "off";
+defparam \datamem|ram~3050feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3050feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y18_N13
+dffeas \datamem|ram~3050 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3050feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3050_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3050 .is_wysiwyg = "true";
+defparam \datamem|ram~3050 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N30
+cyclonev_lcell_comb \datamem|ram~4661 (
+// Equation(s):
+// \datamem|ram~4661_combout = ( \datamem|ram~3050_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2794_q ) ) ) ) # ( !\datamem|ram~3050_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2794_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3050_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2282_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2538_q )) ) ) ) # ( !\datamem|ram~3050_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2282_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2538_q )) ) ) )
+
+ .dataa(!\datamem|ram~2794_q ),
+ .datab(!\datamem|ram~2538_q ),
+ .datac(!\datamem|ram~2282_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3050_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4661_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4661 .extended_lut = "off";
+defparam \datamem|ram~4661 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4661 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N58
+dffeas \datamem|ram~2666 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2666_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2666 .is_wysiwyg = "true";
+defparam \datamem|ram~2666 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y10_N12
+cyclonev_lcell_comb \datamem|ram~2154feeder (
+// Equation(s):
+// \datamem|ram~2154feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2154feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2154feeder .extended_lut = "off";
+defparam \datamem|ram~2154feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2154feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y10_N13
+dffeas \datamem|ram~2154 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2154feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2154_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2154 .is_wysiwyg = "true";
+defparam \datamem|ram~2154 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N31
+dffeas \datamem|ram~2410 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2410_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2410 .is_wysiwyg = "true";
+defparam \datamem|ram~2410 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y16_N47
+dffeas \datamem|ram~2922 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2922_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2922 .is_wysiwyg = "true";
+defparam \datamem|ram~2922 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y16_N45
+cyclonev_lcell_comb \datamem|ram~4659 (
+// Equation(s):
+// \datamem|ram~4659_combout = ( \datamem|ram~2922_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2410_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~2922_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~2410_q ) ) ) ) # ( \datamem|ram~2922_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2154_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2666_q )) ) ) ) # ( !\datamem|ram~2922_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2154_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2666_q )) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~2666_q ),
+ .datac(!\datamem|ram~2154_q ),
+ .datad(!\datamem|ram~2410_q ),
+ .datae(!\datamem|ram~2922_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4659_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4659 .extended_lut = "off";
+defparam \datamem|ram~4659 .lut_mask = 64'h1B1B1B1B00AA55FF;
+defparam \datamem|ram~4659 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N47
+dffeas \datamem|ram~2090 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2090_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2090 .is_wysiwyg = "true";
+defparam \datamem|ram~2090 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N22
+dffeas \datamem|ram~2346 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2346_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2346 .is_wysiwyg = "true";
+defparam \datamem|ram~2346 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N28
+dffeas \datamem|ram~2602 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2602_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2602 .is_wysiwyg = "true";
+defparam \datamem|ram~2602 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N14
+dffeas \datamem|ram~2858 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2858_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2858 .is_wysiwyg = "true";
+defparam \datamem|ram~2858 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N12
+cyclonev_lcell_comb \datamem|ram~4658 (
+// Equation(s):
+// \datamem|ram~4658_combout = ( \datamem|ram~2858_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2346_q ) ) ) ) # ( !\datamem|ram~2858_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2346_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2858_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2090_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2602_q ))) ) ) ) # ( !\datamem|ram~2858_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2090_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2602_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2090_q ),
+ .datab(!\datamem|ram~2346_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2602_q ),
+ .datae(!\datamem|ram~2858_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4658_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4658 .extended_lut = "off";
+defparam \datamem|ram~4658 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4658 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N0
+cyclonev_lcell_comb \datamem|ram~2218feeder (
+// Equation(s):
+// \datamem|ram~2218feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2218feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2218feeder .extended_lut = "off";
+defparam \datamem|ram~2218feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2218feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N1
+dffeas \datamem|ram~2218 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2218feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2218_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2218 .is_wysiwyg = "true";
+defparam \datamem|ram~2218 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N32
+dffeas \datamem|ram~2474 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2474_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2474 .is_wysiwyg = "true";
+defparam \datamem|ram~2474 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N2
+dffeas \datamem|ram~2730 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2730_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2730 .is_wysiwyg = "true";
+defparam \datamem|ram~2730 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N49
+dffeas \datamem|ram~2986 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2986_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2986 .is_wysiwyg = "true";
+defparam \datamem|ram~2986 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N42
+cyclonev_lcell_comb \datamem|ram~4660 (
+// Equation(s):
+// \datamem|ram~4660_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2986_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2730_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~2474_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~2218_q ) ) )
+
+ .dataa(!\datamem|ram~2218_q ),
+ .datab(!\datamem|ram~2474_q ),
+ .datac(!\datamem|ram~2730_q ),
+ .datad(!\datamem|ram~2986_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4660_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4660 .extended_lut = "off";
+defparam \datamem|ram~4660 .lut_mask = 64'h555533330F0F00FF;
+defparam \datamem|ram~4660 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4662 (
+// Equation(s):
+// \datamem|ram~4662_combout = ( \datamem|ram~4658_combout & ( \datamem|ram~4660_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4659_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4661_combout ))) ) )
+// ) # ( !\datamem|ram~4658_combout & ( \datamem|ram~4660_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4659_combout ))) # (\alu_unit|Mux11~4_combout
+// & (\datamem|ram~4661_combout )))) ) ) ) # ( \datamem|ram~4658_combout & ( !\datamem|ram~4660_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4659_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4661_combout )))) ) ) ) # ( !\datamem|ram~4658_combout & ( !\datamem|ram~4660_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4659_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4661_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4661_combout ),
+ .datad(!\datamem|ram~4659_combout ),
+ .datae(!\datamem|ram~4658_combout ),
+ .dataf(!\datamem|ram~4660_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4662_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4662 .extended_lut = "off";
+defparam \datamem|ram~4662 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~4662 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N48
+cyclonev_lcell_comb \datamem|ram~2186feeder (
+// Equation(s):
+// \datamem|ram~2186feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2186feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2186feeder .extended_lut = "off";
+defparam \datamem|ram~2186feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~2186feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N50
+dffeas \datamem|ram~2186 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2186feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2186_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2186 .is_wysiwyg = "true";
+defparam \datamem|ram~2186 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y8_N27
+cyclonev_lcell_comb \datamem|ram~2698feeder (
+// Equation(s):
+// \datamem|ram~2698feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2698feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2698feeder .extended_lut = "off";
+defparam \datamem|ram~2698feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2698feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y8_N28
+dffeas \datamem|ram~2698 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2698feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2698_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2698 .is_wysiwyg = "true";
+defparam \datamem|ram~2698 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N2
+dffeas \datamem|ram~2442 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2442_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2442 .is_wysiwyg = "true";
+defparam \datamem|ram~2442 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N44
+dffeas \datamem|ram~2954 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2954_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2954 .is_wysiwyg = "true";
+defparam \datamem|ram~2954 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N42
+cyclonev_lcell_comb \datamem|ram~4650 (
+// Equation(s):
+// \datamem|ram~4650_combout = ( \datamem|ram~2954_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2698_q ) ) ) ) # ( !\datamem|ram~2954_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2698_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2954_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2186_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2442_q ))) ) ) ) # ( !\datamem|ram~2954_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2186_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2442_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2186_q ),
+ .datab(!\datamem|ram~2698_q ),
+ .datac(!\datamem|ram~2442_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2954_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4650_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4650 .extended_lut = "off";
+defparam \datamem|ram~4650 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4650 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N48
+cyclonev_lcell_comb \datamem|ram~2250feeder (
+// Equation(s):
+// \datamem|ram~2250feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2250feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2250feeder .extended_lut = "off";
+defparam \datamem|ram~2250feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2250feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N50
+dffeas \datamem|ram~2250 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2250feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2250_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2250 .is_wysiwyg = "true";
+defparam \datamem|ram~2250 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N44
+dffeas \datamem|ram~2506 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2506_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2506 .is_wysiwyg = "true";
+defparam \datamem|ram~2506 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N5
+dffeas \datamem|ram~2762 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2762_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2762 .is_wysiwyg = "true";
+defparam \datamem|ram~2762 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N56
+dffeas \datamem|ram~3018 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3018_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3018 .is_wysiwyg = "true";
+defparam \datamem|ram~3018 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N54
+cyclonev_lcell_comb \datamem|ram~4651 (
+// Equation(s):
+// \datamem|ram~4651_combout = ( \datamem|ram~3018_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2762_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~3018_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~2762_q ) ) ) ) # ( \datamem|ram~3018_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2250_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2506_q ))) ) ) ) # ( !\datamem|ram~3018_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2250_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2506_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2250_q ),
+ .datab(!\datamem|ram~2506_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2762_q ),
+ .datae(!\datamem|ram~3018_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4651_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4651 .extended_lut = "off";
+defparam \datamem|ram~4651 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4651 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N23
+dffeas \datamem|ram~2570 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2570_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2570 .is_wysiwyg = "true";
+defparam \datamem|ram~2570 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N41
+dffeas \datamem|ram~2058 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2058_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2058 .is_wysiwyg = "true";
+defparam \datamem|ram~2058 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N17
+dffeas \datamem|ram~2314 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2314_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2314 .is_wysiwyg = "true";
+defparam \datamem|ram~2314 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N44
+dffeas \datamem|ram~2826 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2826_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2826 .is_wysiwyg = "true";
+defparam \datamem|ram~2826 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N42
+cyclonev_lcell_comb \datamem|ram~4648 (
+// Equation(s):
+// \datamem|ram~4648_combout = ( \datamem|ram~2826_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2314_q ) ) ) ) # ( !\datamem|ram~2826_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2314_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2826_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2058_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2570_q )) ) ) ) # ( !\datamem|ram~2826_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2058_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2570_q )) ) ) )
+
+ .dataa(!\datamem|ram~2570_q ),
+ .datab(!\datamem|ram~2058_q ),
+ .datac(!\datamem|ram~2314_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2826_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4648_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4648 .extended_lut = "off";
+defparam \datamem|ram~4648 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4648 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y7_N59
+dffeas \datamem|ram~2634 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2634_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2634 .is_wysiwyg = "true";
+defparam \datamem|ram~2634 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N7
+dffeas \datamem|ram~2378 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2378_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2378 .is_wysiwyg = "true";
+defparam \datamem|ram~2378 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N25
+dffeas \datamem|ram~2122 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2122_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2122 .is_wysiwyg = "true";
+defparam \datamem|ram~2122 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y8_N32
+dffeas \datamem|ram~2890 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2890_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2890 .is_wysiwyg = "true";
+defparam \datamem|ram~2890 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y8_N30
+cyclonev_lcell_comb \datamem|ram~4649 (
+// Equation(s):
+// \datamem|ram~4649_combout = ( \datamem|ram~2890_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2378_q ) ) ) ) # ( !\datamem|ram~2890_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2378_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2890_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2122_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2634_q )) ) ) ) # ( !\datamem|ram~2890_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2122_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2634_q )) ) ) )
+
+ .dataa(!\datamem|ram~2634_q ),
+ .datab(!\datamem|ram~2378_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2122_q ),
+ .datae(!\datamem|ram~2890_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4649_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4649 .extended_lut = "off";
+defparam \datamem|ram~4649 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4649 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N9
+cyclonev_lcell_comb \datamem|ram~4652 (
+// Equation(s):
+// \datamem|ram~4652_combout = ( \datamem|ram~4648_combout & ( \datamem|ram~4649_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4650_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4651_combout )))) ) )
+// ) # ( !\datamem|ram~4648_combout & ( \datamem|ram~4649_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4650_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4651_combout
+// )))) ) ) ) # ( \datamem|ram~4648_combout & ( !\datamem|ram~4649_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4650_combout )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4651_combout )))) ) ) ) # ( !\datamem|ram~4648_combout & ( !\datamem|ram~4649_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4650_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~4651_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4650_combout ),
+ .datad(!\datamem|ram~4651_combout ),
+ .datae(!\datamem|ram~4648_combout ),
+ .dataf(!\datamem|ram~4649_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4652_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4652 .extended_lut = "off";
+defparam \datamem|ram~4652 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~4652 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N21
+cyclonev_lcell_comb \datamem|ram~2682feeder (
+// Equation(s):
+// \datamem|ram~2682feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2682feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2682feeder .extended_lut = "off";
+defparam \datamem|ram~2682feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2682feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N23
+dffeas \datamem|ram~2682 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2682feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2682_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2682 .is_wysiwyg = "true";
+defparam \datamem|ram~2682 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N52
+dffeas \datamem|ram~2746 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2746_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2746 .is_wysiwyg = "true";
+defparam \datamem|ram~2746 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N0
+cyclonev_lcell_comb \datamem|ram~2618feeder (
+// Equation(s):
+// \datamem|ram~2618feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2618feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2618feeder .extended_lut = "off";
+defparam \datamem|ram~2618feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~2618feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N1
+dffeas \datamem|ram~2618 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2618feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2618_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2618 .is_wysiwyg = "true";
+defparam \datamem|ram~2618 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y9_N17
+dffeas \datamem|ram~2810 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2810_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2810 .is_wysiwyg = "true";
+defparam \datamem|ram~2810 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N15
+cyclonev_lcell_comb \datamem|ram~4665 (
+// Equation(s):
+// \datamem|ram~4665_combout = ( \datamem|ram~2810_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2682_q ) ) ) ) # ( !\datamem|ram~2810_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2682_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2810_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2618_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2746_q )) ) ) ) # ( !\datamem|ram~2810_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2618_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2746_q )) ) ) )
+
+ .dataa(!\datamem|ram~2682_q ),
+ .datab(!\datamem|ram~2746_q ),
+ .datac(!\datamem|ram~2618_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2810_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4665_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4665 .extended_lut = "off";
+defparam \datamem|ram~4665 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4665 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y12_N12
+cyclonev_lcell_comb \datamem|ram~2234feeder (
+// Equation(s):
+// \datamem|ram~2234feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2234feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2234feeder .extended_lut = "off";
+defparam \datamem|ram~2234feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2234feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N13
+dffeas \datamem|ram~2234 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2234feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2234_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2234 .is_wysiwyg = "true";
+defparam \datamem|ram~2234 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N3
+cyclonev_lcell_comb \datamem|ram~2106feeder (
+// Equation(s):
+// \datamem|ram~2106feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2106feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2106feeder .extended_lut = "off";
+defparam \datamem|ram~2106feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2106feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N4
+dffeas \datamem|ram~2106 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2106feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2106_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2106 .is_wysiwyg = "true";
+defparam \datamem|ram~2106 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N30
+cyclonev_lcell_comb \datamem|ram~2170feeder (
+// Equation(s):
+// \datamem|ram~2170feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2170feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2170feeder .extended_lut = "off";
+defparam \datamem|ram~2170feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2170feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N31
+dffeas \datamem|ram~2170 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2170feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2170_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2170 .is_wysiwyg = "true";
+defparam \datamem|ram~2170 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N50
+dffeas \datamem|ram~2298 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2298_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2298 .is_wysiwyg = "true";
+defparam \datamem|ram~2298 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N48
+cyclonev_lcell_comb \datamem|ram~4663 (
+// Equation(s):
+// \datamem|ram~4663_combout = ( \datamem|ram~2298_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2234_q ) ) ) ) # ( !\datamem|ram~2298_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2234_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2298_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2106_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2170_q ))) ) ) ) # ( !\datamem|ram~2298_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2106_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2170_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2234_q ),
+ .datab(!\datamem|ram~2106_q ),
+ .datac(!\datamem|ram~2170_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2298_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4663_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4663 .extended_lut = "off";
+defparam \datamem|ram~4663 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4663 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y18_N54
+cyclonev_lcell_comb \datamem|ram~3002feeder (
+// Equation(s):
+// \datamem|ram~3002feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3002feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3002feeder .extended_lut = "off";
+defparam \datamem|ram~3002feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3002feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y18_N55
+dffeas \datamem|ram~3002 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3002feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3002_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3002 .is_wysiwyg = "true";
+defparam \datamem|ram~3002 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N15
+cyclonev_lcell_comb \datamem|ram~2938feeder (
+// Equation(s):
+// \datamem|ram~2938feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2938feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2938feeder .extended_lut = "off";
+defparam \datamem|ram~2938feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~2938feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N16
+dffeas \datamem|ram~2938 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2938feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2938_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2938 .is_wysiwyg = "true";
+defparam \datamem|ram~2938 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y10_N7
+dffeas \datamem|ram~2874 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2874_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2874 .is_wysiwyg = "true";
+defparam \datamem|ram~2874 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N2
+dffeas \datamem|ram~3066 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3066_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3066 .is_wysiwyg = "true";
+defparam \datamem|ram~3066 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N0
+cyclonev_lcell_comb \datamem|ram~4666 (
+// Equation(s):
+// \datamem|ram~4666_combout = ( \datamem|ram~3066_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3002_q ) ) ) ) # ( !\datamem|ram~3066_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3002_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3066_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2874_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2938_q )) ) ) ) # ( !\datamem|ram~3066_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2874_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2938_q )) ) ) )
+
+ .dataa(!\datamem|ram~3002_q ),
+ .datab(!\datamem|ram~2938_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2874_q ),
+ .datae(!\datamem|ram~3066_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4666_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4666 .extended_lut = "off";
+defparam \datamem|ram~4666 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4666 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N53
+dffeas \datamem|ram~2362 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2362_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2362 .is_wysiwyg = "true";
+defparam \datamem|ram~2362 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y22_N3
+cyclonev_lcell_comb \datamem|ram~2426feeder (
+// Equation(s):
+// \datamem|ram~2426feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2426feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2426feeder .extended_lut = "off";
+defparam \datamem|ram~2426feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2426feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N5
+dffeas \datamem|ram~2426 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2426feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2426_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2426 .is_wysiwyg = "true";
+defparam \datamem|ram~2426 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N24
+cyclonev_lcell_comb \datamem|ram~2490feeder (
+// Equation(s):
+// \datamem|ram~2490feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2490feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2490feeder .extended_lut = "off";
+defparam \datamem|ram~2490feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~2490feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N26
+dffeas \datamem|ram~2490 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2490feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2490_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2490 .is_wysiwyg = "true";
+defparam \datamem|ram~2490 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N8
+dffeas \datamem|ram~2554 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2554_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2554 .is_wysiwyg = "true";
+defparam \datamem|ram~2554 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y19_N6
+cyclonev_lcell_comb \datamem|ram~4664 (
+// Equation(s):
+// \datamem|ram~4664_combout = ( \datamem|ram~2554_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2490_q ) ) ) ) # ( !\datamem|ram~2554_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2490_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2554_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2362_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2426_q ))) ) ) ) # ( !\datamem|ram~2554_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2362_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2426_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2362_q ),
+ .datab(!\datamem|ram~2426_q ),
+ .datac(!\datamem|ram~2490_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2554_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4664_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4664 .extended_lut = "off";
+defparam \datamem|ram~4664 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4664 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N0
+cyclonev_lcell_comb \datamem|ram~4667 (
+// Equation(s):
+// \datamem|ram~4667_combout = ( \datamem|ram~4666_combout & ( \datamem|ram~4664_combout & ( ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4663_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4665_combout ))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~4666_combout & ( \datamem|ram~4664_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4663_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~4665_combout )))
+// ) ) ) # ( \datamem|ram~4666_combout & ( !\datamem|ram~4664_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4663_combout )))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~4665_combout )) #
+// (\alu_unit|Mux10~6_combout ))) ) ) ) # ( !\datamem|ram~4666_combout & ( !\datamem|ram~4664_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4663_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4665_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4665_combout ),
+ .datad(!\datamem|ram~4663_combout ),
+ .datae(!\datamem|ram~4666_combout ),
+ .dataf(!\datamem|ram~4664_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4667_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4667 .extended_lut = "off";
+defparam \datamem|ram~4667 .lut_mask = 64'h048C159D26AE37BF;
+defparam \datamem|ram~4667 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N0
+cyclonev_lcell_comb \datamem|ram~2394feeder (
+// Equation(s):
+// \datamem|ram~2394feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2394feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2394feeder .extended_lut = "off";
+defparam \datamem|ram~2394feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2394feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N2
+dffeas \datamem|ram~2394 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2394feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2394_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2394 .is_wysiwyg = "true";
+defparam \datamem|ram~2394 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N30
+cyclonev_lcell_comb \datamem|ram~2138feeder (
+// Equation(s):
+// \datamem|ram~2138feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2138feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2138feeder .extended_lut = "off";
+defparam \datamem|ram~2138feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2138feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N32
+dffeas \datamem|ram~2138 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2138feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2138_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2138 .is_wysiwyg = "true";
+defparam \datamem|ram~2138 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N1
+dffeas \datamem|ram~2650 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2650_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2650 .is_wysiwyg = "true";
+defparam \datamem|ram~2650 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N44
+dffeas \datamem|ram~2906 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2906_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2906 .is_wysiwyg = "true";
+defparam \datamem|ram~2906 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N42
+cyclonev_lcell_comb \datamem|ram~4654 (
+// Equation(s):
+// \datamem|ram~4654_combout = ( \datamem|ram~2906_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2650_q ) ) ) ) # ( !\datamem|ram~2906_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2650_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2906_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2138_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2394_q )) ) ) ) # ( !\datamem|ram~2906_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2138_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2394_q )) ) ) )
+
+ .dataa(!\datamem|ram~2394_q ),
+ .datab(!\datamem|ram~2138_q ),
+ .datac(!\datamem|ram~2650_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2906_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4654_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4654 .extended_lut = "off";
+defparam \datamem|ram~4654 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4654 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y12_N3
+cyclonev_lcell_comb \datamem|ram~2778feeder (
+// Equation(s):
+// \datamem|ram~2778feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2778feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2778feeder .extended_lut = "off";
+defparam \datamem|ram~2778feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2778feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N5
+dffeas \datamem|ram~2778 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2778feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2778_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2778 .is_wysiwyg = "true";
+defparam \datamem|ram~2778 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N55
+dffeas \datamem|ram~2266 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2266_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2266 .is_wysiwyg = "true";
+defparam \datamem|ram~2266 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N59
+dffeas \datamem|ram~2522 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2522_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2522 .is_wysiwyg = "true";
+defparam \datamem|ram~2522 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N44
+dffeas \datamem|ram~3034 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3034_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3034 .is_wysiwyg = "true";
+defparam \datamem|ram~3034 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y12_N42
+cyclonev_lcell_comb \datamem|ram~4656 (
+// Equation(s):
+// \datamem|ram~4656_combout = ( \datamem|ram~3034_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2778_q ) ) ) ) # ( !\datamem|ram~3034_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2778_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3034_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2266_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2522_q ))) ) ) ) # ( !\datamem|ram~3034_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2266_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2522_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2778_q ),
+ .datab(!\datamem|ram~2266_q ),
+ .datac(!\datamem|ram~2522_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3034_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4656_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4656 .extended_lut = "off";
+defparam \datamem|ram~4656 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4656 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N31
+dffeas \datamem|ram~2074 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2074_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2074 .is_wysiwyg = "true";
+defparam \datamem|ram~2074 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N45
+cyclonev_lcell_comb \datamem|ram~2586feeder (
+// Equation(s):
+// \datamem|ram~2586feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2586feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2586feeder .extended_lut = "off";
+defparam \datamem|ram~2586feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2586feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N47
+dffeas \datamem|ram~2586 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2586feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2586_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2586 .is_wysiwyg = "true";
+defparam \datamem|ram~2586 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y16_N0
+cyclonev_lcell_comb \datamem|ram~2330feeder (
+// Equation(s):
+// \datamem|ram~2330feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2330feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2330feeder .extended_lut = "off";
+defparam \datamem|ram~2330feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2330feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y16_N1
+dffeas \datamem|ram~2330 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2330feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2330_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2330 .is_wysiwyg = "true";
+defparam \datamem|ram~2330 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N38
+dffeas \datamem|ram~2842 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2842_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2842 .is_wysiwyg = "true";
+defparam \datamem|ram~2842 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N36
+cyclonev_lcell_comb \datamem|ram~4653 (
+// Equation(s):
+// \datamem|ram~4653_combout = ( \datamem|ram~2842_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2586_q ) ) ) ) # ( !\datamem|ram~2842_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2586_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2842_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2074_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2330_q ))) ) ) ) # ( !\datamem|ram~2842_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2074_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2330_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2074_q ),
+ .datab(!\datamem|ram~2586_q ),
+ .datac(!\datamem|ram~2330_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2842_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4653_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4653 .extended_lut = "off";
+defparam \datamem|ram~4653 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4653 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N51
+cyclonev_lcell_comb \datamem|ram~2202feeder (
+// Equation(s):
+// \datamem|ram~2202feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2202feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2202feeder .extended_lut = "off";
+defparam \datamem|ram~2202feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~2202feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N53
+dffeas \datamem|ram~2202 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2202feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2202_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2202 .is_wysiwyg = "true";
+defparam \datamem|ram~2202 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N15
+cyclonev_lcell_comb \datamem|ram~2714feeder (
+// Equation(s):
+// \datamem|ram~2714feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2714feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2714feeder .extended_lut = "off";
+defparam \datamem|ram~2714feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~2714feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N17
+dffeas \datamem|ram~2714 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2714feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2714_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2714 .is_wysiwyg = "true";
+defparam \datamem|ram~2714 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y12_N0
+cyclonev_lcell_comb \datamem|ram~2458feeder (
+// Equation(s):
+// \datamem|ram~2458feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2458feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2458feeder .extended_lut = "off";
+defparam \datamem|ram~2458feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2458feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N1
+dffeas \datamem|ram~2458 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2458feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2458_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2458 .is_wysiwyg = "true";
+defparam \datamem|ram~2458 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N44
+dffeas \datamem|ram~2970 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2970_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2970 .is_wysiwyg = "true";
+defparam \datamem|ram~2970 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N42
+cyclonev_lcell_comb \datamem|ram~4655 (
+// Equation(s):
+// \datamem|ram~4655_combout = ( \datamem|ram~2970_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2714_q ) ) ) ) # ( !\datamem|ram~2970_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2714_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2970_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2202_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2458_q ))) ) ) ) # ( !\datamem|ram~2970_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2202_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2458_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2202_q ),
+ .datab(!\datamem|ram~2714_q ),
+ .datac(!\datamem|ram~2458_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2970_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4655_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4655 .extended_lut = "off";
+defparam \datamem|ram~4655 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4655 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N45
+cyclonev_lcell_comb \datamem|ram~4657 (
+// Equation(s):
+// \datamem|ram~4657_combout = ( \datamem|ram~4653_combout & ( \datamem|ram~4655_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4654_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4656_combout )))) ) )
+// ) # ( !\datamem|ram~4653_combout & ( \datamem|ram~4655_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & (\datamem|ram~4654_combout ))) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) # ((\datamem|ram~4656_combout
+// )))) ) ) ) # ( \datamem|ram~4653_combout & ( !\datamem|ram~4655_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) # ((\datamem|ram~4654_combout )))) # (\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~4656_combout )))) ) ) ) # ( !\datamem|ram~4653_combout & ( !\datamem|ram~4655_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4654_combout )) # (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4656_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4654_combout ),
+ .datad(!\datamem|ram~4656_combout ),
+ .datae(!\datamem|ram~4653_combout ),
+ .dataf(!\datamem|ram~4655_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4657_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4657 .extended_lut = "off";
+defparam \datamem|ram~4657 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~4657 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N6
+cyclonev_lcell_comb \datamem|ram~4668 (
+// Equation(s):
+// \datamem|ram~4668_combout = ( \datamem|ram~4667_combout & ( \datamem|ram~4657_combout & ( ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4652_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4662_combout ))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~4667_combout & ( \datamem|ram~4657_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4652_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4662_combout )))) #
+// (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout )) ) ) ) # ( \datamem|ram~4667_combout & ( !\datamem|ram~4657_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4652_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4662_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout )) ) ) ) # ( !\datamem|ram~4667_combout & ( !\datamem|ram~4657_combout & ( (!\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4652_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4662_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4662_combout ),
+ .datad(!\datamem|ram~4652_combout ),
+ .datae(!\datamem|ram~4667_combout ),
+ .dataf(!\datamem|ram~4657_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4668_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4668 .extended_lut = "off";
+defparam \datamem|ram~4668 .lut_mask = 64'h028A139B46CE57DF;
+defparam \datamem|ram~4668 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y18_N36
+cyclonev_lcell_comb \datamem|ram~1290feeder (
+// Equation(s):
+// \datamem|ram~1290feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1290feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1290feeder .extended_lut = "off";
+defparam \datamem|ram~1290feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1290feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y18_N38
+dffeas \datamem|ram~1290 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1290feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1290_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1290 .is_wysiwyg = "true";
+defparam \datamem|ram~1290 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N1
+dffeas \datamem|ram~1482 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1482_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1482 .is_wysiwyg = "true";
+defparam \datamem|ram~1482 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N13
+dffeas \datamem|ram~1418 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1418_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1418 .is_wysiwyg = "true";
+defparam \datamem|ram~1418 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y18_N47
+dffeas \datamem|ram~1354 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1354_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1354 .is_wysiwyg = "true";
+defparam \datamem|ram~1354 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y18_N45
+cyclonev_lcell_comb \datamem|ram~4632 (
+// Equation(s):
+// \datamem|ram~4632_combout = ( \datamem|ram~1354_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~1482_q ) ) ) ) # ( !\datamem|ram~1354_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1482_q &
+// \alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1354_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1290_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1418_q ))) ) ) ) # ( !\datamem|ram~1354_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1290_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1418_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1290_q ),
+ .datab(!\datamem|ram~1482_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~1418_q ),
+ .datae(!\datamem|ram~1354_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4632_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4632 .extended_lut = "off";
+defparam \datamem|ram~4632 .lut_mask = 64'h505F505F0303F3F3;
+defparam \datamem|ram~4632 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N33
+cyclonev_lcell_comb \datamem|ram~1402feeder (
+// Equation(s):
+// \datamem|ram~1402feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1402feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1402feeder .extended_lut = "off";
+defparam \datamem|ram~1402feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1402feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N34
+dffeas \datamem|ram~1402 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1402feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1402_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1402 .is_wysiwyg = "true";
+defparam \datamem|ram~1402 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N3
+cyclonev_lcell_comb \datamem|ram~1466feeder (
+// Equation(s):
+// \datamem|ram~1466feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1466feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1466feeder .extended_lut = "off";
+defparam \datamem|ram~1466feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1466feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N4
+dffeas \datamem|ram~1466 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1466feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1466_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1466 .is_wysiwyg = "true";
+defparam \datamem|ram~1466 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N37
+dffeas \datamem|ram~1338 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1338_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1338 .is_wysiwyg = "true";
+defparam \datamem|ram~1338 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N48
+cyclonev_lcell_comb \datamem|ram~1530feeder (
+// Equation(s):
+// \datamem|ram~1530feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1530feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1530feeder .extended_lut = "off";
+defparam \datamem|ram~1530feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1530feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N49
+dffeas \datamem|ram~1530 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1530feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1530_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1530 .is_wysiwyg = "true";
+defparam \datamem|ram~1530 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N54
+cyclonev_lcell_comb \datamem|ram~4635 (
+// Equation(s):
+// \datamem|ram~4635_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1530_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1466_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1402_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1338_q ) ) )
+
+ .dataa(!\datamem|ram~1402_q ),
+ .datab(!\datamem|ram~1466_q ),
+ .datac(!\datamem|ram~1338_q ),
+ .datad(!\datamem|ram~1530_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4635_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4635 .extended_lut = "off";
+defparam \datamem|ram~4635 .lut_mask = 64'h0F0F5555333300FF;
+defparam \datamem|ram~4635 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N13
+dffeas \datamem|ram~1370 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1370_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1370 .is_wysiwyg = "true";
+defparam \datamem|ram~1370 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N50
+dffeas \datamem|ram~1498 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1498_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1498 .is_wysiwyg = "true";
+defparam \datamem|ram~1498 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N55
+dffeas \datamem|ram~1434 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1434_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1434 .is_wysiwyg = "true";
+defparam \datamem|ram~1434 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y7_N24
+cyclonev_lcell_comb \datamem|ram~1306feeder (
+// Equation(s):
+// \datamem|ram~1306feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1306feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1306feeder .extended_lut = "off";
+defparam \datamem|ram~1306feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1306feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y7_N25
+dffeas \datamem|ram~1306 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1306feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1306_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1306 .is_wysiwyg = "true";
+defparam \datamem|ram~1306 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y6_N12
+cyclonev_lcell_comb \datamem|ram~4633 (
+// Equation(s):
+// \datamem|ram~4633_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1498_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1434_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1370_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1306_q ) ) )
+
+ .dataa(!\datamem|ram~1370_q ),
+ .datab(!\datamem|ram~1498_q ),
+ .datac(!\datamem|ram~1434_q ),
+ .datad(!\datamem|ram~1306_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4633_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4633 .extended_lut = "off";
+defparam \datamem|ram~4633 .lut_mask = 64'h00FF55550F0F3333;
+defparam \datamem|ram~4633 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y22_N36
+cyclonev_lcell_comb \datamem|ram~1322feeder (
+// Equation(s):
+// \datamem|ram~1322feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1322feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1322feeder .extended_lut = "off";
+defparam \datamem|ram~1322feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1322feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y22_N38
+dffeas \datamem|ram~1322 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1322feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1322_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1322 .is_wysiwyg = "true";
+defparam \datamem|ram~1322 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N1
+dffeas \datamem|ram~1386 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1386_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1386 .is_wysiwyg = "true";
+defparam \datamem|ram~1386 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N1
+dffeas \datamem|ram~1450 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1450_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1450 .is_wysiwyg = "true";
+defparam \datamem|ram~1450 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N56
+dffeas \datamem|ram~1514 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1514_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1514 .is_wysiwyg = "true";
+defparam \datamem|ram~1514 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N54
+cyclonev_lcell_comb \datamem|ram~4634 (
+// Equation(s):
+// \datamem|ram~4634_combout = ( \datamem|ram~1514_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1450_q ) ) ) ) # ( !\datamem|ram~1514_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1450_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1514_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1322_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1386_q ))) ) ) ) # ( !\datamem|ram~1514_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1322_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1386_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1322_q ),
+ .datab(!\datamem|ram~1386_q ),
+ .datac(!\datamem|ram~1450_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1514_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4634_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4634 .extended_lut = "off";
+defparam \datamem|ram~4634 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4634 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N48
+cyclonev_lcell_comb \datamem|ram~4636 (
+// Equation(s):
+// \datamem|ram~4636_combout = ( \datamem|ram~4633_combout & ( \datamem|ram~4634_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4632_combout )) # (\alu_unit|Mux13~4_combout ))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) #
+// ((\datamem|ram~4635_combout )))) ) ) ) # ( !\datamem|ram~4633_combout & ( \datamem|ram~4634_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4632_combout )) # (\alu_unit|Mux13~4_combout ))) # (\alu_unit|Mux14~6_combout &
+// (\alu_unit|Mux13~4_combout & ((\datamem|ram~4635_combout )))) ) ) ) # ( \datamem|ram~4633_combout & ( !\datamem|ram~4634_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~4632_combout ))) #
+// (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4635_combout )))) ) ) ) # ( !\datamem|ram~4633_combout & ( !\datamem|ram~4634_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout &
+// (\datamem|ram~4632_combout ))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~4635_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4632_combout ),
+ .datad(!\datamem|ram~4635_combout ),
+ .datae(!\datamem|ram~4633_combout ),
+ .dataf(!\datamem|ram~4634_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4636_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4636 .extended_lut = "off";
+defparam \datamem|ram~4636 .lut_mask = 64'h08194C5D2A3B6E7F;
+defparam \datamem|ram~4636 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N18
+cyclonev_lcell_comb \datamem|ram~1882feeder (
+// Equation(s):
+// \datamem|ram~1882feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1882feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1882feeder .extended_lut = "off";
+defparam \datamem|ram~1882feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1882feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N20
+dffeas \datamem|ram~1882 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1882feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1882_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1882 .is_wysiwyg = "true";
+defparam \datamem|ram~1882 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y12_N9
+cyclonev_lcell_comb \datamem|ram~1898feeder (
+// Equation(s):
+// \datamem|ram~1898feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1898feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1898feeder .extended_lut = "off";
+defparam \datamem|ram~1898feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1898feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N10
+dffeas \datamem|ram~1898 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1898feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1898_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1898 .is_wysiwyg = "true";
+defparam \datamem|ram~1898 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N45
+cyclonev_lcell_comb \datamem|ram~1866feeder (
+// Equation(s):
+// \datamem|ram~1866feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1866feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1866feeder .extended_lut = "off";
+defparam \datamem|ram~1866feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1866feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N47
+dffeas \datamem|ram~1866 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1866feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1866_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1866 .is_wysiwyg = "true";
+defparam \datamem|ram~1866 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N38
+dffeas \datamem|ram~1914 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1914_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1914 .is_wysiwyg = "true";
+defparam \datamem|ram~1914 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N36
+cyclonev_lcell_comb \datamem|ram~4643 (
+// Equation(s):
+// \datamem|ram~4643_combout = ( \datamem|ram~1914_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1882_q ) ) ) ) # ( !\datamem|ram~1914_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1882_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1914_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1866_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1898_q )) ) ) ) # ( !\datamem|ram~1914_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1866_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1898_q )) ) ) )
+
+ .dataa(!\datamem|ram~1882_q ),
+ .datab(!\datamem|ram~1898_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1866_q ),
+ .datae(!\datamem|ram~1914_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4643_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4643 .extended_lut = "off";
+defparam \datamem|ram~4643 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4643 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N13
+dffeas \datamem|ram~2026 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2026_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2026 .is_wysiwyg = "true";
+defparam \datamem|ram~2026 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N40
+dffeas \datamem|ram~2042 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2042_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2042 .is_wysiwyg = "true";
+defparam \datamem|ram~2042 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N26
+dffeas \datamem|ram~2010 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2010_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2010 .is_wysiwyg = "true";
+defparam \datamem|ram~2010 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y19_N3
+cyclonev_lcell_comb \datamem|ram~1994feeder (
+// Equation(s):
+// \datamem|ram~1994feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1994feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1994feeder .extended_lut = "off";
+defparam \datamem|ram~1994feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1994feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y19_N4
+dffeas \datamem|ram~1994 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1994feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1994_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1994 .is_wysiwyg = "true";
+defparam \datamem|ram~1994 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N33
+cyclonev_lcell_comb \datamem|ram~4645 (
+// Equation(s):
+// \datamem|ram~4645_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2042_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2010_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2026_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~1994_q ) ) )
+
+ .dataa(!\datamem|ram~2026_q ),
+ .datab(!\datamem|ram~2042_q ),
+ .datac(!\datamem|ram~2010_q ),
+ .datad(!\datamem|ram~1994_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4645_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4645 .extended_lut = "off";
+defparam \datamem|ram~4645 .lut_mask = 64'h00FF55550F0F3333;
+defparam \datamem|ram~4645 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N49
+dffeas \datamem|ram~1930 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1930_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1930 .is_wysiwyg = "true";
+defparam \datamem|ram~1930 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N8
+dffeas \datamem|ram~1962 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1962_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1962 .is_wysiwyg = "true";
+defparam \datamem|ram~1962 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y14_N4
+dffeas \datamem|ram~1946 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1946_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1946 .is_wysiwyg = "true";
+defparam \datamem|ram~1946 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N14
+dffeas \datamem|ram~1978 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1978_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1978 .is_wysiwyg = "true";
+defparam \datamem|ram~1978 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y12_N12
+cyclonev_lcell_comb \datamem|ram~4644 (
+// Equation(s):
+// \datamem|ram~4644_combout = ( \datamem|ram~1978_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1946_q ) ) ) ) # ( !\datamem|ram~1978_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1946_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1978_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1930_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1962_q ))) ) ) ) # ( !\datamem|ram~1978_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1930_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1962_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1930_q ),
+ .datab(!\datamem|ram~1962_q ),
+ .datac(!\datamem|ram~1946_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1978_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4644_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4644 .extended_lut = "off";
+defparam \datamem|ram~4644 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4644 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N45
+cyclonev_lcell_comb \datamem|ram~1802feeder (
+// Equation(s):
+// \datamem|ram~1802feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1802feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1802feeder .extended_lut = "off";
+defparam \datamem|ram~1802feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1802feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N47
+dffeas \datamem|ram~1802 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1802feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1802_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1802 .is_wysiwyg = "true";
+defparam \datamem|ram~1802 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N12
+cyclonev_lcell_comb \datamem|ram~1834feeder (
+// Equation(s):
+// \datamem|ram~1834feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1834feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1834feeder .extended_lut = "off";
+defparam \datamem|ram~1834feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1834feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N13
+dffeas \datamem|ram~1834 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1834feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1834_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1834 .is_wysiwyg = "true";
+defparam \datamem|ram~1834 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N2
+dffeas \datamem|ram~1818 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1818_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1818 .is_wysiwyg = "true";
+defparam \datamem|ram~1818 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N14
+dffeas \datamem|ram~1850 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1850_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1850 .is_wysiwyg = "true";
+defparam \datamem|ram~1850 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N12
+cyclonev_lcell_comb \datamem|ram~4642 (
+// Equation(s):
+// \datamem|ram~4642_combout = ( \datamem|ram~1850_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1834_q ) ) ) ) # ( !\datamem|ram~1850_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1834_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1850_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1802_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1818_q ))) ) ) ) # ( !\datamem|ram~1850_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1802_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1818_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1802_q ),
+ .datab(!\datamem|ram~1834_q ),
+ .datac(!\datamem|ram~1818_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1850_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4642_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4642 .extended_lut = "off";
+defparam \datamem|ram~4642 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4642 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N51
+cyclonev_lcell_comb \datamem|ram~4646 (
+// Equation(s):
+// \datamem|ram~4646_combout = ( \datamem|ram~4644_combout & ( \datamem|ram~4642_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4643_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4645_combout )))) ) )
+// ) # ( !\datamem|ram~4644_combout & ( \datamem|ram~4642_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~4643_combout ))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout & \datamem|ram~4645_combout
+// )))) ) ) ) # ( \datamem|ram~4644_combout & ( !\datamem|ram~4642_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~4643_combout & (\alu_unit|Mux12~2_combout ))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~4645_combout )))) ) ) ) # ( !\datamem|ram~4644_combout & ( !\datamem|ram~4642_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4643_combout )) # (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4645_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4643_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~4645_combout ),
+ .datae(!\datamem|ram~4644_combout ),
+ .dataf(!\datamem|ram~4642_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4646_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4646 .extended_lut = "off";
+defparam \datamem|ram~4646 .lut_mask = 64'h04073437C4C7F4F7;
+defparam \datamem|ram~4646 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N36
+cyclonev_lcell_comb \datamem|ram~1226feeder (
+// Equation(s):
+// \datamem|ram~1226feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1226feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1226feeder .extended_lut = "off";
+defparam \datamem|ram~1226feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1226feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N37
+dffeas \datamem|ram~1226 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1226feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1226_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1226 .is_wysiwyg = "true";
+defparam \datamem|ram~1226 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N1
+dffeas \datamem|ram~1034 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1034_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1034 .is_wysiwyg = "true";
+defparam \datamem|ram~1034 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N37
+dffeas \datamem|ram~1162 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1162_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1162 .is_wysiwyg = "true";
+defparam \datamem|ram~1162 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N55
+dffeas \datamem|ram~1098 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1098_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1098 .is_wysiwyg = "true";
+defparam \datamem|ram~1098 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N48
+cyclonev_lcell_comb \datamem|ram~4627 (
+// Equation(s):
+// \datamem|ram~4627_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1226_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1162_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1098_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1034_q ) ) )
+
+ .dataa(!\datamem|ram~1226_q ),
+ .datab(!\datamem|ram~1034_q ),
+ .datac(!\datamem|ram~1162_q ),
+ .datad(!\datamem|ram~1098_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4627_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4627 .extended_lut = "off";
+defparam \datamem|ram~4627 .lut_mask = 64'h333300FF0F0F5555;
+defparam \datamem|ram~4627 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y10_N7
+dffeas \datamem|ram~1050 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1050_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1050 .is_wysiwyg = "true";
+defparam \datamem|ram~1050 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N28
+dffeas \datamem|ram~1242 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1242_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1242 .is_wysiwyg = "true";
+defparam \datamem|ram~1242 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y10_N28
+dffeas \datamem|ram~1178 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1178_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1178 .is_wysiwyg = "true";
+defparam \datamem|ram~1178 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y11_N5
+dffeas \datamem|ram~1114 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1114_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1114 .is_wysiwyg = "true";
+defparam \datamem|ram~1114 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y11_N3
+cyclonev_lcell_comb \datamem|ram~4628 (
+// Equation(s):
+// \datamem|ram~4628_combout = ( \datamem|ram~1114_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1178_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1242_q )) ) ) ) # ( !\datamem|ram~1114_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1178_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1242_q )) ) ) ) # ( \datamem|ram~1114_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1050_q ) ) ) ) # ( !\datamem|ram~1114_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1050_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1050_q ),
+ .datab(!\datamem|ram~1242_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1178_q ),
+ .datae(!\datamem|ram~1114_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4628_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4628 .extended_lut = "off";
+defparam \datamem|ram~4628 .lut_mask = 64'h50505F5F03F303F3;
+defparam \datamem|ram~4628 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y11_N12
+cyclonev_lcell_comb \datamem|ram~1194feeder (
+// Equation(s):
+// \datamem|ram~1194feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1194feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1194feeder .extended_lut = "off";
+defparam \datamem|ram~1194feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1194feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N13
+dffeas \datamem|ram~1194 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1194feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1194_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1194 .is_wysiwyg = "true";
+defparam \datamem|ram~1194 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N57
+cyclonev_lcell_comb \datamem|ram~1066feeder (
+// Equation(s):
+// \datamem|ram~1066feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1066feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1066feeder .extended_lut = "off";
+defparam \datamem|ram~1066feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1066feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N59
+dffeas \datamem|ram~1066 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1066feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1066_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1066 .is_wysiwyg = "true";
+defparam \datamem|ram~1066 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N36
+cyclonev_lcell_comb \datamem|ram~1130feeder (
+// Equation(s):
+// \datamem|ram~1130feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1130feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1130feeder .extended_lut = "off";
+defparam \datamem|ram~1130feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1130feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N38
+dffeas \datamem|ram~1130 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1130feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1130_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1130 .is_wysiwyg = "true";
+defparam \datamem|ram~1130 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N44
+dffeas \datamem|ram~1258 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1258_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1258 .is_wysiwyg = "true";
+defparam \datamem|ram~1258 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N42
+cyclonev_lcell_comb \datamem|ram~4629 (
+// Equation(s):
+// \datamem|ram~4629_combout = ( \datamem|ram~1258_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1130_q ) ) ) ) # ( !\datamem|ram~1258_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1130_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1258_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1066_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1194_q )) ) ) ) # ( !\datamem|ram~1258_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1066_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1194_q )) ) ) )
+
+ .dataa(!\datamem|ram~1194_q ),
+ .datab(!\datamem|ram~1066_q ),
+ .datac(!\datamem|ram~1130_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1258_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4629_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4629 .extended_lut = "off";
+defparam \datamem|ram~4629 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4629 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N55
+dffeas \datamem|ram~1082 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1082_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1082 .is_wysiwyg = "true";
+defparam \datamem|ram~1082 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N43
+dffeas \datamem|ram~1146 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1146_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1146 .is_wysiwyg = "true";
+defparam \datamem|ram~1146 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N26
+dffeas \datamem|ram~1210 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1210_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1210 .is_wysiwyg = "true";
+defparam \datamem|ram~1210 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N20
+dffeas \datamem|ram~1274 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1274_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1274 .is_wysiwyg = "true";
+defparam \datamem|ram~1274 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N18
+cyclonev_lcell_comb \datamem|ram~4630 (
+// Equation(s):
+// \datamem|ram~4630_combout = ( \datamem|ram~1274_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1210_q ) ) ) ) # ( !\datamem|ram~1274_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1210_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1274_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1082_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1146_q ))) ) ) ) # ( !\datamem|ram~1274_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1082_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1146_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1082_q ),
+ .datab(!\datamem|ram~1146_q ),
+ .datac(!\datamem|ram~1210_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1274_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4630_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4630 .extended_lut = "off";
+defparam \datamem|ram~4630 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4630 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y16_N48
+cyclonev_lcell_comb \datamem|ram~4631 (
+// Equation(s):
+// \datamem|ram~4631_combout = ( \datamem|ram~4629_combout & ( \datamem|ram~4630_combout & ( ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4627_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4628_combout )))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~4629_combout & ( \datamem|ram~4630_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4627_combout & ((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout ) # (\datamem|ram~4628_combout
+// )))) ) ) ) # ( \datamem|ram~4629_combout & ( !\datamem|ram~4630_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~4627_combout ))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~4628_combout &
+// !\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4629_combout & ( !\datamem|ram~4630_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4627_combout )) # (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~4628_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4627_combout ),
+ .datac(!\datamem|ram~4628_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4629_combout ),
+ .dataf(!\datamem|ram~4630_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4631_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4631 .extended_lut = "off";
+defparam \datamem|ram~4631 .lut_mask = 64'h270027AA275527FF;
+defparam \datamem|ram~4631 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N22
+dffeas \datamem|ram~1674 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1674_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1674 .is_wysiwyg = "true";
+defparam \datamem|ram~1674 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N42
+cyclonev_lcell_comb \datamem|ram~1610feeder (
+// Equation(s):
+// \datamem|ram~1610feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1610feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1610feeder .extended_lut = "off";
+defparam \datamem|ram~1610feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1610feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N44
+dffeas \datamem|ram~1610 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1610feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1610_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1610 .is_wysiwyg = "true";
+defparam \datamem|ram~1610 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y8_N18
+cyclonev_lcell_comb \datamem|ram~1546feeder (
+// Equation(s):
+// \datamem|ram~1546feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1546feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1546feeder .extended_lut = "off";
+defparam \datamem|ram~1546feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1546feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N19
+dffeas \datamem|ram~1546 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1546feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1546_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1546 .is_wysiwyg = "true";
+defparam \datamem|ram~1546 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N32
+dffeas \datamem|ram~1738 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1738_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1738 .is_wysiwyg = "true";
+defparam \datamem|ram~1738 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N30
+cyclonev_lcell_comb \datamem|ram~4637 (
+// Equation(s):
+// \datamem|ram~4637_combout = ( \datamem|ram~1738_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1674_q ) ) ) ) # ( !\datamem|ram~1738_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1674_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1738_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1546_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1610_q )) ) ) ) # ( !\datamem|ram~1738_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1546_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1610_q )) ) ) )
+
+ .dataa(!\datamem|ram~1674_q ),
+ .datab(!\datamem|ram~1610_q ),
+ .datac(!\datamem|ram~1546_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1738_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4637_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4637 .extended_lut = "off";
+defparam \datamem|ram~4637 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4637 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N2
+dffeas \datamem|ram~1722 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1722_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1722 .is_wysiwyg = "true";
+defparam \datamem|ram~1722 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N21
+cyclonev_lcell_comb \datamem|ram~1658feeder (
+// Equation(s):
+// \datamem|ram~1658feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1658feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1658feeder .extended_lut = "off";
+defparam \datamem|ram~1658feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1658feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N22
+dffeas \datamem|ram~1658 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1658feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1658_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1658 .is_wysiwyg = "true";
+defparam \datamem|ram~1658 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N59
+dffeas \datamem|ram~1594 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1594_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1594 .is_wysiwyg = "true";
+defparam \datamem|ram~1594 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N14
+dffeas \datamem|ram~1786 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1786_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1786 .is_wysiwyg = "true";
+defparam \datamem|ram~1786 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N12
+cyclonev_lcell_comb \datamem|ram~4640 (
+// Equation(s):
+// \datamem|ram~4640_combout = ( \datamem|ram~1786_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1722_q ) ) ) ) # ( !\datamem|ram~1786_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1722_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1786_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1594_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1658_q )) ) ) ) # ( !\datamem|ram~1786_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1594_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1658_q )) ) ) )
+
+ .dataa(!\datamem|ram~1722_q ),
+ .datab(!\datamem|ram~1658_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1594_q ),
+ .datae(!\datamem|ram~1786_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4640_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4640 .extended_lut = "off";
+defparam \datamem|ram~4640 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4640 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y11_N18
+cyclonev_lcell_comb \datamem|ram~1690feeder (
+// Equation(s):
+// \datamem|ram~1690feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1690feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1690feeder .extended_lut = "off";
+defparam \datamem|ram~1690feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1690feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N19
+dffeas \datamem|ram~1690 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1690feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1690_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1690 .is_wysiwyg = "true";
+defparam \datamem|ram~1690 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N52
+dffeas \datamem|ram~1562 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1562_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1562 .is_wysiwyg = "true";
+defparam \datamem|ram~1562 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N9
+cyclonev_lcell_comb \datamem|ram~1626feeder (
+// Equation(s):
+// \datamem|ram~1626feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1626feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1626feeder .extended_lut = "off";
+defparam \datamem|ram~1626feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1626feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N11
+dffeas \datamem|ram~1626 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1626feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1626_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1626 .is_wysiwyg = "true";
+defparam \datamem|ram~1626 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N44
+dffeas \datamem|ram~1754 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1754_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1754 .is_wysiwyg = "true";
+defparam \datamem|ram~1754 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N42
+cyclonev_lcell_comb \datamem|ram~4638 (
+// Equation(s):
+// \datamem|ram~4638_combout = ( \datamem|ram~1754_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1690_q ) ) ) ) # ( !\datamem|ram~1754_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1690_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1754_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1562_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1626_q ))) ) ) ) # ( !\datamem|ram~1754_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1562_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1626_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1690_q ),
+ .datab(!\datamem|ram~1562_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1626_q ),
+ .datae(!\datamem|ram~1754_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4638_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4638 .extended_lut = "off";
+defparam \datamem|ram~4638 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4638 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y23_N46
+dffeas \datamem|ram~1706 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1706_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1706 .is_wysiwyg = "true";
+defparam \datamem|ram~1706 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N44
+dffeas \datamem|ram~1578 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1578_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1578 .is_wysiwyg = "true";
+defparam \datamem|ram~1578 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N23
+dffeas \datamem|ram~1642 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1642_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1642 .is_wysiwyg = "true";
+defparam \datamem|ram~1642 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N2
+dffeas \datamem|ram~1770 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1770_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1770 .is_wysiwyg = "true";
+defparam \datamem|ram~1770 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N0
+cyclonev_lcell_comb \datamem|ram~4639 (
+// Equation(s):
+// \datamem|ram~4639_combout = ( \datamem|ram~1770_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1706_q ) ) ) ) # ( !\datamem|ram~1770_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1706_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1770_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1578_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1642_q ))) ) ) ) # ( !\datamem|ram~1770_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1578_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1642_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1706_q ),
+ .datab(!\datamem|ram~1578_q ),
+ .datac(!\datamem|ram~1642_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1770_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4639_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4639 .extended_lut = "off";
+defparam \datamem|ram~4639 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4639 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N18
+cyclonev_lcell_comb \datamem|ram~4641 (
+// Equation(s):
+// \datamem|ram~4641_combout = ( \datamem|ram~4638_combout & ( \datamem|ram~4639_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4637_combout )) # (\alu_unit|Mux13~4_combout ))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) #
+// ((\datamem|ram~4640_combout )))) ) ) ) # ( !\datamem|ram~4638_combout & ( \datamem|ram~4639_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4637_combout )) # (\alu_unit|Mux13~4_combout ))) # (\alu_unit|Mux14~6_combout &
+// (\alu_unit|Mux13~4_combout & ((\datamem|ram~4640_combout )))) ) ) ) # ( \datamem|ram~4638_combout & ( !\datamem|ram~4639_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~4637_combout ))) #
+// (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4640_combout )))) ) ) ) # ( !\datamem|ram~4638_combout & ( !\datamem|ram~4639_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout &
+// (\datamem|ram~4637_combout ))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~4640_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4637_combout ),
+ .datad(!\datamem|ram~4640_combout ),
+ .datae(!\datamem|ram~4638_combout ),
+ .dataf(!\datamem|ram~4639_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4641_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4641 .extended_lut = "off";
+defparam \datamem|ram~4641 .lut_mask = 64'h08194C5D2A3B6E7F;
+defparam \datamem|ram~4641 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N36
+cyclonev_lcell_comb \datamem|ram~4647 (
+// Equation(s):
+// \datamem|ram~4647_combout = ( \datamem|ram~4631_combout & ( \datamem|ram~4641_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4636_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4646_combout )))) ) ) )
+// # ( !\datamem|ram~4631_combout & ( \datamem|ram~4641_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\datamem|ram~4636_combout ))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4646_combout ))))
+// ) ) ) # ( \datamem|ram~4631_combout & ( !\datamem|ram~4641_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4636_combout )))) # (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4646_combout )))) ) ) ) # ( !\datamem|ram~4631_combout & ( !\datamem|ram~4641_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4636_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4646_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4636_combout ),
+ .datad(!\datamem|ram~4646_combout ),
+ .datae(!\datamem|ram~4631_combout ),
+ .dataf(!\datamem|ram~4641_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4647_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4647 .extended_lut = "off";
+defparam \datamem|ram~4647 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~4647 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N49
+dffeas \datamem|ram~970 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~970_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~970 .is_wysiwyg = "true";
+defparam \datamem|ram~970 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N28
+dffeas \datamem|ram~1002 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1002_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1002 .is_wysiwyg = "true";
+defparam \datamem|ram~1002 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N36
+cyclonev_lcell_comb \datamem|ram~986feeder (
+// Equation(s):
+// \datamem|ram~986feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~986feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~986feeder .extended_lut = "off";
+defparam \datamem|ram~986feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~986feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N37
+dffeas \datamem|ram~986 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~986feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~986_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~986 .is_wysiwyg = "true";
+defparam \datamem|ram~986 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N20
+dffeas \datamem|ram~1018 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1018_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1018 .is_wysiwyg = "true";
+defparam \datamem|ram~1018 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N18
+cyclonev_lcell_comb \datamem|ram~4624 (
+// Equation(s):
+// \datamem|ram~4624_combout = ( \datamem|ram~1018_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~986_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~1018_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~986_q ) ) ) ) # ( \datamem|ram~1018_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~970_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1002_q ))) ) ) ) # ( !\datamem|ram~1018_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~970_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1002_q ))) ) ) )
+
+ .dataa(!\datamem|ram~970_q ),
+ .datab(!\datamem|ram~1002_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~986_q ),
+ .datae(!\datamem|ram~1018_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4624_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4624 .extended_lut = "off";
+defparam \datamem|ram~4624 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4624 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N24
+cyclonev_lcell_comb \datamem|ram~490feeder (
+// Equation(s):
+// \datamem|ram~490feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~490feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~490feeder .extended_lut = "off";
+defparam \datamem|ram~490feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~490feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N26
+dffeas \datamem|ram~490 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~490feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~490_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~490 .is_wysiwyg = "true";
+defparam \datamem|ram~490 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N43
+dffeas \datamem|ram~506 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~506_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~506 .is_wysiwyg = "true";
+defparam \datamem|ram~506 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N14
+dffeas \datamem|ram~474 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~474_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~474 .is_wysiwyg = "true";
+defparam \datamem|ram~474 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N40
+dffeas \datamem|ram~458 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~458_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~458 .is_wysiwyg = "true";
+defparam \datamem|ram~458 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N57
+cyclonev_lcell_comb \datamem|ram~4622 (
+// Equation(s):
+// \datamem|ram~4622_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~506_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~474_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~490_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~458_q ) ) )
+
+ .dataa(!\datamem|ram~490_q ),
+ .datab(!\datamem|ram~506_q ),
+ .datac(!\datamem|ram~474_q ),
+ .datad(!\datamem|ram~458_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4622_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4622 .extended_lut = "off";
+defparam \datamem|ram~4622 .lut_mask = 64'h00FF55550F0F3333;
+defparam \datamem|ram~4622 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y7_N57
+cyclonev_lcell_comb \datamem|ram~202feeder (
+// Equation(s):
+// \datamem|ram~202feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~202feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~202feeder .extended_lut = "off";
+defparam \datamem|ram~202feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~202feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y7_N58
+dffeas \datamem|ram~202 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~202feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~202_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~202 .is_wysiwyg = "true";
+defparam \datamem|ram~202 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N15
+cyclonev_lcell_comb \datamem|ram~218feeder (
+// Equation(s):
+// \datamem|ram~218feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~218feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~218feeder .extended_lut = "off";
+defparam \datamem|ram~218feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~218feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N16
+dffeas \datamem|ram~218 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~218feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~218_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~218 .is_wysiwyg = "true";
+defparam \datamem|ram~218 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y21_N56
+dffeas \datamem|ram~234 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~234_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~234 .is_wysiwyg = "true";
+defparam \datamem|ram~234 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y4_N50
+dffeas \datamem|ram~250 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~250_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~250 .is_wysiwyg = "true";
+defparam \datamem|ram~250 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y4_N48
+cyclonev_lcell_comb \datamem|ram~4621 (
+// Equation(s):
+// \datamem|ram~4621_combout = ( \datamem|ram~250_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~234_q ) ) ) ) # ( !\datamem|ram~250_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~234_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~250_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~202_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~218_q ))) ) ) ) # ( !\datamem|ram~250_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~202_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~218_q ))) ) ) )
+
+ .dataa(!\datamem|ram~202_q ),
+ .datab(!\datamem|ram~218_q ),
+ .datac(!\datamem|ram~234_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~250_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4621_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4621 .extended_lut = "off";
+defparam \datamem|ram~4621 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4621 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y4_N18
+cyclonev_lcell_comb \datamem|ram~730feeder (
+// Equation(s):
+// \datamem|ram~730feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~730feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~730feeder .extended_lut = "off";
+defparam \datamem|ram~730feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~730feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y4_N20
+dffeas \datamem|ram~730 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~730feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~730_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~730 .is_wysiwyg = "true";
+defparam \datamem|ram~730 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N10
+dffeas \datamem|ram~714 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~714_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~714 .is_wysiwyg = "true";
+defparam \datamem|ram~714 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N28
+dffeas \datamem|ram~746 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~746_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~746 .is_wysiwyg = "true";
+defparam \datamem|ram~746 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y4_N26
+dffeas \datamem|ram~762 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~762_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~762 .is_wysiwyg = "true";
+defparam \datamem|ram~762 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y4_N24
+cyclonev_lcell_comb \datamem|ram~4623 (
+// Equation(s):
+// \datamem|ram~4623_combout = ( \datamem|ram~762_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~746_q ) ) ) ) # ( !\datamem|ram~762_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~746_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~762_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~714_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~730_q )) ) ) ) # ( !\datamem|ram~762_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & ((\datamem|ram~714_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~730_q )) ) ) )
+
+ .dataa(!\datamem|ram~730_q ),
+ .datab(!\datamem|ram~714_q ),
+ .datac(!\datamem|ram~746_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~762_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4623_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4623 .extended_lut = "off";
+defparam \datamem|ram~4623 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4623 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N33
+cyclonev_lcell_comb \datamem|ram~4625 (
+// Equation(s):
+// \datamem|ram~4625_combout = ( \datamem|ram~4621_combout & ( \datamem|ram~4623_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4622_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4624_combout ))) ) ) )
+// # ( !\datamem|ram~4621_combout & ( \datamem|ram~4623_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4622_combout & \alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~4624_combout
+// ))) ) ) ) # ( \datamem|ram~4621_combout & ( !\datamem|ram~4623_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4622_combout )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4624_combout &
+// ((\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4621_combout & ( !\datamem|ram~4623_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4622_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4624_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~4624_combout ),
+ .datac(!\datamem|ram~4622_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4621_combout ),
+ .dataf(!\datamem|ram~4623_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4625_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4625 .extended_lut = "off";
+defparam \datamem|ram~4625 .lut_mask = 64'h001BAA1B551BFF1B;
+defparam \datamem|ram~4625 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y13_N28
+dffeas \datamem|ram~442 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~442_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~442 .is_wysiwyg = "true";
+defparam \datamem|ram~442 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y10_N28
+dffeas \datamem|ram~186 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~186_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~186 .is_wysiwyg = "true";
+defparam \datamem|ram~186 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y10_N10
+dffeas \datamem|ram~954 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~954_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~954 .is_wysiwyg = "true";
+defparam \datamem|ram~954 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y20_N57
+cyclonev_lcell_comb \datamem|ram~698feeder (
+// Equation(s):
+// \datamem|ram~698feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~698feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~698feeder .extended_lut = "off";
+defparam \datamem|ram~698feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~698feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y20_N58
+dffeas \datamem|ram~698 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~698feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~698_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~698 .is_wysiwyg = "true";
+defparam \datamem|ram~698 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N36
+cyclonev_lcell_comb \datamem|ram~4619 (
+// Equation(s):
+// \datamem|ram~4619_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~954_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~698_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~442_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~186_q ) ) )
+
+ .dataa(!\datamem|ram~442_q ),
+ .datab(!\datamem|ram~186_q ),
+ .datac(!\datamem|ram~954_q ),
+ .datad(!\datamem|ram~698_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4619_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4619 .extended_lut = "off";
+defparam \datamem|ram~4619 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~4619 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N5
+dffeas \datamem|ram~922 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~922_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~922 .is_wysiwyg = "true";
+defparam \datamem|ram~922 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N44
+dffeas \datamem|ram~410 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~410_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~410 .is_wysiwyg = "true";
+defparam \datamem|ram~410 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y9_N27
+cyclonev_lcell_comb \datamem|ram~666feeder (
+// Equation(s):
+// \datamem|ram~666feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~666feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~666feeder .extended_lut = "off";
+defparam \datamem|ram~666feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~666feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y9_N28
+dffeas \datamem|ram~666 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~666feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~666_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~666 .is_wysiwyg = "true";
+defparam \datamem|ram~666 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N10
+dffeas \datamem|ram~154 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~154_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~154 .is_wysiwyg = "true";
+defparam \datamem|ram~154 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N48
+cyclonev_lcell_comb \datamem|ram~4617 (
+// Equation(s):
+// \datamem|ram~4617_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~922_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~410_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~666_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~154_q ) ) )
+
+ .dataa(!\datamem|ram~922_q ),
+ .datab(!\datamem|ram~410_q ),
+ .datac(!\datamem|ram~666_q ),
+ .datad(!\datamem|ram~154_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4617_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4617 .extended_lut = "off";
+defparam \datamem|ram~4617 .lut_mask = 64'h00FF0F0F33335555;
+defparam \datamem|ram~4617 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N36
+cyclonev_lcell_comb \datamem|ram~682feeder (
+// Equation(s):
+// \datamem|ram~682feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~682feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~682feeder .extended_lut = "off";
+defparam \datamem|ram~682feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~682feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N38
+dffeas \datamem|ram~682 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~682feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~682_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~682 .is_wysiwyg = "true";
+defparam \datamem|ram~682 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N12
+cyclonev_lcell_comb \datamem|ram~426feeder (
+// Equation(s):
+// \datamem|ram~426feeder_combout = \reg_file|reg_read_data_2[10]~10_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~426feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~426feeder .extended_lut = "off";
+defparam \datamem|ram~426feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~426feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N14
+dffeas \datamem|ram~426 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~426feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~426_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~426 .is_wysiwyg = "true";
+defparam \datamem|ram~426 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N18
+cyclonev_lcell_comb \datamem|ram~170feeder (
+// Equation(s):
+// \datamem|ram~170feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~170feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~170feeder .extended_lut = "off";
+defparam \datamem|ram~170feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~170feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N19
+dffeas \datamem|ram~170 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~170feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~170_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~170 .is_wysiwyg = "true";
+defparam \datamem|ram~170 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N44
+dffeas \datamem|ram~938 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~938_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~938 .is_wysiwyg = "true";
+defparam \datamem|ram~938 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N42
+cyclonev_lcell_comb \datamem|ram~4618 (
+// Equation(s):
+// \datamem|ram~4618_combout = ( \datamem|ram~938_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~682_q ) ) ) ) # ( !\datamem|ram~938_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~682_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~938_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~170_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~426_q )) ) ) ) # ( !\datamem|ram~938_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~170_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~426_q )) ) ) )
+
+ .dataa(!\datamem|ram~682_q ),
+ .datab(!\datamem|ram~426_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~170_q ),
+ .datae(!\datamem|ram~938_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4618_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4618 .extended_lut = "off";
+defparam \datamem|ram~4618 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4618 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N2
+dffeas \datamem|ram~650 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~650_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~650 .is_wysiwyg = "true";
+defparam \datamem|ram~650 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N12
+cyclonev_lcell_comb \datamem|ram~138feeder (
+// Equation(s):
+// \datamem|ram~138feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~138feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~138feeder .extended_lut = "off";
+defparam \datamem|ram~138feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~138feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N14
+dffeas \datamem|ram~138 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~138feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~138_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~138 .is_wysiwyg = "true";
+defparam \datamem|ram~138 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N52
+dffeas \datamem|ram~394 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~394_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~394 .is_wysiwyg = "true";
+defparam \datamem|ram~394 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N26
+dffeas \datamem|ram~906 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~906_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~906 .is_wysiwyg = "true";
+defparam \datamem|ram~906 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N24
+cyclonev_lcell_comb \datamem|ram~4616 (
+// Equation(s):
+// \datamem|ram~4616_combout = ( \datamem|ram~906_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~394_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~906_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & \datamem|ram~394_q )
+// ) ) ) # ( \datamem|ram~906_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~138_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~650_q )) ) ) ) # ( !\datamem|ram~906_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~138_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~650_q )) ) ) )
+
+ .dataa(!\datamem|ram~650_q ),
+ .datab(!\datamem|ram~138_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~394_q ),
+ .datae(!\datamem|ram~906_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4616_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4616 .extended_lut = "off";
+defparam \datamem|ram~4616 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4616 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N6
+cyclonev_lcell_comb \datamem|ram~4620 (
+// Equation(s):
+// \datamem|ram~4620_combout = ( \datamem|ram~4618_combout & ( \datamem|ram~4616_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4617_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4619_combout ))) ) )
+// ) # ( !\datamem|ram~4618_combout & ( \datamem|ram~4616_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4617_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4619_combout )))) ) ) ) # ( \datamem|ram~4618_combout & ( !\datamem|ram~4616_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4617_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4619_combout )))) ) ) ) # ( !\datamem|ram~4618_combout & ( !\datamem|ram~4616_combout & ( (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4617_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4619_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4619_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4617_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4618_combout ),
+ .dataf(!\datamem|ram~4616_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4620_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4620 .extended_lut = "off";
+defparam \datamem|ram~4620 .lut_mask = 64'h031103DDCF11CFDD;
+defparam \datamem|ram~4620 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N56
+dffeas \datamem|ram~522 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~522_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~522 .is_wysiwyg = "true";
+defparam \datamem|ram~522 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N37
+dffeas \datamem|ram~538 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~538_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~538 .is_wysiwyg = "true";
+defparam \datamem|ram~538 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N22
+dffeas \datamem|ram~554 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~554_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~554 .is_wysiwyg = "true";
+defparam \datamem|ram~554 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N38
+dffeas \datamem|ram~570 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~570_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~570 .is_wysiwyg = "true";
+defparam \datamem|ram~570 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N36
+cyclonev_lcell_comb \datamem|ram~4608 (
+// Equation(s):
+// \datamem|ram~4608_combout = ( \datamem|ram~570_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~554_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~570_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & \datamem|ram~554_q
+// ) ) ) ) # ( \datamem|ram~570_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~522_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~538_q ))) ) ) ) # ( !\datamem|ram~570_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~522_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~538_q ))) ) ) )
+
+ .dataa(!\datamem|ram~522_q ),
+ .datab(!\datamem|ram~538_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~554_q ),
+ .datae(!\datamem|ram~570_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4608_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4608 .extended_lut = "off";
+defparam \datamem|ram~4608 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4608 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N7
+dffeas \datamem|ram~58 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~58_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~58 .is_wysiwyg = "true";
+defparam \datamem|ram~58 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N22
+dffeas \datamem|ram~10 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~10_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~10 .is_wysiwyg = "true";
+defparam \datamem|ram~10 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N22
+dffeas \datamem|ram~42 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~42_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~42 .is_wysiwyg = "true";
+defparam \datamem|ram~42 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N14
+dffeas \datamem|ram~26 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~26_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~26 .is_wysiwyg = "true";
+defparam \datamem|ram~26 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N12
+cyclonev_lcell_comb \datamem|ram~4606 (
+// Equation(s):
+// \datamem|ram~4606_combout = ( \datamem|ram~26_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~58_q ) ) ) ) # ( !\datamem|ram~26_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~58_q & \alu_unit|Mux13~4_combout ) )
+// ) ) # ( \datamem|ram~26_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~10_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~42_q ))) ) ) ) # ( !\datamem|ram~26_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~10_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~42_q ))) ) ) )
+
+ .dataa(!\datamem|ram~58_q ),
+ .datab(!\datamem|ram~10_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~42_q ),
+ .datae(!\datamem|ram~26_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4606_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4606 .extended_lut = "off";
+defparam \datamem|ram~4606 .lut_mask = 64'h303F303F0505F5F5;
+defparam \datamem|ram~4606 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y9_N27
+cyclonev_lcell_comb \datamem|ram~298feeder (
+// Equation(s):
+// \datamem|ram~298feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~298feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~298feeder .extended_lut = "off";
+defparam \datamem|ram~298feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~298feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N29
+dffeas \datamem|ram~298 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~298feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~298_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~298 .is_wysiwyg = "true";
+defparam \datamem|ram~298 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N57
+cyclonev_lcell_comb \datamem|ram~266feeder (
+// Equation(s):
+// \datamem|ram~266feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~266feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~266feeder .extended_lut = "off";
+defparam \datamem|ram~266feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~266feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N59
+dffeas \datamem|ram~266 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~266feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~266_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~266 .is_wysiwyg = "true";
+defparam \datamem|ram~266 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N21
+cyclonev_lcell_comb \datamem|ram~314feeder (
+// Equation(s):
+// \datamem|ram~314feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~314feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~314feeder .extended_lut = "off";
+defparam \datamem|ram~314feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~314feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N22
+dffeas \datamem|ram~314 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~314feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~314_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~314 .is_wysiwyg = "true";
+defparam \datamem|ram~314 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N8
+dffeas \datamem|ram~282 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~282_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~282 .is_wysiwyg = "true";
+defparam \datamem|ram~282 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N6
+cyclonev_lcell_comb \datamem|ram~4607 (
+// Equation(s):
+// \datamem|ram~4607_combout = ( \datamem|ram~282_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~298_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~314_q ))) ) ) ) # ( !\datamem|ram~282_q & (
+// \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~298_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~314_q ))) ) ) ) # ( \datamem|ram~282_q & ( !\alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~266_q ) ) ) ) # ( !\datamem|ram~282_q & ( !\alu_unit|Mux13~4_combout & ( (\datamem|ram~266_q & !\alu_unit|Mux14~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~298_q ),
+ .datab(!\datamem|ram~266_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~314_q ),
+ .datae(!\datamem|ram~282_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4607_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4607 .extended_lut = "off";
+defparam \datamem|ram~4607 .lut_mask = 64'h30303F3F505F505F;
+defparam \datamem|ram~4607 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N31
+dffeas \datamem|ram~810 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~810_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~810 .is_wysiwyg = "true";
+defparam \datamem|ram~810 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N44
+dffeas \datamem|ram~778 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~778_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~778 .is_wysiwyg = "true";
+defparam \datamem|ram~778 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N3
+cyclonev_lcell_comb \datamem|ram~794feeder (
+// Equation(s):
+// \datamem|ram~794feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~794feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~794feeder .extended_lut = "off";
+defparam \datamem|ram~794feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~794feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N4
+dffeas \datamem|ram~794 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~794feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~794_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~794 .is_wysiwyg = "true";
+defparam \datamem|ram~794 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N26
+dffeas \datamem|ram~826 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~826_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~826 .is_wysiwyg = "true";
+defparam \datamem|ram~826 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N24
+cyclonev_lcell_comb \datamem|ram~4609 (
+// Equation(s):
+// \datamem|ram~4609_combout = ( \datamem|ram~826_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~794_q ) ) ) ) # ( !\datamem|ram~826_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~794_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~826_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~778_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~810_q )) ) ) ) # ( !\datamem|ram~826_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~778_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~810_q )) ) ) )
+
+ .dataa(!\datamem|ram~810_q ),
+ .datab(!\datamem|ram~778_q ),
+ .datac(!\datamem|ram~794_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~826_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4609_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4609 .extended_lut = "off";
+defparam \datamem|ram~4609 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4609 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N51
+cyclonev_lcell_comb \datamem|ram~4610 (
+// Equation(s):
+// \datamem|ram~4610_combout = ( \datamem|ram~4607_combout & ( \datamem|ram~4609_combout & ( ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4606_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4608_combout ))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~4607_combout & ( \datamem|ram~4609_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4606_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4608_combout )))) # (\alu_unit|Mux10~6_combout &
+// (\alu_unit|Mux9~4_combout )) ) ) ) # ( \datamem|ram~4607_combout & ( !\datamem|ram~4609_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4606_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4608_combout
+// )))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout )) ) ) ) # ( !\datamem|ram~4607_combout & ( !\datamem|ram~4609_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4606_combout ))) #
+// (\alu_unit|Mux9~4_combout & (\datamem|ram~4608_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4608_combout ),
+ .datad(!\datamem|ram~4606_combout ),
+ .datae(!\datamem|ram~4607_combout ),
+ .dataf(!\datamem|ram~4609_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4610_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4610 .extended_lut = "off";
+defparam \datamem|ram~4610 .lut_mask = 64'h028A46CE139B57DF;
+defparam \datamem|ram~4610 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y8_N57
+cyclonev_lcell_comb \datamem|ram~378feeder (
+// Equation(s):
+// \datamem|ram~378feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~378feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~378feeder .extended_lut = "off";
+defparam \datamem|ram~378feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~378feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y8_N59
+dffeas \datamem|ram~378 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~378feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~378_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~378 .is_wysiwyg = "true";
+defparam \datamem|ram~378 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N47
+dffeas \datamem|ram~362 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~362_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~362 .is_wysiwyg = "true";
+defparam \datamem|ram~362 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y7_N0
+cyclonev_lcell_comb \datamem|ram~330feeder (
+// Equation(s):
+// \datamem|ram~330feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~330feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~330feeder .extended_lut = "off";
+defparam \datamem|ram~330feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~330feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y7_N1
+dffeas \datamem|ram~330 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~330feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~330_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~330 .is_wysiwyg = "true";
+defparam \datamem|ram~330 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y8_N26
+dffeas \datamem|ram~346 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~346_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~346 .is_wysiwyg = "true";
+defparam \datamem|ram~346 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y8_N24
+cyclonev_lcell_comb \datamem|ram~4612 (
+// Equation(s):
+// \datamem|ram~4612_combout = ( \datamem|ram~346_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~378_q ) ) ) ) # ( !\datamem|ram~346_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~378_q & \alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~346_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~330_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~362_q )) ) ) ) # ( !\datamem|ram~346_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~330_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~362_q )) ) ) )
+
+ .dataa(!\datamem|ram~378_q ),
+ .datab(!\datamem|ram~362_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~330_q ),
+ .datae(!\datamem|ram~346_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4612_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4612 .extended_lut = "off";
+defparam \datamem|ram~4612 .lut_mask = 64'h03F303F30505F5F5;
+defparam \datamem|ram~4612 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y8_N24
+cyclonev_lcell_comb \datamem|ram~874feeder (
+// Equation(s):
+// \datamem|ram~874feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~874feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~874feeder .extended_lut = "off";
+defparam \datamem|ram~874feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~874feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N25
+dffeas \datamem|ram~874 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~874feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~874_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~874 .is_wysiwyg = "true";
+defparam \datamem|ram~874 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y7_N16
+dffeas \datamem|ram~842 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~842_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~842 .is_wysiwyg = "true";
+defparam \datamem|ram~842 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y7_N4
+dffeas \datamem|ram~858 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~858_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~858 .is_wysiwyg = "true";
+defparam \datamem|ram~858 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y8_N8
+dffeas \datamem|ram~890 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~890_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~890 .is_wysiwyg = "true";
+defparam \datamem|ram~890 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y8_N6
+cyclonev_lcell_comb \datamem|ram~4614 (
+// Equation(s):
+// \datamem|ram~4614_combout = ( \datamem|ram~890_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~858_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~890_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & \datamem|ram~858_q
+// ) ) ) ) # ( \datamem|ram~890_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~842_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~874_q )) ) ) ) # ( !\datamem|ram~890_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~842_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~874_q )) ) ) )
+
+ .dataa(!\datamem|ram~874_q ),
+ .datab(!\datamem|ram~842_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~858_q ),
+ .datae(!\datamem|ram~890_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4614_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4614 .extended_lut = "off";
+defparam \datamem|ram~4614 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4614 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N46
+dffeas \datamem|ram~74 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~74_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~74 .is_wysiwyg = "true";
+defparam \datamem|ram~74 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N21
+cyclonev_lcell_comb \datamem|ram~122feeder (
+// Equation(s):
+// \datamem|ram~122feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~122feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~122feeder .extended_lut = "off";
+defparam \datamem|ram~122feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~122feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N22
+dffeas \datamem|ram~122 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~122feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~122_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~122 .is_wysiwyg = "true";
+defparam \datamem|ram~122 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N27
+cyclonev_lcell_comb \datamem|ram~106feeder (
+// Equation(s):
+// \datamem|ram~106feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~106feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~106feeder .extended_lut = "off";
+defparam \datamem|ram~106feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~106feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N28
+dffeas \datamem|ram~106 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~106feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~106_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~106 .is_wysiwyg = "true";
+defparam \datamem|ram~106 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y8_N56
+dffeas \datamem|ram~90 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~90_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~90 .is_wysiwyg = "true";
+defparam \datamem|ram~90 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y8_N54
+cyclonev_lcell_comb \datamem|ram~4611 (
+// Equation(s):
+// \datamem|ram~4611_combout = ( \datamem|ram~90_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~122_q ) ) ) ) # ( !\datamem|ram~90_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~122_q & \alu_unit|Mux13~4_combout )
+// ) ) ) # ( \datamem|ram~90_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~74_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~106_q ))) ) ) ) # ( !\datamem|ram~90_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~74_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~106_q ))) ) ) )
+
+ .dataa(!\datamem|ram~74_q ),
+ .datab(!\datamem|ram~122_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~106_q ),
+ .datae(!\datamem|ram~90_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4611_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4611 .extended_lut = "off";
+defparam \datamem|ram~4611 .lut_mask = 64'h505F505F0303F3F3;
+defparam \datamem|ram~4611 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y4_N48
+cyclonev_lcell_comb \datamem|ram~602feeder (
+// Equation(s):
+// \datamem|ram~602feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~602feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~602feeder .extended_lut = "off";
+defparam \datamem|ram~602feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~602feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N49
+dffeas \datamem|ram~602 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~602feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~602_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~602 .is_wysiwyg = "true";
+defparam \datamem|ram~602 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N17
+dffeas \datamem|ram~618 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~618_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~618 .is_wysiwyg = "true";
+defparam \datamem|ram~618 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N39
+cyclonev_lcell_comb \datamem|ram~586feeder (
+// Equation(s):
+// \datamem|ram~586feeder_combout = ( \reg_file|reg_read_data_2[10]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~586feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~586feeder .extended_lut = "off";
+defparam \datamem|ram~586feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~586feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N41
+dffeas \datamem|ram~586 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~586feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~586_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~586 .is_wysiwyg = "true";
+defparam \datamem|ram~586 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N2
+dffeas \datamem|ram~634 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[10]~10_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~634_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~634 .is_wysiwyg = "true";
+defparam \datamem|ram~634 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N0
+cyclonev_lcell_comb \datamem|ram~4613 (
+// Equation(s):
+// \datamem|ram~4613_combout = ( \datamem|ram~634_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~618_q ) ) ) ) # ( !\datamem|ram~634_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~618_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~634_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~586_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~602_q )) ) ) ) # ( !\datamem|ram~634_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & ((\datamem|ram~586_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~602_q )) ) ) )
+
+ .dataa(!\datamem|ram~602_q ),
+ .datab(!\datamem|ram~618_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~586_q ),
+ .datae(!\datamem|ram~634_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4613_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4613 .extended_lut = "off";
+defparam \datamem|ram~4613 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4613 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y8_N12
+cyclonev_lcell_comb \datamem|ram~4615 (
+// Equation(s):
+// \datamem|ram~4615_combout = ( \datamem|ram~4611_combout & ( \datamem|ram~4613_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4612_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4614_combout )))) ) ) )
+// # ( !\datamem|ram~4611_combout & ( \datamem|ram~4613_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4612_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4614_combout ))))) ) ) ) # ( \datamem|ram~4611_combout & ( !\datamem|ram~4613_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4612_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4614_combout ))))) ) ) ) # ( !\datamem|ram~4611_combout & ( !\datamem|ram~4613_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4612_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4614_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4612_combout ),
+ .datad(!\datamem|ram~4614_combout ),
+ .datae(!\datamem|ram~4611_combout ),
+ .dataf(!\datamem|ram~4613_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4615_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4615 .extended_lut = "off";
+defparam \datamem|ram~4615 .lut_mask = 64'h04158C9D2637AEBF;
+defparam \datamem|ram~4615 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N42
+cyclonev_lcell_comb \datamem|ram~4626 (
+// Equation(s):
+// \datamem|ram~4626_combout = ( \datamem|ram~4610_combout & ( \datamem|ram~4615_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4620_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4625_combout ))) ) )
+// ) # ( !\datamem|ram~4610_combout & ( \datamem|ram~4615_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4620_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~4625_combout )))) ) ) ) # ( \datamem|ram~4610_combout & ( !\datamem|ram~4615_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4620_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4625_combout )))) ) ) ) # ( !\datamem|ram~4610_combout & ( !\datamem|ram~4615_combout & ( (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4620_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4625_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4625_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~4620_combout ),
+ .datae(!\datamem|ram~4610_combout ),
+ .dataf(!\datamem|ram~4615_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4626_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4626 .extended_lut = "off";
+defparam \datamem|ram~4626 .lut_mask = 64'h0151A1F10B5BABFB;
+defparam \datamem|ram~4626 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y16_N30
+cyclonev_lcell_comb \datamem|ram~4690 (
+// Equation(s):
+// \datamem|ram~4690_combout = ( \datamem|ram~4647_combout & ( \datamem|ram~4626_combout & ( (!\alu_unit|Mux7~2_combout ) # ((!\alu_unit|Mux8~2_combout & ((\datamem|ram~4668_combout ))) # (\alu_unit|Mux8~2_combout & (\datamem|ram~4689_combout ))) ) ) )
+// # ( !\datamem|ram~4647_combout & ( \datamem|ram~4626_combout & ( (!\alu_unit|Mux7~2_combout & (!\alu_unit|Mux8~2_combout )) # (\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout & ((\datamem|ram~4668_combout ))) # (\alu_unit|Mux8~2_combout &
+// (\datamem|ram~4689_combout )))) ) ) ) # ( \datamem|ram~4647_combout & ( !\datamem|ram~4626_combout & ( (!\alu_unit|Mux7~2_combout & (\alu_unit|Mux8~2_combout )) # (\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout & ((\datamem|ram~4668_combout
+// ))) # (\alu_unit|Mux8~2_combout & (\datamem|ram~4689_combout )))) ) ) ) # ( !\datamem|ram~4647_combout & ( !\datamem|ram~4626_combout & ( (\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout & ((\datamem|ram~4668_combout ))) #
+// (\alu_unit|Mux8~2_combout & (\datamem|ram~4689_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux7~2_combout ),
+ .datab(!\alu_unit|Mux8~2_combout ),
+ .datac(!\datamem|ram~4689_combout ),
+ .datad(!\datamem|ram~4668_combout ),
+ .datae(!\datamem|ram~4647_combout ),
+ .dataf(!\datamem|ram~4626_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4690_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4690 .extended_lut = "off";
+defparam \datamem|ram~4690 .lut_mask = 64'h0145236789CDABEF;
+defparam \datamem|ram~4690 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N0
+cyclonev_lcell_comb \reg_write_data[10]~8 (
+// Equation(s):
+// \reg_write_data[10]~8_combout = ( \datamem|ram~4690_combout & ( (!\reg_write_data[1]~0_combout & (\alu_unit|Mux5~3_combout )) # (\reg_write_data[1]~0_combout & (((\Add0~37_sumout ) # (\reg_write_data[1]~1_combout )))) ) ) # (
+// !\datamem|ram~4690_combout & ( (!\reg_write_data[1]~0_combout & (\alu_unit|Mux5~3_combout )) # (\reg_write_data[1]~0_combout & (((!\reg_write_data[1]~1_combout & \Add0~37_sumout )))) ) )
+
+ .dataa(!\alu_unit|Mux5~3_combout ),
+ .datab(!\reg_write_data[1]~1_combout ),
+ .datac(!\Add0~37_sumout ),
+ .datad(!\reg_write_data[1]~0_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~4690_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[10]~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[10]~8 .extended_lut = "off";
+defparam \reg_write_data[10]~8 .lut_mask = 64'h550C550C553F553F;
+defparam \reg_write_data[10]~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N46
+dffeas \reg_file|reg_array[0][10] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[10]~8_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][10]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][10] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][10] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y16_N2
+dffeas \reg_file|reg_array[1][10] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_write_data[10]~8_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][10]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][10] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][10] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y17_N50
+dffeas \reg_file|reg_array[2][10] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[10]~8_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][10]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][10] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y17_N48
+cyclonev_lcell_comb \reg_file|reg_read_data_2[10]~10 (
+// Equation(s):
+// \reg_file|reg_read_data_2[10]~10_combout = ( \reg_file|reg_array[2][10]~q & ( \instrucion_memory|rom~18_combout & ( (!\instrucion_memory|rom~17_combout ) # (\reg_file|reg_array[3][10]~q ) ) ) ) # ( !\reg_file|reg_array[2][10]~q & (
+// \instrucion_memory|rom~18_combout & ( (\instrucion_memory|rom~17_combout & \reg_file|reg_array[3][10]~q ) ) ) ) # ( \reg_file|reg_array[2][10]~q & ( !\instrucion_memory|rom~18_combout & ( (!\instrucion_memory|rom~17_combout &
+// (\reg_file|reg_array[0][10]~q )) # (\instrucion_memory|rom~17_combout & ((\reg_file|reg_array[1][10]~q ))) ) ) ) # ( !\reg_file|reg_array[2][10]~q & ( !\instrucion_memory|rom~18_combout & ( (!\instrucion_memory|rom~17_combout &
+// (\reg_file|reg_array[0][10]~q )) # (\instrucion_memory|rom~17_combout & ((\reg_file|reg_array[1][10]~q ))) ) ) )
+
+ .dataa(!\reg_file|reg_array[0][10]~q ),
+ .datab(!\instrucion_memory|rom~17_combout ),
+ .datac(!\reg_file|reg_array[1][10]~q ),
+ .datad(!\reg_file|reg_array[3][10]~q ),
+ .datae(!\reg_file|reg_array[2][10]~q ),
+ .dataf(!\instrucion_memory|rom~18_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[10]~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[10]~10 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[10]~10 .lut_mask = 64'h474747470033CCFF;
+defparam \reg_file|reg_read_data_2[10]~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y17_N45
+cyclonev_lcell_comb \read_data2[10]~10 (
+// Equation(s):
+// \read_data2[10]~10_combout = ( \reg_file|Equal1~0_combout & ( \reg_file|reg_read_data_2[10]~10_combout & ( (!\imm_ext[15]~0_combout & \control_unit|WideOr0~0_combout ) ) ) ) # ( !\reg_file|Equal1~0_combout & ( \reg_file|reg_read_data_2[10]~10_combout
+// & ( (!\imm_ext[15]~0_combout ) # (!\control_unit|WideOr0~0_combout ) ) ) ) # ( \reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_2[10]~10_combout & ( (!\imm_ext[15]~0_combout & \control_unit|WideOr0~0_combout ) ) ) ) # (
+// !\reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_2[10]~10_combout & ( (!\imm_ext[15]~0_combout & \control_unit|WideOr0~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(!\imm_ext[15]~0_combout ),
+ .datac(!\control_unit|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(!\reg_file|Equal1~0_combout ),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[10]~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[10]~10 .extended_lut = "off";
+defparam \read_data2[10]~10 .lut_mask = 64'h0C0C0C0CFCFC0C0C;
+defparam \read_data2[10]~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N33
+cyclonev_lcell_comb \alu_unit|Add0~41 (
+// Equation(s):
+// \alu_unit|Add0~41_sumout = SUM(( \read_data2[11]~11_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[11]~12_combout ))) ) + ( \alu_unit|Add0~38 ))
+// \alu_unit|Add0~42 = CARRY(( \read_data2[11]~11_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[11]~12_combout ))) ) + ( \alu_unit|Add0~38 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[11]~11_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[11]~12_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~41_sumout ),
+ .cout(\alu_unit|Add0~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~41 .extended_lut = "off";
+defparam \alu_unit|Add0~41 .lut_mask = 64'h0000FFF7000000FF;
+defparam \alu_unit|Add0~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N42
+cyclonev_lcell_comb \alu_unit|Add1~41 (
+// Equation(s):
+// \alu_unit|Add1~41_sumout = SUM(( !\read_data2[11]~11_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[11]~12_combout ))) ) + ( \alu_unit|Add1~38 ))
+// \alu_unit|Add1~42 = CARRY(( !\read_data2[11]~11_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[11]~12_combout ))) ) + ( \alu_unit|Add1~38 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[11]~11_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[11]~12_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~38 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~41_sumout ),
+ .cout(\alu_unit|Add1~42 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~41 .extended_lut = "off";
+defparam \alu_unit|Add1~41 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~41 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y11_N18
+cyclonev_lcell_comb \alu_unit|Mux4~0 (
+// Equation(s):
+// \alu_unit|Mux4~0_combout = ( \ALU_Control_unit|WideOr1~0_combout & ( \alu_unit|Add1~41_sumout & ( (!\reg_file|reg_read_data_1[11]~13_combout & (!\ALU_Control_unit|WideOr2~0_combout & \read_data2[11]~11_combout )) #
+// (\reg_file|reg_read_data_1[11]~13_combout & ((!\ALU_Control_unit|WideOr2~0_combout ) # (\read_data2[11]~11_combout ))) ) ) ) # ( !\ALU_Control_unit|WideOr1~0_combout & ( \alu_unit|Add1~41_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout ) #
+// (\alu_unit|Add0~41_sumout ) ) ) ) # ( \ALU_Control_unit|WideOr1~0_combout & ( !\alu_unit|Add1~41_sumout & ( (!\reg_file|reg_read_data_1[11]~13_combout & (!\ALU_Control_unit|WideOr2~0_combout & \read_data2[11]~11_combout )) #
+// (\reg_file|reg_read_data_1[11]~13_combout & ((!\ALU_Control_unit|WideOr2~0_combout ) # (\read_data2[11]~11_combout ))) ) ) ) # ( !\ALU_Control_unit|WideOr1~0_combout & ( !\alu_unit|Add1~41_sumout & ( (\ALU_Control_unit|WideOr2~0_combout &
+// \alu_unit|Add0~41_sumout ) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .datab(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datac(!\read_data2[11]~11_combout ),
+ .datad(!\alu_unit|Add0~41_sumout ),
+ .datae(!\ALU_Control_unit|WideOr1~0_combout ),
+ .dataf(!\alu_unit|Add1~41_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux4~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux4~0 .extended_lut = "off";
+defparam \alu_unit|Mux4~0 .lut_mask = 64'h00334D4DCCFF4D4D;
+defparam \alu_unit|Mux4~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y11_N45
+cyclonev_lcell_comb \alu_unit|Mux4~2 (
+// Equation(s):
+// \alu_unit|Mux4~2_combout = ( \alu_unit|shifter_left|st2[3]~3_combout & ( \alu_unit|shifter_left|st2[11]~12_combout & ( (!\alu_unit|Mux6~1_combout & ((!\read_data2[2]~3_combout ) # ((\alu_unit|shifter_left|st2[7]~8_combout & !\read_data2[3]~2_combout
+// )))) ) ) ) # ( !\alu_unit|shifter_left|st2[3]~3_combout & ( \alu_unit|shifter_left|st2[11]~12_combout & ( (!\alu_unit|Mux6~1_combout & (!\read_data2[3]~2_combout & ((!\read_data2[2]~3_combout ) # (\alu_unit|shifter_left|st2[7]~8_combout )))) ) ) ) # (
+// \alu_unit|shifter_left|st2[3]~3_combout & ( !\alu_unit|shifter_left|st2[11]~12_combout & ( (!\alu_unit|Mux6~1_combout & ((!\read_data2[2]~3_combout & ((\read_data2[3]~2_combout ))) # (\read_data2[2]~3_combout &
+// (\alu_unit|shifter_left|st2[7]~8_combout & !\read_data2[3]~2_combout )))) ) ) ) # ( !\alu_unit|shifter_left|st2[3]~3_combout & ( !\alu_unit|shifter_left|st2[11]~12_combout & ( (\alu_unit|shifter_left|st2[7]~8_combout & (!\alu_unit|Mux6~1_combout &
+// (\read_data2[2]~3_combout & !\read_data2[3]~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|shifter_left|st2[7]~8_combout ),
+ .datab(!\alu_unit|Mux6~1_combout ),
+ .datac(!\read_data2[2]~3_combout ),
+ .datad(!\read_data2[3]~2_combout ),
+ .datae(!\alu_unit|shifter_left|st2[3]~3_combout ),
+ .dataf(!\alu_unit|shifter_left|st2[11]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux4~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux4~2 .extended_lut = "off";
+defparam \alu_unit|Mux4~2 .lut_mask = 64'h040004C0C400C4C0;
+defparam \alu_unit|Mux4~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N30
+cyclonev_lcell_comb \alu_unit|Mux4~1 (
+// Equation(s):
+// \alu_unit|Mux4~1_combout = ( \ALU_Control_unit|WideOr1~0_combout & ( \alu_unit|shifter_right|st2[0]~9_combout & ( (!\read_data2[1]~0_combout & (!\ALU_Control_unit|WideOr2~0_combout & ((\read_data2[2]~3_combout ) # (\read_data2[3]~2_combout )))) ) ) )
+// # ( !\ALU_Control_unit|WideOr1~0_combout & ( \alu_unit|shifter_right|st2[0]~9_combout & ( (!\read_data2[1]~0_combout & ((\ALU_Control_unit|WideOr2~0_combout ) # (\read_data2[2]~3_combout ))) ) ) )
+
+ .dataa(!\read_data2[1]~0_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\read_data2[2]~3_combout ),
+ .datad(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datae(!\ALU_Control_unit|WideOr1~0_combout ),
+ .dataf(!\alu_unit|shifter_right|st2[0]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux4~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux4~1 .extended_lut = "off";
+defparam \alu_unit|Mux4~1 .lut_mask = 64'h000000000AAA2A00;
+defparam \alu_unit|Mux4~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y11_N24
+cyclonev_lcell_comb \alu_unit|Mux4~4 (
+// Equation(s):
+// \alu_unit|Mux4~4_combout = ( !\alu_unit|Mux6~0_combout & ( ((!\alu_unit|Mux10~1_combout & (((\alu_unit|Mult0~19 )))) # (\alu_unit|Mux10~1_combout & (((\alu_unit|Mux4~2_combout )) # (\alu_unit|Mux4~1_combout )))) ) ) # ( \alu_unit|Mux6~0_combout & (
+// (!\alu_unit|Mux10~1_combout & ((((\alu_unit|Mult0~19 ))))) # (\alu_unit|Mux10~1_combout & (!\alu_unit|Mux6~1_combout & (\alu_unit|shifter_right|st2[4]~7_combout ))) ) )
+
+ .dataa(!\alu_unit|Mux6~1_combout ),
+ .datab(!\alu_unit|Mux10~1_combout ),
+ .datac(!\alu_unit|shifter_right|st2[4]~7_combout ),
+ .datad(!\alu_unit|Mux4~2_combout ),
+ .datae(!\alu_unit|Mux6~0_combout ),
+ .dataf(!\alu_unit|Mult0~19 ),
+ .datag(!\alu_unit|Mux4~1_combout ),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux4~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux4~4 .extended_lut = "on";
+defparam \alu_unit|Mux4~4 .lut_mask = 64'h03330202CFFFCECE;
+defparam \alu_unit|Mux4~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N3
+cyclonev_lcell_comb \alu_unit|Mux4~3 (
+// Equation(s):
+// \alu_unit|Mux4~3_combout = ( \alu_unit|Mux4~0_combout & ( \alu_unit|Mux4~4_combout ) ) # ( !\alu_unit|Mux4~0_combout & ( \alu_unit|Mux4~4_combout & ( \ALU_Control_unit|WideOr0~0_combout ) ) ) # ( \alu_unit|Mux4~0_combout & (
+// !\alu_unit|Mux4~4_combout & ( !\ALU_Control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux4~0_combout ),
+ .dataf(!\alu_unit|Mux4~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux4~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux4~3 .extended_lut = "off";
+defparam \alu_unit|Mux4~3 .lut_mask = 64'h0000AAAA5555FFFF;
+defparam \alu_unit|Mux4~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N18
+cyclonev_lcell_comb \datamem|ram~651feeder (
+// Equation(s):
+// \datamem|ram~651feeder_combout = \reg_file|reg_read_data_2[11]~11_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~651feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~651feeder .extended_lut = "off";
+defparam \datamem|ram~651feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~651feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N19
+dffeas \datamem|ram~651 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~651feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~651_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~651 .is_wysiwyg = "true";
+defparam \datamem|ram~651 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y8_N57
+cyclonev_lcell_comb \datamem|ram~395feeder (
+// Equation(s):
+// \datamem|ram~395feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~395feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~395feeder .extended_lut = "off";
+defparam \datamem|ram~395feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~395feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N59
+dffeas \datamem|ram~395 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~395feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~395_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~395 .is_wysiwyg = "true";
+defparam \datamem|ram~395 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N13
+dffeas \datamem|ram~139 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~139_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~139 .is_wysiwyg = "true";
+defparam \datamem|ram~139 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N44
+dffeas \datamem|ram~907 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~907_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~907 .is_wysiwyg = "true";
+defparam \datamem|ram~907 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y8_N42
+cyclonev_lcell_comb \datamem|ram~4693 (
+// Equation(s):
+// \datamem|ram~4693_combout = ( \datamem|ram~907_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~395_q ) ) ) ) # ( !\datamem|ram~907_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~395_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~907_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~139_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~651_q )) ) ) ) # ( !\datamem|ram~907_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~139_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~651_q )) ) ) )
+
+ .dataa(!\datamem|ram~651_q ),
+ .datab(!\datamem|ram~395_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~139_q ),
+ .datae(!\datamem|ram~907_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4693_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4693 .extended_lut = "off";
+defparam \datamem|ram~4693 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4693 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y7_N42
+cyclonev_lcell_comb \datamem|ram~843feeder (
+// Equation(s):
+// \datamem|ram~843feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~843feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~843feeder .extended_lut = "off";
+defparam \datamem|ram~843feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~843feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y7_N43
+dffeas \datamem|ram~843 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~843feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~843_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~843 .is_wysiwyg = "true";
+defparam \datamem|ram~843 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N0
+cyclonev_lcell_comb \datamem|ram~75feeder (
+// Equation(s):
+// \datamem|ram~75feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~75feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~75feeder .extended_lut = "off";
+defparam \datamem|ram~75feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~75feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N1
+dffeas \datamem|ram~75 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~75feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~75_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~75 .is_wysiwyg = "true";
+defparam \datamem|ram~75 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N12
+cyclonev_lcell_comb \datamem|ram~587feeder (
+// Equation(s):
+// \datamem|ram~587feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~587feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~587feeder .extended_lut = "off";
+defparam \datamem|ram~587feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~587feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N13
+dffeas \datamem|ram~587 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~587feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~587_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~587 .is_wysiwyg = "true";
+defparam \datamem|ram~587 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N54
+cyclonev_lcell_comb \datamem|ram~331feeder (
+// Equation(s):
+// \datamem|ram~331feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~331feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~331feeder .extended_lut = "off";
+defparam \datamem|ram~331feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~331feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N55
+dffeas \datamem|ram~331 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~331feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~331_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~331 .is_wysiwyg = "true";
+defparam \datamem|ram~331 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y7_N33
+cyclonev_lcell_comb \datamem|ram~4692 (
+// Equation(s):
+// \datamem|ram~4692_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~843_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~331_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~587_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~75_q ) ) )
+
+ .dataa(!\datamem|ram~843_q ),
+ .datab(!\datamem|ram~75_q ),
+ .datac(!\datamem|ram~587_q ),
+ .datad(!\datamem|ram~331_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4692_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4692 .extended_lut = "off";
+defparam \datamem|ram~4692 .lut_mask = 64'h33330F0F00FF5555;
+defparam \datamem|ram~4692 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y9_N26
+dffeas \datamem|ram~11 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~11_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~11 .is_wysiwyg = "true";
+defparam \datamem|ram~11 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N16
+dffeas \datamem|ram~779 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~779_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~779 .is_wysiwyg = "true";
+defparam \datamem|ram~779 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y9_N31
+dffeas \datamem|ram~523 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~523_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~523 .is_wysiwyg = "true";
+defparam \datamem|ram~523 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y9_N2
+dffeas \datamem|ram~267 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~267_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~267 .is_wysiwyg = "true";
+defparam \datamem|ram~267 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N0
+cyclonev_lcell_comb \datamem|ram~4691 (
+// Equation(s):
+// \datamem|ram~4691_combout = ( \datamem|ram~267_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~779_q ) ) ) ) # ( !\datamem|ram~267_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~779_q & \alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~267_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~11_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~523_q ))) ) ) ) # ( !\datamem|ram~267_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~11_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~523_q ))) ) ) )
+
+ .dataa(!\datamem|ram~11_q ),
+ .datab(!\datamem|ram~779_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~523_q ),
+ .datae(!\datamem|ram~267_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4691_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4691 .extended_lut = "off";
+defparam \datamem|ram~4691 .lut_mask = 64'h505F505F0303F3F3;
+defparam \datamem|ram~4691 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y7_N42
+cyclonev_lcell_comb \datamem|ram~715feeder (
+// Equation(s):
+// \datamem|ram~715feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~715feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~715feeder .extended_lut = "off";
+defparam \datamem|ram~715feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~715feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y7_N43
+dffeas \datamem|ram~715 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~715feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~715_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~715 .is_wysiwyg = "true";
+defparam \datamem|ram~715 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N57
+cyclonev_lcell_comb \datamem|ram~459feeder (
+// Equation(s):
+// \datamem|ram~459feeder_combout = \reg_file|reg_read_data_2[11]~11_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~459feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~459feeder .extended_lut = "off";
+defparam \datamem|ram~459feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~459feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N58
+dffeas \datamem|ram~459 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~459feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~459_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~459 .is_wysiwyg = "true";
+defparam \datamem|ram~459 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y5_N25
+dffeas \datamem|ram~203 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~203_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~203 .is_wysiwyg = "true";
+defparam \datamem|ram~203 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y5_N47
+dffeas \datamem|ram~971 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~971_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~971 .is_wysiwyg = "true";
+defparam \datamem|ram~971 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y5_N45
+cyclonev_lcell_comb \datamem|ram~4694 (
+// Equation(s):
+// \datamem|ram~4694_combout = ( \datamem|ram~971_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~715_q ) ) ) ) # ( !\datamem|ram~971_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~715_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~971_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~203_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~459_q )) ) ) ) # ( !\datamem|ram~971_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~203_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~459_q )) ) ) )
+
+ .dataa(!\datamem|ram~715_q ),
+ .datab(!\datamem|ram~459_q ),
+ .datac(!\datamem|ram~203_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~971_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4694_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4694 .extended_lut = "off";
+defparam \datamem|ram~4694 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4694 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N6
+cyclonev_lcell_comb \datamem|ram~4695 (
+// Equation(s):
+// \datamem|ram~4695_combout = ( \datamem|ram~4691_combout & ( \datamem|ram~4694_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~4693_combout ))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) #
+// (\datamem|ram~4692_combout )))) ) ) ) # ( !\datamem|ram~4691_combout & ( \datamem|ram~4694_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~4693_combout & ((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout &
+// (((\alu_unit|Mux11~4_combout ) # (\datamem|ram~4692_combout )))) ) ) ) # ( \datamem|ram~4691_combout & ( !\datamem|ram~4694_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~4693_combout ))) #
+// (\alu_unit|Mux12~2_combout & (((\datamem|ram~4692_combout & !\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~4691_combout & ( !\datamem|ram~4694_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~4693_combout &
+// ((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4692_combout & !\alu_unit|Mux11~4_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~4693_combout ),
+ .datac(!\datamem|ram~4692_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4691_combout ),
+ .dataf(!\datamem|ram~4694_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4695_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4695 .extended_lut = "off";
+defparam \datamem|ram~4695 .lut_mask = 64'h0522AF220577AF77;
+defparam \datamem|ram~4695 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y22_N12
+cyclonev_lcell_comb \datamem|ram~475feeder (
+// Equation(s):
+// \datamem|ram~475feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~475feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~475feeder .extended_lut = "off";
+defparam \datamem|ram~475feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~475feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y22_N14
+dffeas \datamem|ram~475 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~475feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~475_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~475 .is_wysiwyg = "true";
+defparam \datamem|ram~475 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N11
+dffeas \datamem|ram~731 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~731_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~731 .is_wysiwyg = "true";
+defparam \datamem|ram~731 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N23
+dffeas \datamem|ram~219 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~219_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~219 .is_wysiwyg = "true";
+defparam \datamem|ram~219 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N14
+dffeas \datamem|ram~987 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~987_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~987 .is_wysiwyg = "true";
+defparam \datamem|ram~987 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4699 (
+// Equation(s):
+// \datamem|ram~4699_combout = ( \datamem|ram~987_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~731_q ) ) ) ) # ( !\datamem|ram~987_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~731_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~987_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~219_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~475_q )) ) ) ) # ( !\datamem|ram~987_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~219_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~475_q )) ) ) )
+
+ .dataa(!\datamem|ram~475_q ),
+ .datab(!\datamem|ram~731_q ),
+ .datac(!\datamem|ram~219_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~987_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4699_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4699 .extended_lut = "off";
+defparam \datamem|ram~4699 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4699 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y10_N24
+cyclonev_lcell_comb \datamem|ram~91feeder (
+// Equation(s):
+// \datamem|ram~91feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~91feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~91feeder .extended_lut = "off";
+defparam \datamem|ram~91feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~91feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y10_N26
+dffeas \datamem|ram~91 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~91feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~91_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~91 .is_wysiwyg = "true";
+defparam \datamem|ram~91 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y10_N42
+cyclonev_lcell_comb \datamem|ram~603feeder (
+// Equation(s):
+// \datamem|ram~603feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~603feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~603feeder .extended_lut = "off";
+defparam \datamem|ram~603feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~603feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y10_N44
+dffeas \datamem|ram~603 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~603feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~603_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~603 .is_wysiwyg = "true";
+defparam \datamem|ram~603 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y19_N1
+dffeas \datamem|ram~859 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~859_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~859 .is_wysiwyg = "true";
+defparam \datamem|ram~859 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y10_N8
+dffeas \datamem|ram~347 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~347_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~347 .is_wysiwyg = "true";
+defparam \datamem|ram~347 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y10_N6
+cyclonev_lcell_comb \datamem|ram~4697 (
+// Equation(s):
+// \datamem|ram~4697_combout = ( \datamem|ram~347_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~859_q ) ) ) ) # ( !\datamem|ram~347_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~859_q & \alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~347_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~91_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~603_q ))) ) ) ) # ( !\datamem|ram~347_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~91_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~603_q ))) ) ) )
+
+ .dataa(!\datamem|ram~91_q ),
+ .datab(!\datamem|ram~603_q ),
+ .datac(!\datamem|ram~859_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~347_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4697_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4697 .extended_lut = "off";
+defparam \datamem|ram~4697 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~4697 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N58
+dffeas \datamem|ram~923 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~923_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~923 .is_wysiwyg = "true";
+defparam \datamem|ram~923 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N8
+dffeas \datamem|ram~155 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~155_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~155 .is_wysiwyg = "true";
+defparam \datamem|ram~155 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N14
+dffeas \datamem|ram~411 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~411_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~411 .is_wysiwyg = "true";
+defparam \datamem|ram~411 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y11_N55
+dffeas \datamem|ram~667 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~667_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~667 .is_wysiwyg = "true";
+defparam \datamem|ram~667 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y11_N21
+cyclonev_lcell_comb \datamem|ram~4698 (
+// Equation(s):
+// \datamem|ram~4698_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~923_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~411_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~667_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~155_q ) ) )
+
+ .dataa(!\datamem|ram~923_q ),
+ .datab(!\datamem|ram~155_q ),
+ .datac(!\datamem|ram~411_q ),
+ .datad(!\datamem|ram~667_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4698_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4698 .extended_lut = "off";
+defparam \datamem|ram~4698 .lut_mask = 64'h333300FF0F0F5555;
+defparam \datamem|ram~4698 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N18
+cyclonev_lcell_comb \datamem|ram~795feeder (
+// Equation(s):
+// \datamem|ram~795feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~795feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~795feeder .extended_lut = "off";
+defparam \datamem|ram~795feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~795feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N19
+dffeas \datamem|ram~795 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~795feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~795_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~795 .is_wysiwyg = "true";
+defparam \datamem|ram~795 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N14
+dffeas \datamem|ram~27 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~27_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~27 .is_wysiwyg = "true";
+defparam \datamem|ram~27 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N1
+dffeas \datamem|ram~539 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~539_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~539 .is_wysiwyg = "true";
+defparam \datamem|ram~539 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N32
+dffeas \datamem|ram~283 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~283_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~283 .is_wysiwyg = "true";
+defparam \datamem|ram~283 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y18_N30
+cyclonev_lcell_comb \datamem|ram~4696 (
+// Equation(s):
+// \datamem|ram~4696_combout = ( \datamem|ram~283_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~539_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~795_q )) ) ) ) # ( !\datamem|ram~283_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~539_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~795_q )) ) ) ) # ( \datamem|ram~283_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~27_q ) ) ) ) # (
+// !\datamem|ram~283_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~27_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~795_q ),
+ .datab(!\datamem|ram~27_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~539_q ),
+ .datae(!\datamem|ram~283_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4696_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4696 .extended_lut = "off";
+defparam \datamem|ram~4696 .lut_mask = 64'h30303F3F05F505F5;
+defparam \datamem|ram~4696 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N15
+cyclonev_lcell_comb \datamem|ram~4700 (
+// Equation(s):
+// \datamem|ram~4700_combout = ( \datamem|ram~4698_combout & ( \datamem|ram~4696_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4697_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4699_combout ))) ) )
+// ) # ( !\datamem|ram~4698_combout & ( \datamem|ram~4696_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) # ((\datamem|ram~4697_combout )))) # (\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & (\datamem|ram~4699_combout
+// ))) ) ) ) # ( \datamem|ram~4698_combout & ( !\datamem|ram~4696_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & ((\datamem|ram~4697_combout )))) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) #
+// ((\datamem|ram~4699_combout )))) ) ) ) # ( !\datamem|ram~4698_combout & ( !\datamem|ram~4696_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4697_combout ))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4699_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4699_combout ),
+ .datad(!\datamem|ram~4697_combout ),
+ .datae(!\datamem|ram~4698_combout ),
+ .dataf(!\datamem|ram~4696_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4700_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4700 .extended_lut = "off";
+defparam \datamem|ram~4700 .lut_mask = 64'h0123456789ABCDEF;
+defparam \datamem|ram~4700 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y6_N30
+cyclonev_lcell_comb \datamem|ram~107feeder (
+// Equation(s):
+// \datamem|ram~107feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~107feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~107feeder .extended_lut = "off";
+defparam \datamem|ram~107feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~107feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y6_N31
+dffeas \datamem|ram~107 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~107feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~107_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~107 .is_wysiwyg = "true";
+defparam \datamem|ram~107 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y13_N27
+cyclonev_lcell_comb \datamem|ram~363feeder (
+// Equation(s):
+// \datamem|ram~363feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~363feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~363feeder .extended_lut = "off";
+defparam \datamem|ram~363feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~363feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N28
+dffeas \datamem|ram~363 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~363feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~363_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~363 .is_wysiwyg = "true";
+defparam \datamem|ram~363 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y13_N21
+cyclonev_lcell_comb \datamem|ram~619feeder (
+// Equation(s):
+// \datamem|ram~619feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~619feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~619feeder .extended_lut = "off";
+defparam \datamem|ram~619feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~619feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N22
+dffeas \datamem|ram~619 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~619feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~619_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~619 .is_wysiwyg = "true";
+defparam \datamem|ram~619 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N32
+dffeas \datamem|ram~875 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~875_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~875 .is_wysiwyg = "true";
+defparam \datamem|ram~875 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y13_N30
+cyclonev_lcell_comb \datamem|ram~4702 (
+// Equation(s):
+// \datamem|ram~4702_combout = ( \datamem|ram~875_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~363_q ) ) ) ) # ( !\datamem|ram~875_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~363_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~875_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~107_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~619_q ))) ) ) ) # ( !\datamem|ram~875_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~107_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~619_q ))) ) ) )
+
+ .dataa(!\datamem|ram~107_q ),
+ .datab(!\datamem|ram~363_q ),
+ .datac(!\datamem|ram~619_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~875_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4702_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4702 .extended_lut = "off";
+defparam \datamem|ram~4702 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4702 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N40
+dffeas \datamem|ram~235 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~235_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~235 .is_wysiwyg = "true";
+defparam \datamem|ram~235 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y13_N48
+cyclonev_lcell_comb \datamem|ram~747feeder (
+// Equation(s):
+// \datamem|ram~747feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~747feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~747feeder .extended_lut = "off";
+defparam \datamem|ram~747feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~747feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N49
+dffeas \datamem|ram~747 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~747feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~747_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~747 .is_wysiwyg = "true";
+defparam \datamem|ram~747 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N32
+dffeas \datamem|ram~491 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~491_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~491 .is_wysiwyg = "true";
+defparam \datamem|ram~491 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N8
+dffeas \datamem|ram~1003 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1003_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1003 .is_wysiwyg = "true";
+defparam \datamem|ram~1003 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y13_N6
+cyclonev_lcell_comb \datamem|ram~4704 (
+// Equation(s):
+// \datamem|ram~4704_combout = ( \datamem|ram~1003_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~491_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~1003_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & \datamem|ram~491_q
+// ) ) ) ) # ( \datamem|ram~1003_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~235_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~747_q ))) ) ) ) # ( !\datamem|ram~1003_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~235_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~747_q ))) ) ) )
+
+ .dataa(!\datamem|ram~235_q ),
+ .datab(!\datamem|ram~747_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~491_q ),
+ .datae(!\datamem|ram~1003_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4704_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4704 .extended_lut = "off";
+defparam \datamem|ram~4704 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4704 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N51
+cyclonev_lcell_comb \datamem|ram~299feeder (
+// Equation(s):
+// \datamem|ram~299feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~299feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~299feeder .extended_lut = "off";
+defparam \datamem|ram~299feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~299feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y17_N52
+dffeas \datamem|ram~299 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~299feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~299_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~299 .is_wysiwyg = "true";
+defparam \datamem|ram~299 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N31
+dffeas \datamem|ram~555 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~555_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~555 .is_wysiwyg = "true";
+defparam \datamem|ram~555 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N20
+dffeas \datamem|ram~43 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~43_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~43 .is_wysiwyg = "true";
+defparam \datamem|ram~43 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N44
+dffeas \datamem|ram~811 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~811_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~811 .is_wysiwyg = "true";
+defparam \datamem|ram~811 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N42
+cyclonev_lcell_comb \datamem|ram~4701 (
+// Equation(s):
+// \datamem|ram~4701_combout = ( \datamem|ram~811_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~299_q ) ) ) ) # ( !\datamem|ram~811_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~299_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~811_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~43_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~555_q )) ) ) ) # ( !\datamem|ram~811_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~43_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~555_q )) ) ) )
+
+ .dataa(!\datamem|ram~299_q ),
+ .datab(!\datamem|ram~555_q ),
+ .datac(!\datamem|ram~43_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~811_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4701_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4701 .extended_lut = "off";
+defparam \datamem|ram~4701 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4701 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N51
+cyclonev_lcell_comb \datamem|ram~427feeder (
+// Equation(s):
+// \datamem|ram~427feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~427feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~427feeder .extended_lut = "off";
+defparam \datamem|ram~427feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~427feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N53
+dffeas \datamem|ram~427 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~427feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~427_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~427 .is_wysiwyg = "true";
+defparam \datamem|ram~427 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N21
+cyclonev_lcell_comb \datamem|ram~171feeder (
+// Equation(s):
+// \datamem|ram~171feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~171feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~171feeder .extended_lut = "off";
+defparam \datamem|ram~171feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~171feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N22
+dffeas \datamem|ram~171 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~171feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~171_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~171 .is_wysiwyg = "true";
+defparam \datamem|ram~171 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N49
+dffeas \datamem|ram~939 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~939_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~939 .is_wysiwyg = "true";
+defparam \datamem|ram~939 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N39
+cyclonev_lcell_comb \datamem|ram~683feeder (
+// Equation(s):
+// \datamem|ram~683feeder_combout = \reg_file|reg_read_data_2[11]~11_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~683feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~683feeder .extended_lut = "off";
+defparam \datamem|ram~683feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~683feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N40
+dffeas \datamem|ram~683 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~683feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~683_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~683 .is_wysiwyg = "true";
+defparam \datamem|ram~683 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y11_N0
+cyclonev_lcell_comb \datamem|ram~4703 (
+// Equation(s):
+// \datamem|ram~4703_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~939_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~427_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~683_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~171_q ) ) )
+
+ .dataa(!\datamem|ram~427_q ),
+ .datab(!\datamem|ram~171_q ),
+ .datac(!\datamem|ram~939_q ),
+ .datad(!\datamem|ram~683_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4703_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4703 .extended_lut = "off";
+defparam \datamem|ram~4703 .lut_mask = 64'h333300FF55550F0F;
+defparam \datamem|ram~4703 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y13_N57
+cyclonev_lcell_comb \datamem|ram~4705 (
+// Equation(s):
+// \datamem|ram~4705_combout = ( \datamem|ram~4701_combout & ( \datamem|ram~4703_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4702_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4704_combout )))) ) )
+// ) # ( !\datamem|ram~4701_combout & ( \datamem|ram~4703_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4702_combout )) #
+// (\alu_unit|Mux11~4_combout & ((\datamem|ram~4704_combout ))))) ) ) ) # ( \datamem|ram~4701_combout & ( !\datamem|ram~4703_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4702_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4704_combout ))))) ) ) ) # ( !\datamem|ram~4701_combout & ( !\datamem|ram~4703_combout & ( (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4702_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4704_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~4702_combout ),
+ .datac(!\datamem|ram~4704_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4701_combout ),
+ .dataf(!\datamem|ram~4703_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4705_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4705 .extended_lut = "off";
+defparam \datamem|ram~4705 .lut_mask = 64'h1105BB0511AFBBAF;
+defparam \datamem|ram~4705 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N29
+dffeas \datamem|ram~955 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~955_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~955 .is_wysiwyg = "true";
+defparam \datamem|ram~955 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N47
+dffeas \datamem|ram~827 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~827_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~827 .is_wysiwyg = "true";
+defparam \datamem|ram~827 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N8
+dffeas \datamem|ram~891 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~891_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~891 .is_wysiwyg = "true";
+defparam \datamem|ram~891 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N56
+dffeas \datamem|ram~1019 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1019_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1019 .is_wysiwyg = "true";
+defparam \datamem|ram~1019 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y5_N54
+cyclonev_lcell_comb \datamem|ram~4709 (
+// Equation(s):
+// \datamem|ram~4709_combout = ( \datamem|ram~1019_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~955_q ) ) ) ) # ( !\datamem|ram~1019_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~955_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1019_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~827_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~891_q ))) ) ) ) # ( !\datamem|ram~1019_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~827_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~891_q ))) ) ) )
+
+ .dataa(!\datamem|ram~955_q ),
+ .datab(!\datamem|ram~827_q ),
+ .datac(!\datamem|ram~891_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1019_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4709_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4709 .extended_lut = "off";
+defparam \datamem|ram~4709 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4709 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N51
+cyclonev_lcell_comb \datamem|ram~59feeder (
+// Equation(s):
+// \datamem|ram~59feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~59feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~59feeder .extended_lut = "off";
+defparam \datamem|ram~59feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~59feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N52
+dffeas \datamem|ram~59 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~59feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~59_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~59 .is_wysiwyg = "true";
+defparam \datamem|ram~59 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N43
+dffeas \datamem|ram~187 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~187_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~187 .is_wysiwyg = "true";
+defparam \datamem|ram~187 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N18
+cyclonev_lcell_comb \datamem|ram~123feeder (
+// Equation(s):
+// \datamem|ram~123feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~123feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~123feeder .extended_lut = "off";
+defparam \datamem|ram~123feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~123feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N19
+dffeas \datamem|ram~123 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~123feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~123_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~123 .is_wysiwyg = "true";
+defparam \datamem|ram~123 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y5_N56
+dffeas \datamem|ram~251 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~251_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~251 .is_wysiwyg = "true";
+defparam \datamem|ram~251 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N54
+cyclonev_lcell_comb \datamem|ram~4706 (
+// Equation(s):
+// \datamem|ram~4706_combout = ( \datamem|ram~251_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~187_q ) ) ) ) # ( !\datamem|ram~251_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~187_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~251_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~59_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~123_q ))) ) ) ) # ( !\datamem|ram~251_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~59_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~123_q ))) ) ) )
+
+ .dataa(!\datamem|ram~59_q ),
+ .datab(!\datamem|ram~187_q ),
+ .datac(!\datamem|ram~123_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~251_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4706_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4706 .extended_lut = "off";
+defparam \datamem|ram~4706 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4706 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N46
+dffeas \datamem|ram~635 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~635_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~635 .is_wysiwyg = "true";
+defparam \datamem|ram~635 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y17_N21
+cyclonev_lcell_comb \datamem|ram~699feeder (
+// Equation(s):
+// \datamem|ram~699feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~699feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~699feeder .extended_lut = "off";
+defparam \datamem|ram~699feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~699feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N22
+dffeas \datamem|ram~699 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~699feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~699_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~699 .is_wysiwyg = "true";
+defparam \datamem|ram~699 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N29
+dffeas \datamem|ram~571 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~571_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~571 .is_wysiwyg = "true";
+defparam \datamem|ram~571 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y5_N2
+dffeas \datamem|ram~763 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~763_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~763 .is_wysiwyg = "true";
+defparam \datamem|ram~763 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N0
+cyclonev_lcell_comb \datamem|ram~4708 (
+// Equation(s):
+// \datamem|ram~4708_combout = ( \datamem|ram~763_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~699_q ) ) ) ) # ( !\datamem|ram~763_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~699_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~763_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~571_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~635_q )) ) ) ) # ( !\datamem|ram~763_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~571_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~635_q )) ) ) )
+
+ .dataa(!\datamem|ram~635_q ),
+ .datab(!\datamem|ram~699_q ),
+ .datac(!\datamem|ram~571_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~763_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4708_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4708 .extended_lut = "off";
+defparam \datamem|ram~4708 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4708 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N38
+dffeas \datamem|ram~315 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~315_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~315 .is_wysiwyg = "true";
+defparam \datamem|ram~315 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N5
+dffeas \datamem|ram~379 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~379_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~379 .is_wysiwyg = "true";
+defparam \datamem|ram~379 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N58
+dffeas \datamem|ram~443 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~443_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~443 .is_wysiwyg = "true";
+defparam \datamem|ram~443 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y5_N20
+dffeas \datamem|ram~507 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~507_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~507 .is_wysiwyg = "true";
+defparam \datamem|ram~507 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N18
+cyclonev_lcell_comb \datamem|ram~4707 (
+// Equation(s):
+// \datamem|ram~4707_combout = ( \datamem|ram~507_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~443_q ) ) ) ) # ( !\datamem|ram~507_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~443_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~507_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~315_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~379_q ))) ) ) ) # ( !\datamem|ram~507_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~315_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~379_q ))) ) ) )
+
+ .dataa(!\datamem|ram~315_q ),
+ .datab(!\datamem|ram~379_q ),
+ .datac(!\datamem|ram~443_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~507_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4707_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4707 .extended_lut = "off";
+defparam \datamem|ram~4707 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4707 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N42
+cyclonev_lcell_comb \datamem|ram~4710 (
+// Equation(s):
+// \datamem|ram~4710_combout = ( \datamem|ram~4708_combout & ( \datamem|ram~4707_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) # (\datamem|ram~4706_combout )))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )) #
+// (\datamem|ram~4709_combout ))) ) ) ) # ( !\datamem|ram~4708_combout & ( \datamem|ram~4707_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4706_combout & !\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout &
+// (((!\alu_unit|Mux9~4_combout )) # (\datamem|ram~4709_combout ))) ) ) ) # ( \datamem|ram~4708_combout & ( !\datamem|ram~4707_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) # (\datamem|ram~4706_combout )))) #
+// (\alu_unit|Mux10~6_combout & (\datamem|ram~4709_combout & ((\alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~4708_combout & ( !\datamem|ram~4707_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4706_combout & !\alu_unit|Mux9~4_combout
+// )))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4709_combout & ((\alu_unit|Mux9~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4709_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4706_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4708_combout ),
+ .dataf(!\datamem|ram~4707_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4710_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4710 .extended_lut = "off";
+defparam \datamem|ram~4710 .lut_mask = 64'h0C110CDD3F113FDD;
+defparam \datamem|ram~4710 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N54
+cyclonev_lcell_comb \datamem|ram~4711 (
+// Equation(s):
+// \datamem|ram~4711_combout = ( \datamem|ram~4705_combout & ( \datamem|ram~4710_combout & ( ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4695_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4700_combout )))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~4705_combout & ( \datamem|ram~4710_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~4695_combout ))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~4700_combout )) # (\alu_unit|Mux13~4_combout
+// ))) ) ) ) # ( \datamem|ram~4705_combout & ( !\datamem|ram~4710_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4695_combout )) # (\alu_unit|Mux13~4_combout ))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4700_combout )))) ) ) ) # ( !\datamem|ram~4705_combout & ( !\datamem|ram~4710_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4695_combout )) # (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~4700_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4695_combout ),
+ .datad(!\datamem|ram~4700_combout ),
+ .datae(!\datamem|ram~4705_combout ),
+ .dataf(!\datamem|ram~4710_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4711_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4711 .extended_lut = "off";
+defparam \datamem|ram~4711 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~4711 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y12_N56
+dffeas \datamem|ram~3899 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3899_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3899 .is_wysiwyg = "true";
+defparam \datamem|ram~3899 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y15_N8
+dffeas \datamem|ram~4091 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4091_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4091 .is_wysiwyg = "true";
+defparam \datamem|ram~4091 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y12_N14
+dffeas \datamem|ram~3963 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3963_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3963 .is_wysiwyg = "true";
+defparam \datamem|ram~3963 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y12_N32
+dffeas \datamem|ram~4027 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4027_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4027 .is_wysiwyg = "true";
+defparam \datamem|ram~4027 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N30
+cyclonev_lcell_comb \datamem|ram~4772 (
+// Equation(s):
+// \datamem|ram~4772_combout = ( \datamem|ram~4027_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout ) # (\datamem|ram~4091_q ) ) ) ) # ( !\datamem|ram~4027_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout &
+// \datamem|ram~4091_q ) ) ) ) # ( \datamem|ram~4027_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3899_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3963_q ))) ) ) ) # ( !\datamem|ram~4027_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3899_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3963_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3899_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4091_q ),
+ .datad(!\datamem|ram~3963_q ),
+ .datae(!\datamem|ram~4027_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4772_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4772 .extended_lut = "off";
+defparam \datamem|ram~4772 .lut_mask = 64'h447744770303CFCF;
+defparam \datamem|ram~4772 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N57
+cyclonev_lcell_comb \datamem|ram~3451feeder (
+// Equation(s):
+// \datamem|ram~3451feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3451feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3451feeder .extended_lut = "off";
+defparam \datamem|ram~3451feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3451feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N58
+dffeas \datamem|ram~3451 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3451feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3451_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3451 .is_wysiwyg = "true";
+defparam \datamem|ram~3451 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y6_N45
+cyclonev_lcell_comb \datamem|ram~3387feeder (
+// Equation(s):
+// \datamem|ram~3387feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3387feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3387feeder .extended_lut = "off";
+defparam \datamem|ram~3387feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3387feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N46
+dffeas \datamem|ram~3387 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3387feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3387_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3387 .is_wysiwyg = "true";
+defparam \datamem|ram~3387 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N3
+cyclonev_lcell_comb \datamem|ram~3515feeder (
+// Equation(s):
+// \datamem|ram~3515feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3515feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3515feeder .extended_lut = "off";
+defparam \datamem|ram~3515feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3515feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N4
+dffeas \datamem|ram~3515 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3515feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3515_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3515 .is_wysiwyg = "true";
+defparam \datamem|ram~3515 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y13_N8
+dffeas \datamem|ram~3579 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3579_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3579 .is_wysiwyg = "true";
+defparam \datamem|ram~3579 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y13_N6
+cyclonev_lcell_comb \datamem|ram~4770 (
+// Equation(s):
+// \datamem|ram~4770_combout = ( \datamem|ram~3579_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3515_q ) ) ) ) # ( !\datamem|ram~3579_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3515_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3579_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3387_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3451_q )) ) ) ) # ( !\datamem|ram~3579_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3387_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3451_q )) ) ) )
+
+ .dataa(!\datamem|ram~3451_q ),
+ .datab(!\datamem|ram~3387_q ),
+ .datac(!\datamem|ram~3515_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3579_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4770_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4770 .extended_lut = "off";
+defparam \datamem|ram~4770 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4770 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y20_N57
+cyclonev_lcell_comb \datamem|ram~3259feeder (
+// Equation(s):
+// \datamem|ram~3259feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3259feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3259feeder .extended_lut = "off";
+defparam \datamem|ram~3259feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3259feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y20_N58
+dffeas \datamem|ram~3259 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3259feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3259_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3259 .is_wysiwyg = "true";
+defparam \datamem|ram~3259 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y6_N45
+cyclonev_lcell_comb \datamem|ram~3195feeder (
+// Equation(s):
+// \datamem|ram~3195feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3195feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3195feeder .extended_lut = "off";
+defparam \datamem|ram~3195feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3195feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N46
+dffeas \datamem|ram~3195 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3195feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3195_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3195 .is_wysiwyg = "true";
+defparam \datamem|ram~3195 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N0
+cyclonev_lcell_comb \datamem|ram~3131feeder (
+// Equation(s):
+// \datamem|ram~3131feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3131feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3131feeder .extended_lut = "off";
+defparam \datamem|ram~3131feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3131feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N1
+dffeas \datamem|ram~3131 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3131feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3131_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3131 .is_wysiwyg = "true";
+defparam \datamem|ram~3131 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y13_N14
+dffeas \datamem|ram~3323 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3323_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3323 .is_wysiwyg = "true";
+defparam \datamem|ram~3323 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y13_N12
+cyclonev_lcell_comb \datamem|ram~4769 (
+// Equation(s):
+// \datamem|ram~4769_combout = ( \datamem|ram~3323_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3259_q ) ) ) ) # ( !\datamem|ram~3323_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3259_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3323_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3131_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3195_q )) ) ) ) # ( !\datamem|ram~3323_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3131_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3195_q )) ) ) )
+
+ .dataa(!\datamem|ram~3259_q ),
+ .datab(!\datamem|ram~3195_q ),
+ .datac(!\datamem|ram~3131_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3323_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4769_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4769 .extended_lut = "off";
+defparam \datamem|ram~4769 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4769 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N39
+cyclonev_lcell_comb \datamem|ram~3771feeder (
+// Equation(s):
+// \datamem|ram~3771feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3771feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3771feeder .extended_lut = "off";
+defparam \datamem|ram~3771feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3771feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N41
+dffeas \datamem|ram~3771 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3771feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3771_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3771 .is_wysiwyg = "true";
+defparam \datamem|ram~3771 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N15
+cyclonev_lcell_comb \datamem|ram~3707feeder (
+// Equation(s):
+// \datamem|ram~3707feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3707feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3707feeder .extended_lut = "off";
+defparam \datamem|ram~3707feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3707feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N16
+dffeas \datamem|ram~3707 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3707feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3707_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3707 .is_wysiwyg = "true";
+defparam \datamem|ram~3707 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N54
+cyclonev_lcell_comb \datamem|ram~3643feeder (
+// Equation(s):
+// \datamem|ram~3643feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3643feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3643feeder .extended_lut = "off";
+defparam \datamem|ram~3643feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3643feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N55
+dffeas \datamem|ram~3643 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3643feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3643_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3643 .is_wysiwyg = "true";
+defparam \datamem|ram~3643 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y13_N26
+dffeas \datamem|ram~3835 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3835_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3835 .is_wysiwyg = "true";
+defparam \datamem|ram~3835 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y13_N24
+cyclonev_lcell_comb \datamem|ram~4771 (
+// Equation(s):
+// \datamem|ram~4771_combout = ( \datamem|ram~3835_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3771_q ) ) ) ) # ( !\datamem|ram~3835_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3771_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3835_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3643_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3707_q )) ) ) ) # ( !\datamem|ram~3835_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3643_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3707_q )) ) ) )
+
+ .dataa(!\datamem|ram~3771_q ),
+ .datab(!\datamem|ram~3707_q ),
+ .datac(!\datamem|ram~3643_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3835_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4771_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4771 .extended_lut = "off";
+defparam \datamem|ram~4771 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4771 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N45
+cyclonev_lcell_comb \datamem|ram~4773 (
+// Equation(s):
+// \datamem|ram~4773_combout = ( \datamem|ram~4769_combout & ( \datamem|ram~4771_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4770_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4772_combout ))) ) ) )
+// # ( !\datamem|ram~4769_combout & ( \datamem|ram~4771_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4770_combout ))) # (\alu_unit|Mux9~4_combout
+// & (\datamem|ram~4772_combout )))) ) ) ) # ( \datamem|ram~4769_combout & ( !\datamem|ram~4771_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4770_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4772_combout )))) ) ) ) # ( !\datamem|ram~4769_combout & ( !\datamem|ram~4771_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4770_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4772_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\datamem|ram~4772_combout ),
+ .datac(!\datamem|ram~4770_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4769_combout ),
+ .dataf(!\datamem|ram~4771_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4773_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4773 .extended_lut = "off";
+defparam \datamem|ram~4773 .lut_mask = 64'h0511AF1105BBAFBB;
+defparam \datamem|ram~4773 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N40
+dffeas \datamem|ram~3803 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3803_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3803 .is_wysiwyg = "true";
+defparam \datamem|ram~3803 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N29
+dffeas \datamem|ram~3547 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3547_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3547 .is_wysiwyg = "true";
+defparam \datamem|ram~3547 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N0
+cyclonev_lcell_comb \datamem|ram~4059feeder (
+// Equation(s):
+// \datamem|ram~4059feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4059feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4059feeder .extended_lut = "off";
+defparam \datamem|ram~4059feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4059feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N1
+dffeas \datamem|ram~4059 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4059feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4059_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4059 .is_wysiwyg = "true";
+defparam \datamem|ram~4059 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N29
+dffeas \datamem|ram~3291 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3291_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3291 .is_wysiwyg = "true";
+defparam \datamem|ram~3291 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N42
+cyclonev_lcell_comb \datamem|ram~4762 (
+// Equation(s):
+// \datamem|ram~4762_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~4059_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~3803_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~3547_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~3291_q ) ) )
+
+ .dataa(!\datamem|ram~3803_q ),
+ .datab(!\datamem|ram~3547_q ),
+ .datac(!\datamem|ram~4059_q ),
+ .datad(!\datamem|ram~3291_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4762_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4762 .extended_lut = "off";
+defparam \datamem|ram~4762 .lut_mask = 64'h00FF333355550F0F;
+defparam \datamem|ram~4762 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N27
+cyclonev_lcell_comb \datamem|ram~3483feeder (
+// Equation(s):
+// \datamem|ram~3483feeder_combout = \reg_file|reg_read_data_2[11]~11_combout
+
+ .dataa(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3483feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3483feeder .extended_lut = "off";
+defparam \datamem|ram~3483feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3483feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N29
+dffeas \datamem|ram~3483 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3483feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3483_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3483 .is_wysiwyg = "true";
+defparam \datamem|ram~3483 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N10
+dffeas \datamem|ram~3227 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3227_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3227 .is_wysiwyg = "true";
+defparam \datamem|ram~3227 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N55
+dffeas \datamem|ram~3739 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3739_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3739 .is_wysiwyg = "true";
+defparam \datamem|ram~3739 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N38
+dffeas \datamem|ram~3995 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3995_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3995 .is_wysiwyg = "true";
+defparam \datamem|ram~3995 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N36
+cyclonev_lcell_comb \datamem|ram~4761 (
+// Equation(s):
+// \datamem|ram~4761_combout = ( \datamem|ram~3995_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3483_q ) ) ) ) # ( !\datamem|ram~3995_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3483_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3995_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3227_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3739_q ))) ) ) ) # ( !\datamem|ram~3995_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3227_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3739_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3483_q ),
+ .datab(!\datamem|ram~3227_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3739_q ),
+ .datae(!\datamem|ram~3995_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4761_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4761 .extended_lut = "off";
+defparam \datamem|ram~4761 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4761 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y18_N54
+cyclonev_lcell_comb \datamem|ram~3675feeder (
+// Equation(s):
+// \datamem|ram~3675feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3675feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3675feeder .extended_lut = "off";
+defparam \datamem|ram~3675feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3675feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y18_N55
+dffeas \datamem|ram~3675 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3675feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3675_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3675 .is_wysiwyg = "true";
+defparam \datamem|ram~3675 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N40
+dffeas \datamem|ram~3419 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3419_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3419 .is_wysiwyg = "true";
+defparam \datamem|ram~3419 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y15_N45
+cyclonev_lcell_comb \datamem|ram~3163feeder (
+// Equation(s):
+// \datamem|ram~3163feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3163feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3163feeder .extended_lut = "off";
+defparam \datamem|ram~3163feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3163feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N47
+dffeas \datamem|ram~3163 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3163feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3163_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3163 .is_wysiwyg = "true";
+defparam \datamem|ram~3163 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N8
+dffeas \datamem|ram~3931 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3931_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3931 .is_wysiwyg = "true";
+defparam \datamem|ram~3931 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y15_N6
+cyclonev_lcell_comb \datamem|ram~4760 (
+// Equation(s):
+// \datamem|ram~4760_combout = ( \datamem|ram~3931_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3675_q ) ) ) ) # ( !\datamem|ram~3931_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3675_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3931_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3163_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3419_q )) ) ) ) # ( !\datamem|ram~3931_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3163_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3419_q )) ) ) )
+
+ .dataa(!\datamem|ram~3675_q ),
+ .datab(!\datamem|ram~3419_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3163_q ),
+ .datae(!\datamem|ram~3931_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4760_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4760 .extended_lut = "off";
+defparam \datamem|ram~4760 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4760 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N16
+dffeas \datamem|ram~3355 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3355_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3355 .is_wysiwyg = "true";
+defparam \datamem|ram~3355 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N6
+cyclonev_lcell_comb \datamem|ram~3099feeder (
+// Equation(s):
+// \datamem|ram~3099feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3099feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3099feeder .extended_lut = "off";
+defparam \datamem|ram~3099feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3099feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N7
+dffeas \datamem|ram~3099 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3099feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3099_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3099 .is_wysiwyg = "true";
+defparam \datamem|ram~3099 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N39
+cyclonev_lcell_comb \datamem|ram~3611feeder (
+// Equation(s):
+// \datamem|ram~3611feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3611feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3611feeder .extended_lut = "off";
+defparam \datamem|ram~3611feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3611feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N40
+dffeas \datamem|ram~3611 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3611feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3611_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3611 .is_wysiwyg = "true";
+defparam \datamem|ram~3611 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N26
+dffeas \datamem|ram~3867 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3867_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3867 .is_wysiwyg = "true";
+defparam \datamem|ram~3867 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y20_N24
+cyclonev_lcell_comb \datamem|ram~4759 (
+// Equation(s):
+// \datamem|ram~4759_combout = ( \datamem|ram~3867_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3611_q ) ) ) ) # ( !\datamem|ram~3867_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3611_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3867_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3099_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3355_q )) ) ) ) # ( !\datamem|ram~3867_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3099_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3355_q )) ) ) )
+
+ .dataa(!\datamem|ram~3355_q ),
+ .datab(!\datamem|ram~3099_q ),
+ .datac(!\datamem|ram~3611_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3867_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4759_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4759 .extended_lut = "off";
+defparam \datamem|ram~4759 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4759 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N6
+cyclonev_lcell_comb \datamem|ram~4763 (
+// Equation(s):
+// \datamem|ram~4763_combout = ( \datamem|ram~4760_combout & ( \datamem|ram~4759_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4761_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4762_combout ))) ) )
+// ) # ( !\datamem|ram~4760_combout & ( \datamem|ram~4759_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4761_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~4762_combout )))) ) ) ) # ( \datamem|ram~4760_combout & ( !\datamem|ram~4759_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4761_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4762_combout )))) ) ) ) # ( !\datamem|ram~4760_combout & ( !\datamem|ram~4759_combout & ( (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4761_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4762_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4762_combout ),
+ .datac(!\datamem|ram~4761_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4760_combout ),
+ .dataf(!\datamem|ram~4759_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4763_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4763 .extended_lut = "off";
+defparam \datamem|ram~4763 .lut_mask = 64'h051105BBAF11AFBB;
+defparam \datamem|ram~4763 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N39
+cyclonev_lcell_comb \datamem|ram~3467feeder (
+// Equation(s):
+// \datamem|ram~3467feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3467feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3467feeder .extended_lut = "off";
+defparam \datamem|ram~3467feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3467feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N40
+dffeas \datamem|ram~3467 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3467feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3467_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3467 .is_wysiwyg = "true";
+defparam \datamem|ram~3467 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N39
+cyclonev_lcell_comb \datamem|ram~3723feeder (
+// Equation(s):
+// \datamem|ram~3723feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3723feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3723feeder .extended_lut = "off";
+defparam \datamem|ram~3723feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3723feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N41
+dffeas \datamem|ram~3723 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3723feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3723_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3723 .is_wysiwyg = "true";
+defparam \datamem|ram~3723 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N21
+cyclonev_lcell_comb \datamem|ram~3211feeder (
+// Equation(s):
+// \datamem|ram~3211feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3211feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3211feeder .extended_lut = "off";
+defparam \datamem|ram~3211feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3211feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N23
+dffeas \datamem|ram~3211 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3211feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3211_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3211 .is_wysiwyg = "true";
+defparam \datamem|ram~3211 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N8
+dffeas \datamem|ram~3979 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3979_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3979 .is_wysiwyg = "true";
+defparam \datamem|ram~3979 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N6
+cyclonev_lcell_comb \datamem|ram~4756 (
+// Equation(s):
+// \datamem|ram~4756_combout = ( \datamem|ram~3979_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3723_q ) ) ) ) # ( !\datamem|ram~3979_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3723_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3979_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3211_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3467_q )) ) ) ) # ( !\datamem|ram~3979_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3211_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3467_q )) ) ) )
+
+ .dataa(!\datamem|ram~3467_q ),
+ .datab(!\datamem|ram~3723_q ),
+ .datac(!\datamem|ram~3211_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3979_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4756_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4756 .extended_lut = "off";
+defparam \datamem|ram~4756 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4756 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N16
+dffeas \datamem|ram~3147 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3147_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3147 .is_wysiwyg = "true";
+defparam \datamem|ram~3147 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N57
+cyclonev_lcell_comb \datamem|ram~3659feeder (
+// Equation(s):
+// \datamem|ram~3659feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3659feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3659feeder .extended_lut = "off";
+defparam \datamem|ram~3659feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3659feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N58
+dffeas \datamem|ram~3659 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3659feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3659_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3659 .is_wysiwyg = "true";
+defparam \datamem|ram~3659 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N3
+cyclonev_lcell_comb \datamem|ram~3403feeder (
+// Equation(s):
+// \datamem|ram~3403feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3403feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3403feeder .extended_lut = "off";
+defparam \datamem|ram~3403feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3403feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N4
+dffeas \datamem|ram~3403 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3403feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3403_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3403 .is_wysiwyg = "true";
+defparam \datamem|ram~3403 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y21_N44
+dffeas \datamem|ram~3915 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3915_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3915 .is_wysiwyg = "true";
+defparam \datamem|ram~3915 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y21_N42
+cyclonev_lcell_comb \datamem|ram~4755 (
+// Equation(s):
+// \datamem|ram~4755_combout = ( \datamem|ram~3915_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3659_q ) ) ) ) # ( !\datamem|ram~3915_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3659_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3915_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3147_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3403_q ))) ) ) ) # ( !\datamem|ram~3915_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3147_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3403_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3147_q ),
+ .datab(!\datamem|ram~3659_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3403_q ),
+ .datae(!\datamem|ram~3915_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4755_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4755 .extended_lut = "off";
+defparam \datamem|ram~4755 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4755 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N53
+dffeas \datamem|ram~3083 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3083_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3083 .is_wysiwyg = "true";
+defparam \datamem|ram~3083 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N17
+dffeas \datamem|ram~3595 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3595_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3595 .is_wysiwyg = "true";
+defparam \datamem|ram~3595 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N44
+dffeas \datamem|ram~3339 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3339_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3339 .is_wysiwyg = "true";
+defparam \datamem|ram~3339 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N14
+dffeas \datamem|ram~3851 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3851_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3851 .is_wysiwyg = "true";
+defparam \datamem|ram~3851 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y21_N12
+cyclonev_lcell_comb \datamem|ram~4754 (
+// Equation(s):
+// \datamem|ram~4754_combout = ( \datamem|ram~3851_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3339_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~3851_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~3339_q ) ) ) ) # ( \datamem|ram~3851_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3083_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3595_q ))) ) ) ) # ( !\datamem|ram~3851_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3083_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3595_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3083_q ),
+ .datab(!\datamem|ram~3595_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3339_q ),
+ .datae(!\datamem|ram~3851_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4754_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4754 .extended_lut = "off";
+defparam \datamem|ram~4754 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4754 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N52
+dffeas \datamem|ram~3275 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3275_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3275 .is_wysiwyg = "true";
+defparam \datamem|ram~3275 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N36
+cyclonev_lcell_comb \datamem|ram~3531feeder (
+// Equation(s):
+// \datamem|ram~3531feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3531feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3531feeder .extended_lut = "off";
+defparam \datamem|ram~3531feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3531feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N38
+dffeas \datamem|ram~3531 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3531feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3531_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3531 .is_wysiwyg = "true";
+defparam \datamem|ram~3531 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N34
+dffeas \datamem|ram~3787 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3787_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3787 .is_wysiwyg = "true";
+defparam \datamem|ram~3787 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y19_N56
+dffeas \datamem|ram~4043 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4043_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4043 .is_wysiwyg = "true";
+defparam \datamem|ram~4043 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y19_N54
+cyclonev_lcell_comb \datamem|ram~4757 (
+// Equation(s):
+// \datamem|ram~4757_combout = ( \datamem|ram~4043_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3787_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~4043_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~3787_q ) ) ) ) # ( \datamem|ram~4043_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3275_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3531_q ))) ) ) ) # ( !\datamem|ram~4043_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3275_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3531_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3275_q ),
+ .datab(!\datamem|ram~3531_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3787_q ),
+ .datae(!\datamem|ram~4043_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4757_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4757 .extended_lut = "off";
+defparam \datamem|ram~4757 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4757 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y21_N0
+cyclonev_lcell_comb \datamem|ram~4758 (
+// Equation(s):
+// \datamem|ram~4758_combout = ( \datamem|ram~4754_combout & ( \datamem|ram~4757_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4756_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4755_combout )) #
+// (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~4754_combout & ( \datamem|ram~4757_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4756_combout ))) # (\alu_unit|Mux12~2_combout &
+// (((\datamem|ram~4755_combout )) # (\alu_unit|Mux11~4_combout ))) ) ) ) # ( \datamem|ram~4754_combout & ( !\datamem|ram~4757_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4756_combout )))) #
+// (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4755_combout )))) ) ) ) # ( !\datamem|ram~4754_combout & ( !\datamem|ram~4757_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4756_combout
+// ))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4755_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4756_combout ),
+ .datad(!\datamem|ram~4755_combout ),
+ .datae(!\datamem|ram~4754_combout ),
+ .dataf(!\datamem|ram~4757_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4758_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4758 .extended_lut = "off";
+defparam \datamem|ram~4758 .lut_mask = 64'h02468ACE13579BDF;
+defparam \datamem|ram~4758 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N18
+cyclonev_lcell_comb \datamem|ram~3755feeder (
+// Equation(s):
+// \datamem|ram~3755feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3755feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3755feeder .extended_lut = "off";
+defparam \datamem|ram~3755feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3755feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N20
+dffeas \datamem|ram~3755 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3755feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3755_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3755 .is_wysiwyg = "true";
+defparam \datamem|ram~3755 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N44
+dffeas \datamem|ram~3243 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3243_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3243 .is_wysiwyg = "true";
+defparam \datamem|ram~3243 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N11
+dffeas \datamem|ram~3499 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3499_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3499 .is_wysiwyg = "true";
+defparam \datamem|ram~3499 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N8
+dffeas \datamem|ram~4011 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4011_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4011 .is_wysiwyg = "true";
+defparam \datamem|ram~4011 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N6
+cyclonev_lcell_comb \datamem|ram~4766 (
+// Equation(s):
+// \datamem|ram~4766_combout = ( \datamem|ram~4011_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3755_q ) ) ) ) # ( !\datamem|ram~4011_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3755_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4011_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3243_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3499_q ))) ) ) ) # ( !\datamem|ram~4011_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3243_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3499_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3755_q ),
+ .datab(!\datamem|ram~3243_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3499_q ),
+ .datae(!\datamem|ram~4011_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4766_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4766 .extended_lut = "off";
+defparam \datamem|ram~4766 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4766 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y7_N26
+dffeas \datamem|ram~3691 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3691_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3691 .is_wysiwyg = "true";
+defparam \datamem|ram~3691 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N14
+dffeas \datamem|ram~3179 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3179_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3179 .is_wysiwyg = "true";
+defparam \datamem|ram~3179 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N49
+dffeas \datamem|ram~3435 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3435_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3435 .is_wysiwyg = "true";
+defparam \datamem|ram~3435 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N8
+dffeas \datamem|ram~3947 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3947_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3947 .is_wysiwyg = "true";
+defparam \datamem|ram~3947 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y17_N6
+cyclonev_lcell_comb \datamem|ram~4765 (
+// Equation(s):
+// \datamem|ram~4765_combout = ( \datamem|ram~3947_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3435_q ) ) ) ) # ( !\datamem|ram~3947_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3435_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3947_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3179_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3691_q )) ) ) ) # ( !\datamem|ram~3947_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3179_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3691_q )) ) ) )
+
+ .dataa(!\datamem|ram~3691_q ),
+ .datab(!\datamem|ram~3179_q ),
+ .datac(!\datamem|ram~3435_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~3947_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4765_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4765 .extended_lut = "off";
+defparam \datamem|ram~4765 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4765 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N16
+dffeas \datamem|ram~3819 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3819_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3819 .is_wysiwyg = "true";
+defparam \datamem|ram~3819 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y20_N36
+cyclonev_lcell_comb \datamem|ram~3307feeder (
+// Equation(s):
+// \datamem|ram~3307feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3307feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3307feeder .extended_lut = "off";
+defparam \datamem|ram~3307feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3307feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y20_N37
+dffeas \datamem|ram~3307 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3307feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3307_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3307 .is_wysiwyg = "true";
+defparam \datamem|ram~3307 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y17_N25
+dffeas \datamem|ram~3563 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3563_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3563 .is_wysiwyg = "true";
+defparam \datamem|ram~3563 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N38
+dffeas \datamem|ram~4075 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4075_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4075 .is_wysiwyg = "true";
+defparam \datamem|ram~4075 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4767 (
+// Equation(s):
+// \datamem|ram~4767_combout = ( \datamem|ram~4075_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3563_q ) ) ) ) # ( !\datamem|ram~4075_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3563_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~4075_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3307_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3819_q )) ) ) ) # ( !\datamem|ram~4075_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3307_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3819_q )) ) ) )
+
+ .dataa(!\datamem|ram~3819_q ),
+ .datab(!\datamem|ram~3307_q ),
+ .datac(!\datamem|ram~3563_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4075_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4767_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4767 .extended_lut = "off";
+defparam \datamem|ram~4767 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4767 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y16_N36
+cyclonev_lcell_comb \datamem|ram~3627feeder (
+// Equation(s):
+// \datamem|ram~3627feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3627feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3627feeder .extended_lut = "off";
+defparam \datamem|ram~3627feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3627feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N38
+dffeas \datamem|ram~3627 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3627feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3627_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3627 .is_wysiwyg = "true";
+defparam \datamem|ram~3627 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N40
+dffeas \datamem|ram~3115 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3115_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3115 .is_wysiwyg = "true";
+defparam \datamem|ram~3115 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N58
+dffeas \datamem|ram~3371 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3371_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3371 .is_wysiwyg = "true";
+defparam \datamem|ram~3371 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N14
+dffeas \datamem|ram~3883 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3883_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3883 .is_wysiwyg = "true";
+defparam \datamem|ram~3883 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4764 (
+// Equation(s):
+// \datamem|ram~4764_combout = ( \datamem|ram~3883_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3627_q ) ) ) ) # ( !\datamem|ram~3883_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3627_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3883_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3115_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3371_q ))) ) ) ) # ( !\datamem|ram~3883_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3115_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3371_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3627_q ),
+ .datab(!\datamem|ram~3115_q ),
+ .datac(!\datamem|ram~3371_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3883_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4764_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4764 .extended_lut = "off";
+defparam \datamem|ram~4764 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4764 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y17_N54
+cyclonev_lcell_comb \datamem|ram~4768 (
+// Equation(s):
+// \datamem|ram~4768_combout = ( \datamem|ram~4767_combout & ( \datamem|ram~4764_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) # ((\datamem|ram~4765_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~4766_combout )) #
+// (\alu_unit|Mux12~2_combout ))) ) ) ) # ( !\datamem|ram~4767_combout & ( \datamem|ram~4764_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) # ((\datamem|ram~4765_combout )))) # (\alu_unit|Mux11~4_combout &
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~4766_combout ))) ) ) ) # ( \datamem|ram~4767_combout & ( !\datamem|ram~4764_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & ((\datamem|ram~4765_combout )))) #
+// (\alu_unit|Mux11~4_combout & (((\datamem|ram~4766_combout )) # (\alu_unit|Mux12~2_combout ))) ) ) ) # ( !\datamem|ram~4767_combout & ( !\datamem|ram~4764_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~4765_combout )))) # (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & (\datamem|ram~4766_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4766_combout ),
+ .datad(!\datamem|ram~4765_combout ),
+ .datae(!\datamem|ram~4767_combout ),
+ .dataf(!\datamem|ram~4764_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4768_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4768 .extended_lut = "off";
+defparam \datamem|ram~4768 .lut_mask = 64'h042615378CAE9DBF;
+defparam \datamem|ram~4768 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N0
+cyclonev_lcell_comb \datamem|ram~4774 (
+// Equation(s):
+// \datamem|ram~4774_combout = ( \datamem|ram~4758_combout & ( \datamem|ram~4768_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4763_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4773_combout ))) ) )
+// ) # ( !\datamem|ram~4758_combout & ( \datamem|ram~4768_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4763_combout ))) # (\alu_unit|Mux13~4_combout
+// & (\datamem|ram~4773_combout )))) ) ) ) # ( \datamem|ram~4758_combout & ( !\datamem|ram~4768_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4763_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4773_combout )))) ) ) ) # ( !\datamem|ram~4758_combout & ( !\datamem|ram~4768_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4763_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4773_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4773_combout ),
+ .datad(!\datamem|ram~4763_combout ),
+ .datae(!\datamem|ram~4758_combout ),
+ .dataf(!\datamem|ram~4768_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4774_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4774 .extended_lut = "off";
+defparam \datamem|ram~4774 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~4774 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y15_N33
+cyclonev_lcell_comb \datamem|ram~2955feeder (
+// Equation(s):
+// \datamem|ram~2955feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2955feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2955feeder .extended_lut = "off";
+defparam \datamem|ram~2955feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2955feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N34
+dffeas \datamem|ram~2955 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2955feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2955_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2955 .is_wysiwyg = "true";
+defparam \datamem|ram~2955 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y5_N44
+dffeas \datamem|ram~2971 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2971_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2971 .is_wysiwyg = "true";
+defparam \datamem|ram~2971 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y5_N17
+dffeas \datamem|ram~2987 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2987_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2987 .is_wysiwyg = "true";
+defparam \datamem|ram~2987 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y5_N32
+dffeas \datamem|ram~3003 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3003_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3003 .is_wysiwyg = "true";
+defparam \datamem|ram~3003 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y5_N30
+cyclonev_lcell_comb \datamem|ram~4750 (
+// Equation(s):
+// \datamem|ram~4750_combout = ( \datamem|ram~3003_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2987_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~3003_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~2987_q ) ) ) ) # ( \datamem|ram~3003_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2955_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2971_q ))) ) ) ) # ( !\datamem|ram~3003_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2955_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2971_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2955_q ),
+ .datab(!\datamem|ram~2971_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~2987_q ),
+ .datae(!\datamem|ram~3003_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4750_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4750 .extended_lut = "off";
+defparam \datamem|ram~4750 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4750 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N10
+dffeas \datamem|ram~3051 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3051_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3051 .is_wysiwyg = "true";
+defparam \datamem|ram~3051 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N21
+cyclonev_lcell_comb \datamem|ram~3035feeder (
+// Equation(s):
+// \datamem|ram~3035feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3035feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3035feeder .extended_lut = "off";
+defparam \datamem|ram~3035feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3035feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N22
+dffeas \datamem|ram~3035 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3035feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3035_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3035 .is_wysiwyg = "true";
+defparam \datamem|ram~3035 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N25
+dffeas \datamem|ram~3019 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3019_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3019 .is_wysiwyg = "true";
+defparam \datamem|ram~3019 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N32
+dffeas \datamem|ram~3067 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3067_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3067 .is_wysiwyg = "true";
+defparam \datamem|ram~3067 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N30
+cyclonev_lcell_comb \datamem|ram~4751 (
+// Equation(s):
+// \datamem|ram~4751_combout = ( \datamem|ram~3067_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3035_q ) ) ) ) # ( !\datamem|ram~3067_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3035_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3067_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3019_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3051_q )) ) ) ) # ( !\datamem|ram~3067_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3019_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3051_q )) ) ) )
+
+ .dataa(!\datamem|ram~3051_q ),
+ .datab(!\datamem|ram~3035_q ),
+ .datac(!\datamem|ram~3019_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3067_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4751_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4751 .extended_lut = "off";
+defparam \datamem|ram~4751 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4751 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y18_N3
+cyclonev_lcell_comb \datamem|ram~2827feeder (
+// Equation(s):
+// \datamem|ram~2827feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2827feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2827feeder .extended_lut = "off";
+defparam \datamem|ram~2827feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2827feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y18_N4
+dffeas \datamem|ram~2827 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2827feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2827_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2827 .is_wysiwyg = "true";
+defparam \datamem|ram~2827 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N52
+dffeas \datamem|ram~2859 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2859_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2859 .is_wysiwyg = "true";
+defparam \datamem|ram~2859 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N32
+dffeas \datamem|ram~2843 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2843_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2843 .is_wysiwyg = "true";
+defparam \datamem|ram~2843 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N26
+dffeas \datamem|ram~2875 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2875_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2875 .is_wysiwyg = "true";
+defparam \datamem|ram~2875 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N24
+cyclonev_lcell_comb \datamem|ram~4748 (
+// Equation(s):
+// \datamem|ram~4748_combout = ( \datamem|ram~2875_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2843_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2875_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2843_q ) ) ) ) # ( \datamem|ram~2875_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2827_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2859_q ))) ) ) ) # ( !\datamem|ram~2875_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2827_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2859_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2827_q ),
+ .datab(!\datamem|ram~2859_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2843_q ),
+ .datae(!\datamem|ram~2875_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4748_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4748 .extended_lut = "off";
+defparam \datamem|ram~4748 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4748 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y14_N12
+cyclonev_lcell_comb \datamem|ram~2923feeder (
+// Equation(s):
+// \datamem|ram~2923feeder_combout = \reg_file|reg_read_data_2[11]~11_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2923feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2923feeder .extended_lut = "off";
+defparam \datamem|ram~2923feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~2923feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N14
+dffeas \datamem|ram~2923 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2923feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2923_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2923 .is_wysiwyg = "true";
+defparam \datamem|ram~2923 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N45
+cyclonev_lcell_comb \datamem|ram~2907feeder (
+// Equation(s):
+// \datamem|ram~2907feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2907feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2907feeder .extended_lut = "off";
+defparam \datamem|ram~2907feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2907feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N46
+dffeas \datamem|ram~2907 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2907feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2907_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2907 .is_wysiwyg = "true";
+defparam \datamem|ram~2907 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N8
+dffeas \datamem|ram~2891 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2891_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2891 .is_wysiwyg = "true";
+defparam \datamem|ram~2891 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X46_Y13_N38
+dffeas \datamem|ram~2939 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2939_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2939 .is_wysiwyg = "true";
+defparam \datamem|ram~2939 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X46_Y13_N36
+cyclonev_lcell_comb \datamem|ram~4749 (
+// Equation(s):
+// \datamem|ram~4749_combout = ( \datamem|ram~2939_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2907_q ) ) ) ) # ( !\datamem|ram~2939_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2907_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2939_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2891_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2923_q )) ) ) ) # ( !\datamem|ram~2939_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2891_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2923_q )) ) ) )
+
+ .dataa(!\datamem|ram~2923_q ),
+ .datab(!\datamem|ram~2907_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2891_q ),
+ .datae(!\datamem|ram~2939_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4749_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4749 .extended_lut = "off";
+defparam \datamem|ram~4749 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4749 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N48
+cyclonev_lcell_comb \datamem|ram~4752 (
+// Equation(s):
+// \datamem|ram~4752_combout = ( \datamem|ram~4748_combout & ( \datamem|ram~4749_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4750_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4751_combout )))) ) )
+// ) # ( !\datamem|ram~4748_combout & ( \datamem|ram~4749_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout )) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4750_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~4751_combout ))))) ) ) ) # ( \datamem|ram~4748_combout & ( !\datamem|ram~4749_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout )) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4750_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4751_combout ))))) ) ) ) # ( !\datamem|ram~4748_combout & ( !\datamem|ram~4749_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4750_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4751_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4750_combout ),
+ .datad(!\datamem|ram~4751_combout ),
+ .datae(!\datamem|ram~4748_combout ),
+ .dataf(!\datamem|ram~4749_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4752_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4752 .extended_lut = "off";
+defparam \datamem|ram~4752 .lut_mask = 64'h04158C9D2637AEBF;
+defparam \datamem|ram~4752 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N54
+cyclonev_lcell_comb \datamem|ram~2203feeder (
+// Equation(s):
+// \datamem|ram~2203feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2203feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2203feeder .extended_lut = "off";
+defparam \datamem|ram~2203feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2203feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y13_N55
+dffeas \datamem|ram~2203 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2203feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2203_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2203 .is_wysiwyg = "true";
+defparam \datamem|ram~2203 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N33
+cyclonev_lcell_comb \datamem|ram~2075feeder (
+// Equation(s):
+// \datamem|ram~2075feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2075feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2075feeder .extended_lut = "off";
+defparam \datamem|ram~2075feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2075feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N35
+dffeas \datamem|ram~2075 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2075feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2075_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2075 .is_wysiwyg = "true";
+defparam \datamem|ram~2075 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N51
+cyclonev_lcell_comb \datamem|ram~2139feeder (
+// Equation(s):
+// \datamem|ram~2139feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2139feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2139feeder .extended_lut = "off";
+defparam \datamem|ram~2139feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2139feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N52
+dffeas \datamem|ram~2139 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2139feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2139_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2139 .is_wysiwyg = "true";
+defparam \datamem|ram~2139 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y14_N50
+dffeas \datamem|ram~2267 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2267_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2267 .is_wysiwyg = "true";
+defparam \datamem|ram~2267 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y14_N48
+cyclonev_lcell_comb \datamem|ram~4734 (
+// Equation(s):
+// \datamem|ram~4734_combout = ( \datamem|ram~2267_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2203_q ) ) ) ) # ( !\datamem|ram~2267_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2203_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2267_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2075_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2139_q ))) ) ) ) # ( !\datamem|ram~2267_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2075_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2139_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2203_q ),
+ .datab(!\datamem|ram~2075_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2139_q ),
+ .datae(!\datamem|ram~2267_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4734_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4734 .extended_lut = "off";
+defparam \datamem|ram~4734 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4734 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N41
+dffeas \datamem|ram~2171 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2171_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2171 .is_wysiwyg = "true";
+defparam \datamem|ram~2171 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y12_N21
+cyclonev_lcell_comb \datamem|ram~2235feeder (
+// Equation(s):
+// \datamem|ram~2235feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2235feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2235feeder .extended_lut = "off";
+defparam \datamem|ram~2235feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2235feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N22
+dffeas \datamem|ram~2235 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2235feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2235_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2235 .is_wysiwyg = "true";
+defparam \datamem|ram~2235 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N57
+cyclonev_lcell_comb \datamem|ram~2107feeder (
+// Equation(s):
+// \datamem|ram~2107feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2107feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2107feeder .extended_lut = "off";
+defparam \datamem|ram~2107feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2107feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N58
+dffeas \datamem|ram~2107 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2107feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2107_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2107 .is_wysiwyg = "true";
+defparam \datamem|ram~2107 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y14_N32
+dffeas \datamem|ram~2299 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2299_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2299 .is_wysiwyg = "true";
+defparam \datamem|ram~2299 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y14_N30
+cyclonev_lcell_comb \datamem|ram~4736 (
+// Equation(s):
+// \datamem|ram~4736_combout = ( \datamem|ram~2299_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2235_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2299_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2235_q ) ) ) ) # ( \datamem|ram~2299_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2107_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2171_q )) ) ) ) # ( !\datamem|ram~2299_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2107_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2171_q )) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~2171_q ),
+ .datac(!\datamem|ram~2235_q ),
+ .datad(!\datamem|ram~2107_q ),
+ .datae(!\datamem|ram~2299_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4736_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4736 .extended_lut = "off";
+defparam \datamem|ram~4736 .lut_mask = 64'h11BB11BB0A0A5F5F;
+defparam \datamem|ram~4736 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N49
+dffeas \datamem|ram~2155 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2155_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2155 .is_wysiwyg = "true";
+defparam \datamem|ram~2155 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N10
+dffeas \datamem|ram~2091 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2091_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2091 .is_wysiwyg = "true";
+defparam \datamem|ram~2091 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y11_N49
+dffeas \datamem|ram~2219 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2219_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2219 .is_wysiwyg = "true";
+defparam \datamem|ram~2219 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y14_N14
+dffeas \datamem|ram~2283 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2283_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2283 .is_wysiwyg = "true";
+defparam \datamem|ram~2283 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y14_N12
+cyclonev_lcell_comb \datamem|ram~4735 (
+// Equation(s):
+// \datamem|ram~4735_combout = ( \datamem|ram~2283_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2219_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2283_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2219_q ) ) ) ) # ( \datamem|ram~2283_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2091_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2155_q )) ) ) ) # ( !\datamem|ram~2283_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2091_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2155_q )) ) ) )
+
+ .dataa(!\datamem|ram~2155_q ),
+ .datab(!\datamem|ram~2091_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2219_q ),
+ .datae(!\datamem|ram~2283_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4735_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4735 .extended_lut = "off";
+defparam \datamem|ram~4735 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4735 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N54
+cyclonev_lcell_comb \datamem|ram~2059feeder (
+// Equation(s):
+// \datamem|ram~2059feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2059feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2059feeder .extended_lut = "off";
+defparam \datamem|ram~2059feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2059feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N55
+dffeas \datamem|ram~2059 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2059feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2059_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2059 .is_wysiwyg = "true";
+defparam \datamem|ram~2059 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y11_N43
+dffeas \datamem|ram~2187 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2187_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2187 .is_wysiwyg = "true";
+defparam \datamem|ram~2187 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N54
+cyclonev_lcell_comb \datamem|ram~2123feeder (
+// Equation(s):
+// \datamem|ram~2123feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2123feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2123feeder .extended_lut = "off";
+defparam \datamem|ram~2123feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2123feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N55
+dffeas \datamem|ram~2123 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2123feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2123_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2123 .is_wysiwyg = "true";
+defparam \datamem|ram~2123 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N2
+dffeas \datamem|ram~2251 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2251_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2251 .is_wysiwyg = "true";
+defparam \datamem|ram~2251 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N0
+cyclonev_lcell_comb \datamem|ram~4733 (
+// Equation(s):
+// \datamem|ram~4733_combout = ( \datamem|ram~2251_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2187_q ) ) ) ) # ( !\datamem|ram~2251_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2187_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2251_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2059_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2123_q ))) ) ) ) # ( !\datamem|ram~2251_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2059_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2123_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2059_q ),
+ .datab(!\datamem|ram~2187_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2123_q ),
+ .datae(!\datamem|ram~2251_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4733_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4733 .extended_lut = "off";
+defparam \datamem|ram~4733 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4733 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y14_N54
+cyclonev_lcell_comb \datamem|ram~4737 (
+// Equation(s):
+// \datamem|ram~4737_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~4733_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4735_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4736_combout )) ) ) ) # ( !\alu_unit|Mux13~4_combout
+// & ( \datamem|ram~4733_combout & ( (!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4734_combout ) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~4733_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4735_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4736_combout )) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\datamem|ram~4733_combout & ( (\datamem|ram~4734_combout & \alu_unit|Mux14~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~4734_combout ),
+ .datab(!\datamem|ram~4736_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4735_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~4733_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4737_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4737 .extended_lut = "off";
+defparam \datamem|ram~4737 .lut_mask = 64'h050503F3F5F503F3;
+defparam \datamem|ram~4737 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N27
+cyclonev_lcell_comb \datamem|ram~2331feeder (
+// Equation(s):
+// \datamem|ram~2331feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2331feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2331feeder .extended_lut = "off";
+defparam \datamem|ram~2331feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2331feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N29
+dffeas \datamem|ram~2331 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2331feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2331_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2331 .is_wysiwyg = "true";
+defparam \datamem|ram~2331 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N1
+dffeas \datamem|ram~2395 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2395_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2395 .is_wysiwyg = "true";
+defparam \datamem|ram~2395 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N56
+dffeas \datamem|ram~2459 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2459_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2459 .is_wysiwyg = "true";
+defparam \datamem|ram~2459 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N2
+dffeas \datamem|ram~2523 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2523_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2523 .is_wysiwyg = "true";
+defparam \datamem|ram~2523 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N0
+cyclonev_lcell_comb \datamem|ram~4739 (
+// Equation(s):
+// \datamem|ram~4739_combout = ( \datamem|ram~2523_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2459_q ) ) ) ) # ( !\datamem|ram~2523_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2459_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2523_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2331_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2395_q ))) ) ) ) # ( !\datamem|ram~2523_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2331_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2395_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2331_q ),
+ .datab(!\datamem|ram~2395_q ),
+ .datac(!\datamem|ram~2459_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2523_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4739_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4739 .extended_lut = "off";
+defparam \datamem|ram~4739 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4739 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y15_N37
+dffeas \datamem|ram~2443 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2443_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2443 .is_wysiwyg = "true";
+defparam \datamem|ram~2443 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N15
+cyclonev_lcell_comb \datamem|ram~2379feeder (
+// Equation(s):
+// \datamem|ram~2379feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2379feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2379feeder .extended_lut = "off";
+defparam \datamem|ram~2379feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2379feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N17
+dffeas \datamem|ram~2379 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2379feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2379_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2379 .is_wysiwyg = "true";
+defparam \datamem|ram~2379 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N39
+cyclonev_lcell_comb \datamem|ram~2315feeder (
+// Equation(s):
+// \datamem|ram~2315feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2315feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2315feeder .extended_lut = "off";
+defparam \datamem|ram~2315feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2315feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N41
+dffeas \datamem|ram~2315 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2315feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2315_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2315 .is_wysiwyg = "true";
+defparam \datamem|ram~2315 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N26
+dffeas \datamem|ram~2507 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2507_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2507 .is_wysiwyg = "true";
+defparam \datamem|ram~2507 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N24
+cyclonev_lcell_comb \datamem|ram~4738 (
+// Equation(s):
+// \datamem|ram~4738_combout = ( \datamem|ram~2507_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2443_q ) ) ) ) # ( !\datamem|ram~2507_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2443_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2507_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2315_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2379_q )) ) ) ) # ( !\datamem|ram~2507_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2315_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2379_q )) ) ) )
+
+ .dataa(!\datamem|ram~2443_q ),
+ .datab(!\datamem|ram~2379_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2315_q ),
+ .datae(!\datamem|ram~2507_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4738_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4738 .extended_lut = "off";
+defparam \datamem|ram~4738 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4738 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N9
+cyclonev_lcell_comb \datamem|ram~2347feeder (
+// Equation(s):
+// \datamem|ram~2347feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2347feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2347feeder .extended_lut = "off";
+defparam \datamem|ram~2347feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2347feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N10
+dffeas \datamem|ram~2347 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2347feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2347_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2347 .is_wysiwyg = "true";
+defparam \datamem|ram~2347 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y5_N12
+cyclonev_lcell_comb \datamem|ram~2475feeder (
+// Equation(s):
+// \datamem|ram~2475feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2475feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2475feeder .extended_lut = "off";
+defparam \datamem|ram~2475feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2475feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y5_N13
+dffeas \datamem|ram~2475 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2475feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2475_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2475 .is_wysiwyg = "true";
+defparam \datamem|ram~2475 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N9
+cyclonev_lcell_comb \datamem|ram~2411feeder (
+// Equation(s):
+// \datamem|ram~2411feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2411feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2411feeder .extended_lut = "off";
+defparam \datamem|ram~2411feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2411feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N10
+dffeas \datamem|ram~2411 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2411feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2411_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2411 .is_wysiwyg = "true";
+defparam \datamem|ram~2411 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N0
+cyclonev_lcell_comb \datamem|ram~2539feeder (
+// Equation(s):
+// \datamem|ram~2539feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2539feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2539feeder .extended_lut = "off";
+defparam \datamem|ram~2539feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2539feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N1
+dffeas \datamem|ram~2539 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2539feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2539_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2539 .is_wysiwyg = "true";
+defparam \datamem|ram~2539 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N3
+cyclonev_lcell_comb \datamem|ram~4740 (
+// Equation(s):
+// \datamem|ram~4740_combout = ( \datamem|ram~2539_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2411_q ) # (\alu_unit|Mux11~4_combout ) ) ) ) # ( !\datamem|ram~2539_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout &
+// \datamem|ram~2411_q ) ) ) ) # ( \datamem|ram~2539_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2347_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2475_q ))) ) ) ) # ( !\datamem|ram~2539_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2347_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2475_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2347_q ),
+ .datab(!\datamem|ram~2475_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~2411_q ),
+ .datae(!\datamem|ram~2539_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4740_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4740 .extended_lut = "off";
+defparam \datamem|ram~4740 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4740 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N16
+dffeas \datamem|ram~2363 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2363_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2363 .is_wysiwyg = "true";
+defparam \datamem|ram~2363 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N47
+dffeas \datamem|ram~2427 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2427_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2427 .is_wysiwyg = "true";
+defparam \datamem|ram~2427 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N31
+dffeas \datamem|ram~2491 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2491_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2491 .is_wysiwyg = "true";
+defparam \datamem|ram~2491 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N14
+dffeas \datamem|ram~2555 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2555_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2555 .is_wysiwyg = "true";
+defparam \datamem|ram~2555 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y22_N12
+cyclonev_lcell_comb \datamem|ram~4741 (
+// Equation(s):
+// \datamem|ram~4741_combout = ( \datamem|ram~2555_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2491_q ) ) ) ) # ( !\datamem|ram~2555_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2491_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2555_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2363_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2427_q ))) ) ) ) # ( !\datamem|ram~2555_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2363_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2427_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2363_q ),
+ .datab(!\datamem|ram~2427_q ),
+ .datac(!\datamem|ram~2491_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2555_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4741_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4741 .extended_lut = "off";
+defparam \datamem|ram~4741 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4741 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y15_N15
+cyclonev_lcell_comb \datamem|ram~4742 (
+// Equation(s):
+// \datamem|ram~4742_combout = ( \datamem|ram~4740_combout & ( \datamem|ram~4741_combout & ( ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4738_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4739_combout ))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~4740_combout & ( \datamem|ram~4741_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4738_combout & !\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~4739_combout ))) ) ) ) # ( \datamem|ram~4740_combout & ( !\datamem|ram~4741_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout ) # (\datamem|ram~4738_combout )))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4739_combout & ((!\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4740_combout & ( !\datamem|ram~4741_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4738_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4739_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4739_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4738_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4740_combout ),
+ .dataf(!\datamem|ram~4741_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4742_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4742 .extended_lut = "off";
+defparam \datamem|ram~4742 .lut_mask = 64'h1D001DCC1D331DFF;
+defparam \datamem|ram~4742 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y9_N36
+cyclonev_lcell_comb \datamem|ram~2603feeder (
+// Equation(s):
+// \datamem|ram~2603feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2603feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2603feeder .extended_lut = "off";
+defparam \datamem|ram~2603feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2603feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N38
+dffeas \datamem|ram~2603 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2603feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2603_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2603 .is_wysiwyg = "true";
+defparam \datamem|ram~2603 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N52
+dffeas \datamem|ram~2667 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2667_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2667 .is_wysiwyg = "true";
+defparam \datamem|ram~2667 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N4
+dffeas \datamem|ram~2731 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2731_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2731 .is_wysiwyg = "true";
+defparam \datamem|ram~2731 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N8
+dffeas \datamem|ram~2795 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2795_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2795 .is_wysiwyg = "true";
+defparam \datamem|ram~2795 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y9_N6
+cyclonev_lcell_comb \datamem|ram~4745 (
+// Equation(s):
+// \datamem|ram~4745_combout = ( \datamem|ram~2795_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2667_q ) ) ) ) # ( !\datamem|ram~2795_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2667_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2795_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2603_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2731_q ))) ) ) ) # ( !\datamem|ram~2795_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2603_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2731_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2603_q ),
+ .datab(!\datamem|ram~2667_q ),
+ .datac(!\datamem|ram~2731_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2795_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4745_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4745 .extended_lut = "off";
+defparam \datamem|ram~4745 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4745 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y9_N42
+cyclonev_lcell_comb \datamem|ram~2699feeder (
+// Equation(s):
+// \datamem|ram~2699feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2699feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2699feeder .extended_lut = "off";
+defparam \datamem|ram~2699feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2699feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y9_N43
+dffeas \datamem|ram~2699 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2699feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2699_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2699 .is_wysiwyg = "true";
+defparam \datamem|ram~2699 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N32
+dffeas \datamem|ram~2763 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2763_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2763 .is_wysiwyg = "true";
+defparam \datamem|ram~2763 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N51
+cyclonev_lcell_comb \datamem|ram~2571feeder (
+// Equation(s):
+// \datamem|ram~2571feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2571feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2571feeder .extended_lut = "off";
+defparam \datamem|ram~2571feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2571feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N52
+dffeas \datamem|ram~2571 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2571feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2571_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2571 .is_wysiwyg = "true";
+defparam \datamem|ram~2571 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N49
+dffeas \datamem|ram~2635 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2635_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2635 .is_wysiwyg = "true";
+defparam \datamem|ram~2635 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N54
+cyclonev_lcell_comb \datamem|ram~4743 (
+// Equation(s):
+// \datamem|ram~4743_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2763_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2699_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2635_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2571_q ) ) )
+
+ .dataa(!\datamem|ram~2699_q ),
+ .datab(!\datamem|ram~2763_q ),
+ .datac(!\datamem|ram~2571_q ),
+ .datad(!\datamem|ram~2635_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4743_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4743 .extended_lut = "off";
+defparam \datamem|ram~4743 .lut_mask = 64'h0F0F00FF55553333;
+defparam \datamem|ram~4743 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N19
+dffeas \datamem|ram~2587 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2587_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2587 .is_wysiwyg = "true";
+defparam \datamem|ram~2587 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y9_N51
+cyclonev_lcell_comb \datamem|ram~2715feeder (
+// Equation(s):
+// \datamem|ram~2715feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2715feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2715feeder .extended_lut = "off";
+defparam \datamem|ram~2715feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2715feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y9_N52
+dffeas \datamem|ram~2715 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2715feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2715_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2715 .is_wysiwyg = "true";
+defparam \datamem|ram~2715 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N43
+dffeas \datamem|ram~2651 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2651_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2651 .is_wysiwyg = "true";
+defparam \datamem|ram~2651 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N50
+dffeas \datamem|ram~2779 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2779_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2779 .is_wysiwyg = "true";
+defparam \datamem|ram~2779 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N48
+cyclonev_lcell_comb \datamem|ram~4744 (
+// Equation(s):
+// \datamem|ram~4744_combout = ( \datamem|ram~2779_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2651_q ) ) ) ) # ( !\datamem|ram~2779_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2651_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2779_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2587_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2715_q ))) ) ) ) # ( !\datamem|ram~2779_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2587_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2715_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2587_q ),
+ .datab(!\datamem|ram~2715_q ),
+ .datac(!\datamem|ram~2651_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2779_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4744_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4744 .extended_lut = "off";
+defparam \datamem|ram~4744 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4744 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N13
+dffeas \datamem|ram~2619 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2619_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2619 .is_wysiwyg = "true";
+defparam \datamem|ram~2619 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N39
+cyclonev_lcell_comb \datamem|ram~2747feeder (
+// Equation(s):
+// \datamem|ram~2747feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2747feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2747feeder .extended_lut = "off";
+defparam \datamem|ram~2747feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2747feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N40
+dffeas \datamem|ram~2747 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2747feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2747_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2747 .is_wysiwyg = "true";
+defparam \datamem|ram~2747 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N4
+dffeas \datamem|ram~2683 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2683_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2683 .is_wysiwyg = "true";
+defparam \datamem|ram~2683 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N50
+dffeas \datamem|ram~2811 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2811_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2811 .is_wysiwyg = "true";
+defparam \datamem|ram~2811 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y12_N48
+cyclonev_lcell_comb \datamem|ram~4746 (
+// Equation(s):
+// \datamem|ram~4746_combout = ( \datamem|ram~2811_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2747_q ) ) ) ) # ( !\datamem|ram~2811_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2747_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2811_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2619_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2683_q ))) ) ) ) # ( !\datamem|ram~2811_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2619_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2683_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2619_q ),
+ .datab(!\datamem|ram~2747_q ),
+ .datac(!\datamem|ram~2683_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2811_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4746_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4746 .extended_lut = "off";
+defparam \datamem|ram~4746 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4746 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y9_N42
+cyclonev_lcell_comb \datamem|ram~4747 (
+// Equation(s):
+// \datamem|ram~4747_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~4746_combout & ( (\datamem|ram~4745_combout ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~4746_combout & ( (!\alu_unit|Mux14~6_combout
+// & (\datamem|ram~4743_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4744_combout ))) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~4746_combout & ( (!\alu_unit|Mux14~6_combout & \datamem|ram~4745_combout ) ) ) ) # (
+// !\alu_unit|Mux13~4_combout & ( !\datamem|ram~4746_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4743_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4744_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4745_combout ),
+ .datac(!\datamem|ram~4743_combout ),
+ .datad(!\datamem|ram~4744_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~4746_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4747_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4747 .extended_lut = "off";
+defparam \datamem|ram~4747 .lut_mask = 64'h0A5F22220A5F7777;
+defparam \datamem|ram~4747 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N36
+cyclonev_lcell_comb \datamem|ram~4753 (
+// Equation(s):
+// \datamem|ram~4753_combout = ( \datamem|ram~4742_combout & ( \datamem|ram~4747_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout ) # (\datamem|ram~4737_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) #
+// (\datamem|ram~4752_combout ))) ) ) ) # ( !\datamem|ram~4742_combout & ( \datamem|ram~4747_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4737_combout & !\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~4752_combout ))) ) ) ) # ( \datamem|ram~4742_combout & ( !\datamem|ram~4747_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout ) # (\datamem|ram~4737_combout )))) #
+// (\alu_unit|Mux9~4_combout & (\datamem|ram~4752_combout & ((\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4742_combout & ( !\datamem|ram~4747_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4737_combout & !\alu_unit|Mux10~6_combout
+// )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4752_combout & ((\alu_unit|Mux10~6_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4752_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4737_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4742_combout ),
+ .dataf(!\datamem|ram~4747_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4753_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4753 .extended_lut = "off";
+defparam \datamem|ram~4753 .lut_mask = 64'h0C110CDD3F113FDD;
+defparam \datamem|ram~4753 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y9_N4
+dffeas \datamem|ram~1035 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1035_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1035 .is_wysiwyg = "true";
+defparam \datamem|ram~1035 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N8
+dffeas \datamem|ram~1067 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1067_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1067 .is_wysiwyg = "true";
+defparam \datamem|ram~1067 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N5
+dffeas \datamem|ram~1083 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1083_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1083 .is_wysiwyg = "true";
+defparam \datamem|ram~1083 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N38
+dffeas \datamem|ram~1051 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1051_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1051 .is_wysiwyg = "true";
+defparam \datamem|ram~1051 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y11_N36
+cyclonev_lcell_comb \datamem|ram~4712 (
+// Equation(s):
+// \datamem|ram~4712_combout = ( \datamem|ram~1051_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1083_q ) ) ) ) # ( !\datamem|ram~1051_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1083_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1051_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1035_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1067_q ))) ) ) ) # ( !\datamem|ram~1051_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1035_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1067_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1035_q ),
+ .datab(!\datamem|ram~1067_q ),
+ .datac(!\datamem|ram~1083_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1051_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4712_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4712 .extended_lut = "off";
+defparam \datamem|ram~4712 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~4712 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y8_N13
+dffeas \datamem|ram~1547 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1547_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1547 .is_wysiwyg = "true";
+defparam \datamem|ram~1547 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N36
+cyclonev_lcell_comb \datamem|ram~1579feeder (
+// Equation(s):
+// \datamem|ram~1579feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1579feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1579feeder .extended_lut = "off";
+defparam \datamem|ram~1579feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1579feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N37
+dffeas \datamem|ram~1579 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1579feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1579_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1579 .is_wysiwyg = "true";
+defparam \datamem|ram~1579 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N12
+cyclonev_lcell_comb \datamem|ram~1563feeder (
+// Equation(s):
+// \datamem|ram~1563feeder_combout = \reg_file|reg_read_data_2[11]~11_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1563feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1563feeder .extended_lut = "off";
+defparam \datamem|ram~1563feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1563feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N14
+dffeas \datamem|ram~1563 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1563feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1563_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1563 .is_wysiwyg = "true";
+defparam \datamem|ram~1563 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N44
+dffeas \datamem|ram~1595 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1595_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1595 .is_wysiwyg = "true";
+defparam \datamem|ram~1595 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N42
+cyclonev_lcell_comb \datamem|ram~4714 (
+// Equation(s):
+// \datamem|ram~4714_combout = ( \datamem|ram~1595_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1563_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~1595_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~1563_q ) ) ) ) # ( \datamem|ram~1595_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1547_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1579_q ))) ) ) ) # ( !\datamem|ram~1595_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1547_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1579_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1547_q ),
+ .datab(!\datamem|ram~1579_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1563_q ),
+ .datae(!\datamem|ram~1595_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4714_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4714 .extended_lut = "off";
+defparam \datamem|ram~4714 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4714 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N54
+cyclonev_lcell_comb \datamem|ram~1819feeder (
+// Equation(s):
+// \datamem|ram~1819feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1819feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1819feeder .extended_lut = "off";
+defparam \datamem|ram~1819feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1819feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N56
+dffeas \datamem|ram~1819 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1819feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1819_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1819 .is_wysiwyg = "true";
+defparam \datamem|ram~1819 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N8
+dffeas \datamem|ram~1835 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1835_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1835 .is_wysiwyg = "true";
+defparam \datamem|ram~1835 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N55
+dffeas \datamem|ram~1803 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1803_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1803 .is_wysiwyg = "true";
+defparam \datamem|ram~1803 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N20
+dffeas \datamem|ram~1851 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1851_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1851 .is_wysiwyg = "true";
+defparam \datamem|ram~1851 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N18
+cyclonev_lcell_comb \datamem|ram~4715 (
+// Equation(s):
+// \datamem|ram~4715_combout = ( \datamem|ram~1851_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1819_q ) ) ) ) # ( !\datamem|ram~1851_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1819_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1851_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1803_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1835_q )) ) ) ) # ( !\datamem|ram~1851_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1803_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1835_q )) ) ) )
+
+ .dataa(!\datamem|ram~1819_q ),
+ .datab(!\datamem|ram~1835_q ),
+ .datac(!\datamem|ram~1803_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1851_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4715_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4715 .extended_lut = "off";
+defparam \datamem|ram~4715 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4715 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y18_N13
+dffeas \datamem|ram~1291 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1291_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1291 .is_wysiwyg = "true";
+defparam \datamem|ram~1291 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y18_N44
+dffeas \datamem|ram~1339 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1339_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1339 .is_wysiwyg = "true";
+defparam \datamem|ram~1339 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y16_N9
+cyclonev_lcell_comb \datamem|ram~1323feeder (
+// Equation(s):
+// \datamem|ram~1323feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1323feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1323feeder .extended_lut = "off";
+defparam \datamem|ram~1323feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1323feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N10
+dffeas \datamem|ram~1323 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1323feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1323_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1323 .is_wysiwyg = "true";
+defparam \datamem|ram~1323 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y18_N56
+dffeas \datamem|ram~1307 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1307_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1307 .is_wysiwyg = "true";
+defparam \datamem|ram~1307 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y18_N54
+cyclonev_lcell_comb \datamem|ram~4713 (
+// Equation(s):
+// \datamem|ram~4713_combout = ( \datamem|ram~1307_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1323_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1339_q )) ) ) ) # ( !\datamem|ram~1307_q & (
+// \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1323_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1339_q )) ) ) ) # ( \datamem|ram~1307_q & ( !\alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~1291_q ) ) ) ) # ( !\datamem|ram~1307_q & ( !\alu_unit|Mux13~4_combout & ( (\datamem|ram~1291_q & !\alu_unit|Mux14~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1291_q ),
+ .datab(!\datamem|ram~1339_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1323_q ),
+ .datae(!\datamem|ram~1307_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4713_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4713 .extended_lut = "off";
+defparam \datamem|ram~4713 .lut_mask = 64'h50505F5F03F303F3;
+defparam \datamem|ram~4713 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N18
+cyclonev_lcell_comb \datamem|ram~4716 (
+// Equation(s):
+// \datamem|ram~4716_combout = ( \datamem|ram~4715_combout & ( \datamem|ram~4713_combout & ( ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4712_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4714_combout )))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~4715_combout & ( \datamem|ram~4713_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4712_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4714_combout
+// )))) ) ) ) # ( \datamem|ram~4715_combout & ( !\datamem|ram~4713_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~4712_combout ))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~4714_combout )) #
+// (\alu_unit|Mux10~6_combout ))) ) ) ) # ( !\datamem|ram~4715_combout & ( !\datamem|ram~4713_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4712_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4714_combout
+// ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4712_combout ),
+ .datad(!\datamem|ram~4714_combout ),
+ .datae(!\datamem|ram~4715_combout ),
+ .dataf(!\datamem|ram~4713_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4716_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4716 .extended_lut = "off";
+defparam \datamem|ram~4716 .lut_mask = 64'h084C195D2A6E3B7F;
+defparam \datamem|ram~4716 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N42
+cyclonev_lcell_comb \datamem|ram~1867feeder (
+// Equation(s):
+// \datamem|ram~1867feeder_combout = \reg_file|reg_read_data_2[11]~11_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1867feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1867feeder .extended_lut = "off";
+defparam \datamem|ram~1867feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~1867feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N43
+dffeas \datamem|ram~1867 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1867feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1867_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1867 .is_wysiwyg = "true";
+defparam \datamem|ram~1867 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N19
+dffeas \datamem|ram~1883 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1883_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1883 .is_wysiwyg = "true";
+defparam \datamem|ram~1883 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N22
+dffeas \datamem|ram~1899 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1899_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1899 .is_wysiwyg = "true";
+defparam \datamem|ram~1899 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y11_N56
+dffeas \datamem|ram~1915 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1915_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1915 .is_wysiwyg = "true";
+defparam \datamem|ram~1915 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y11_N54
+cyclonev_lcell_comb \datamem|ram~4720 (
+// Equation(s):
+// \datamem|ram~4720_combout = ( \datamem|ram~1915_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1899_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~1915_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~1899_q ) ) ) ) # ( \datamem|ram~1915_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1867_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1883_q ))) ) ) ) # ( !\datamem|ram~1915_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1867_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1883_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1867_q ),
+ .datab(!\datamem|ram~1883_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1899_q ),
+ .datae(!\datamem|ram~1915_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4720_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4720 .extended_lut = "off";
+defparam \datamem|ram~4720 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4720 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N1
+dffeas \datamem|ram~1147 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1147_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1147 .is_wysiwyg = "true";
+defparam \datamem|ram~1147 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N59
+dffeas \datamem|ram~1131 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1131_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1131 .is_wysiwyg = "true";
+defparam \datamem|ram~1131 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N10
+dffeas \datamem|ram~1099 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1099_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1099 .is_wysiwyg = "true";
+defparam \datamem|ram~1099 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N38
+dffeas \datamem|ram~1115 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1115_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1115 .is_wysiwyg = "true";
+defparam \datamem|ram~1115 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y14_N36
+cyclonev_lcell_comb \datamem|ram~4717 (
+// Equation(s):
+// \datamem|ram~4717_combout = ( \datamem|ram~1115_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1147_q ) ) ) ) # ( !\datamem|ram~1115_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1147_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1115_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1099_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1131_q )) ) ) ) # ( !\datamem|ram~1115_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1099_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1131_q )) ) ) )
+
+ .dataa(!\datamem|ram~1147_q ),
+ .datab(!\datamem|ram~1131_q ),
+ .datac(!\datamem|ram~1099_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1115_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4717_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4717 .extended_lut = "off";
+defparam \datamem|ram~4717 .lut_mask = 64'h0F330F330055FF55;
+defparam \datamem|ram~4717 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N16
+dffeas \datamem|ram~1355 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1355_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1355 .is_wysiwyg = "true";
+defparam \datamem|ram~1355 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N57
+cyclonev_lcell_comb \datamem|ram~1387feeder (
+// Equation(s):
+// \datamem|ram~1387feeder_combout = \reg_file|reg_read_data_2[11]~11_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1387feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1387feeder .extended_lut = "off";
+defparam \datamem|ram~1387feeder .lut_mask = 64'h00FF00FF00FF00FF;
+defparam \datamem|ram~1387feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N59
+dffeas \datamem|ram~1387 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1387feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1387_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1387 .is_wysiwyg = "true";
+defparam \datamem|ram~1387 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N7
+dffeas \datamem|ram~1403 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1403_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1403 .is_wysiwyg = "true";
+defparam \datamem|ram~1403 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N38
+dffeas \datamem|ram~1371 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1371_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1371 .is_wysiwyg = "true";
+defparam \datamem|ram~1371 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N36
+cyclonev_lcell_comb \datamem|ram~4718 (
+// Equation(s):
+// \datamem|ram~4718_combout = ( \datamem|ram~1371_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1403_q ) ) ) ) # ( !\datamem|ram~1371_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1403_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1371_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1355_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1387_q ))) ) ) ) # ( !\datamem|ram~1371_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1355_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1387_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1355_q ),
+ .datab(!\datamem|ram~1387_q ),
+ .datac(!\datamem|ram~1403_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1371_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4718_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4718 .extended_lut = "off";
+defparam \datamem|ram~4718 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~4718 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N37
+dffeas \datamem|ram~1627 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1627_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1627 .is_wysiwyg = "true";
+defparam \datamem|ram~1627 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N6
+cyclonev_lcell_comb \datamem|ram~1643feeder (
+// Equation(s):
+// \datamem|ram~1643feeder_combout = \reg_file|reg_read_data_2[11]~11_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1643feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1643feeder .extended_lut = "off";
+defparam \datamem|ram~1643feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~1643feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N8
+dffeas \datamem|ram~1643 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1643feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1643_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1643 .is_wysiwyg = "true";
+defparam \datamem|ram~1643 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N49
+dffeas \datamem|ram~1611 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1611_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1611 .is_wysiwyg = "true";
+defparam \datamem|ram~1611 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N26
+dffeas \datamem|ram~1659 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1659_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1659 .is_wysiwyg = "true";
+defparam \datamem|ram~1659 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N24
+cyclonev_lcell_comb \datamem|ram~4719 (
+// Equation(s):
+// \datamem|ram~4719_combout = ( \datamem|ram~1659_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1627_q ) ) ) ) # ( !\datamem|ram~1659_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1627_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1659_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1611_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1643_q )) ) ) ) # ( !\datamem|ram~1659_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1611_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1643_q )) ) ) )
+
+ .dataa(!\datamem|ram~1627_q ),
+ .datab(!\datamem|ram~1643_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1611_q ),
+ .datae(!\datamem|ram~1659_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4719_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4719 .extended_lut = "off";
+defparam \datamem|ram~4719 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4719 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N42
+cyclonev_lcell_comb \datamem|ram~4721 (
+// Equation(s):
+// \datamem|ram~4721_combout = ( \datamem|ram~4718_combout & ( \datamem|ram~4719_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout ) # (\datamem|ram~4717_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) #
+// (\datamem|ram~4720_combout ))) ) ) ) # ( !\datamem|ram~4718_combout & ( \datamem|ram~4719_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4717_combout & !\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~4720_combout ))) ) ) ) # ( \datamem|ram~4718_combout & ( !\datamem|ram~4719_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout ) # (\datamem|ram~4717_combout )))) #
+// (\alu_unit|Mux9~4_combout & (\datamem|ram~4720_combout & ((\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4718_combout & ( !\datamem|ram~4719_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4717_combout & !\alu_unit|Mux10~6_combout
+// )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4720_combout & ((\alu_unit|Mux10~6_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4720_combout ),
+ .datab(!\datamem|ram~4717_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4718_combout ),
+ .dataf(!\datamem|ram~4719_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4721_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4721 .extended_lut = "off";
+defparam \datamem|ram~4721 .lut_mask = 64'h300530F53F053FF5;
+defparam \datamem|ram~4721 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N34
+dffeas \datamem|ram~1739 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1739_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1739 .is_wysiwyg = "true";
+defparam \datamem|ram~1739 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N40
+dffeas \datamem|ram~1771 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1771_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1771 .is_wysiwyg = "true";
+defparam \datamem|ram~1771 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N4
+dffeas \datamem|ram~1755 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1755_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1755 .is_wysiwyg = "true";
+defparam \datamem|ram~1755 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y13_N56
+dffeas \datamem|ram~1787 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1787_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1787 .is_wysiwyg = "true";
+defparam \datamem|ram~1787 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y13_N54
+cyclonev_lcell_comb \datamem|ram~4729 (
+// Equation(s):
+// \datamem|ram~4729_combout = ( \datamem|ram~1787_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1755_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~1787_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~1755_q ) ) ) ) # ( \datamem|ram~1787_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1739_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1771_q ))) ) ) ) # ( !\datamem|ram~1787_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1739_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1771_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1739_q ),
+ .datab(!\datamem|ram~1771_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1755_q ),
+ .datae(!\datamem|ram~1787_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4729_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4729 .extended_lut = "off";
+defparam \datamem|ram~4729 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4729 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N13
+dffeas \datamem|ram~1227 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1227_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1227 .is_wysiwyg = "true";
+defparam \datamem|ram~1227 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N52
+dffeas \datamem|ram~1259 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1259_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1259 .is_wysiwyg = "true";
+defparam \datamem|ram~1259 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y19_N45
+cyclonev_lcell_comb \datamem|ram~1243feeder (
+// Equation(s):
+// \datamem|ram~1243feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1243feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1243feeder .extended_lut = "off";
+defparam \datamem|ram~1243feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1243feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N46
+dffeas \datamem|ram~1243 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1243feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1243_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1243 .is_wysiwyg = "true";
+defparam \datamem|ram~1243 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y13_N44
+dffeas \datamem|ram~1275 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1275_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1275 .is_wysiwyg = "true";
+defparam \datamem|ram~1275 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y13_N42
+cyclonev_lcell_comb \datamem|ram~4727 (
+// Equation(s):
+// \datamem|ram~4727_combout = ( \datamem|ram~1275_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1243_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~1275_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~1243_q ) ) ) ) # ( \datamem|ram~1275_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1227_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1259_q ))) ) ) ) # ( !\datamem|ram~1275_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1227_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1259_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1227_q ),
+ .datab(!\datamem|ram~1259_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1243_q ),
+ .datae(!\datamem|ram~1275_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4727_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4727 .extended_lut = "off";
+defparam \datamem|ram~4727 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4727 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y14_N52
+dffeas \datamem|ram~2027 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2027_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2027 .is_wysiwyg = "true";
+defparam \datamem|ram~2027 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y12_N45
+cyclonev_lcell_comb \datamem|ram~1995feeder (
+// Equation(s):
+// \datamem|ram~1995feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1995feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1995feeder .extended_lut = "off";
+defparam \datamem|ram~1995feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1995feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y12_N47
+dffeas \datamem|ram~1995 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1995feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1995_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1995 .is_wysiwyg = "true";
+defparam \datamem|ram~1995 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N25
+dffeas \datamem|ram~2011 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2011_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2011 .is_wysiwyg = "true";
+defparam \datamem|ram~2011 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y12_N53
+dffeas \datamem|ram~2043 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2043_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2043 .is_wysiwyg = "true";
+defparam \datamem|ram~2043 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y12_N51
+cyclonev_lcell_comb \datamem|ram~4730 (
+// Equation(s):
+// \datamem|ram~4730_combout = ( \datamem|ram~2043_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2011_q ) ) ) ) # ( !\datamem|ram~2043_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2011_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2043_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1995_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2027_q )) ) ) ) # ( !\datamem|ram~2043_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1995_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2027_q )) ) ) )
+
+ .dataa(!\datamem|ram~2027_q ),
+ .datab(!\datamem|ram~1995_q ),
+ .datac(!\datamem|ram~2011_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2043_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4730_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4730 .extended_lut = "off";
+defparam \datamem|ram~4730 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4730 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N51
+cyclonev_lcell_comb \datamem|ram~1499feeder (
+// Equation(s):
+// \datamem|ram~1499feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1499feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1499feeder .extended_lut = "off";
+defparam \datamem|ram~1499feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1499feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N52
+dffeas \datamem|ram~1499 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1499feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1499_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1499 .is_wysiwyg = "true";
+defparam \datamem|ram~1499 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N21
+cyclonev_lcell_comb \datamem|ram~1483feeder (
+// Equation(s):
+// \datamem|ram~1483feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1483feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1483feeder .extended_lut = "off";
+defparam \datamem|ram~1483feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1483feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N23
+dffeas \datamem|ram~1483 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1483feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1483_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1483 .is_wysiwyg = "true";
+defparam \datamem|ram~1483 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N1
+dffeas \datamem|ram~1515 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1515_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1515 .is_wysiwyg = "true";
+defparam \datamem|ram~1515 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y7_N44
+dffeas \datamem|ram~1531 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1531_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1531 .is_wysiwyg = "true";
+defparam \datamem|ram~1531 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y7_N42
+cyclonev_lcell_comb \datamem|ram~4728 (
+// Equation(s):
+// \datamem|ram~4728_combout = ( \datamem|ram~1531_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1499_q ) ) ) ) # ( !\datamem|ram~1531_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1499_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1531_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1483_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1515_q ))) ) ) ) # ( !\datamem|ram~1531_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1483_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1515_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1499_q ),
+ .datab(!\datamem|ram~1483_q ),
+ .datac(!\datamem|ram~1515_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1531_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4728_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4728 .extended_lut = "off";
+defparam \datamem|ram~4728 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4728 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y13_N30
+cyclonev_lcell_comb \datamem|ram~4731 (
+// Equation(s):
+// \datamem|ram~4731_combout = ( \datamem|ram~4730_combout & ( \datamem|ram~4728_combout & ( ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4727_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4729_combout ))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~4730_combout & ( \datamem|ram~4728_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4727_combout ) # (\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4729_combout & (!\alu_unit|Mux10~6_combout )))
+// ) ) ) # ( \datamem|ram~4730_combout & ( !\datamem|ram~4728_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout & \datamem|ram~4727_combout )))) # (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) #
+// (\datamem|ram~4729_combout ))) ) ) ) # ( !\datamem|ram~4730_combout & ( !\datamem|ram~4728_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4727_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4729_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4729_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~4727_combout ),
+ .datae(!\datamem|ram~4730_combout ),
+ .dataf(!\datamem|ram~4728_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4731_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4731 .extended_lut = "off";
+defparam \datamem|ram~4731 .lut_mask = 64'h10D013D31CDC1FDF;
+defparam \datamem|ram~4731 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N12
+cyclonev_lcell_comb \datamem|ram~1163feeder (
+// Equation(s):
+// \datamem|ram~1163feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1163feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1163feeder .extended_lut = "off";
+defparam \datamem|ram~1163feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1163feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N13
+dffeas \datamem|ram~1163 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1163feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1163_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1163 .is_wysiwyg = "true";
+defparam \datamem|ram~1163 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N6
+cyclonev_lcell_comb \datamem|ram~1675feeder (
+// Equation(s):
+// \datamem|ram~1675feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1675feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1675feeder .extended_lut = "off";
+defparam \datamem|ram~1675feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1675feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N7
+dffeas \datamem|ram~1675 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1675feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1675_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1675 .is_wysiwyg = "true";
+defparam \datamem|ram~1675 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N48
+cyclonev_lcell_comb \datamem|ram~1419feeder (
+// Equation(s):
+// \datamem|ram~1419feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1419feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1419feeder .extended_lut = "off";
+defparam \datamem|ram~1419feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1419feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N49
+dffeas \datamem|ram~1419 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1419feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1419_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1419 .is_wysiwyg = "true";
+defparam \datamem|ram~1419 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y17_N26
+dffeas \datamem|ram~1931 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1931_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1931 .is_wysiwyg = "true";
+defparam \datamem|ram~1931 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y17_N24
+cyclonev_lcell_comb \datamem|ram~4722 (
+// Equation(s):
+// \datamem|ram~4722_combout = ( \datamem|ram~1931_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1675_q ) ) ) ) # ( !\datamem|ram~1931_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1675_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1931_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1163_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1419_q ))) ) ) ) # ( !\datamem|ram~1931_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1163_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1419_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1163_q ),
+ .datab(!\datamem|ram~1675_q ),
+ .datac(!\datamem|ram~1419_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1931_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4722_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4722 .extended_lut = "off";
+defparam \datamem|ram~4722 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4722 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N9
+cyclonev_lcell_comb \datamem|ram~1691feeder (
+// Equation(s):
+// \datamem|ram~1691feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1691feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1691feeder .extended_lut = "off";
+defparam \datamem|ram~1691feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1691feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N10
+dffeas \datamem|ram~1691 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1691feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1691_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1691 .is_wysiwyg = "true";
+defparam \datamem|ram~1691 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N41
+dffeas \datamem|ram~1179 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1179_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1179 .is_wysiwyg = "true";
+defparam \datamem|ram~1179 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y17_N21
+cyclonev_lcell_comb \datamem|ram~1435feeder (
+// Equation(s):
+// \datamem|ram~1435feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1435feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1435feeder .extended_lut = "off";
+defparam \datamem|ram~1435feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1435feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N22
+dffeas \datamem|ram~1435 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1435feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1435_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1435 .is_wysiwyg = "true";
+defparam \datamem|ram~1435 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y17_N32
+dffeas \datamem|ram~1947 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1947_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1947 .is_wysiwyg = "true";
+defparam \datamem|ram~1947 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y17_N30
+cyclonev_lcell_comb \datamem|ram~4723 (
+// Equation(s):
+// \datamem|ram~4723_combout = ( \datamem|ram~1947_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1691_q ) ) ) ) # ( !\datamem|ram~1947_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1691_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1947_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1179_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1435_q ))) ) ) ) # ( !\datamem|ram~1947_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1179_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1435_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1691_q ),
+ .datab(!\datamem|ram~1179_q ),
+ .datac(!\datamem|ram~1435_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1947_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4723_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4723 .extended_lut = "off";
+defparam \datamem|ram~4723 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4723 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y22_N54
+cyclonev_lcell_comb \datamem|ram~1451feeder (
+// Equation(s):
+// \datamem|ram~1451feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1451feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1451feeder .extended_lut = "off";
+defparam \datamem|ram~1451feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1451feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y22_N55
+dffeas \datamem|ram~1451 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1451feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1451_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1451 .is_wysiwyg = "true";
+defparam \datamem|ram~1451 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N12
+cyclonev_lcell_comb \datamem|ram~1195feeder (
+// Equation(s):
+// \datamem|ram~1195feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1195feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1195feeder .extended_lut = "off";
+defparam \datamem|ram~1195feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1195feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N14
+dffeas \datamem|ram~1195 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1195feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1195_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1195 .is_wysiwyg = "true";
+defparam \datamem|ram~1195 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N51
+cyclonev_lcell_comb \datamem|ram~1707feeder (
+// Equation(s):
+// \datamem|ram~1707feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1707feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1707feeder .extended_lut = "off";
+defparam \datamem|ram~1707feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1707feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N53
+dffeas \datamem|ram~1707 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1707feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1707_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1707 .is_wysiwyg = "true";
+defparam \datamem|ram~1707 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y17_N38
+dffeas \datamem|ram~1963 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1963_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1963 .is_wysiwyg = "true";
+defparam \datamem|ram~1963 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4724 (
+// Equation(s):
+// \datamem|ram~4724_combout = ( \datamem|ram~1963_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1707_q ) ) ) ) # ( !\datamem|ram~1963_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1707_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1963_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1195_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1451_q )) ) ) ) # ( !\datamem|ram~1963_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1195_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1451_q )) ) ) )
+
+ .dataa(!\datamem|ram~1451_q ),
+ .datab(!\datamem|ram~1195_q ),
+ .datac(!\datamem|ram~1707_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1963_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4724_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4724 .extended_lut = "off";
+defparam \datamem|ram~4724 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4724 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N53
+dffeas \datamem|ram~1467 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1467_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1467 .is_wysiwyg = "true";
+defparam \datamem|ram~1467 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N17
+dffeas \datamem|ram~1211 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1211_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1211 .is_wysiwyg = "true";
+defparam \datamem|ram~1211 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y13_N36
+cyclonev_lcell_comb \datamem|ram~1723feeder (
+// Equation(s):
+// \datamem|ram~1723feeder_combout = ( \reg_file|reg_read_data_2[11]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1723feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1723feeder .extended_lut = "off";
+defparam \datamem|ram~1723feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1723feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N37
+dffeas \datamem|ram~1723 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1723feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1723_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1723 .is_wysiwyg = "true";
+defparam \datamem|ram~1723 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N8
+dffeas \datamem|ram~1979 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[11]~11_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1979_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1979 .is_wysiwyg = "true";
+defparam \datamem|ram~1979 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y16_N6
+cyclonev_lcell_comb \datamem|ram~4725 (
+// Equation(s):
+// \datamem|ram~4725_combout = ( \datamem|ram~1979_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1723_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~1979_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~1723_q ) ) ) ) # ( \datamem|ram~1979_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1211_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1467_q )) ) ) ) # ( !\datamem|ram~1979_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1211_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1467_q )) ) ) )
+
+ .dataa(!\datamem|ram~1467_q ),
+ .datab(!\datamem|ram~1211_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1723_q ),
+ .datae(!\datamem|ram~1979_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4725_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4725 .extended_lut = "off";
+defparam \datamem|ram~4725 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4725 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y17_N18
+cyclonev_lcell_comb \datamem|ram~4726 (
+// Equation(s):
+// \datamem|ram~4726_combout = ( \datamem|ram~4724_combout & ( \datamem|ram~4725_combout & ( ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4722_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4723_combout )))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~4724_combout & ( \datamem|ram~4725_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~4722_combout ))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~4723_combout )) # (\alu_unit|Mux13~4_combout
+// ))) ) ) ) # ( \datamem|ram~4724_combout & ( !\datamem|ram~4725_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4722_combout )) # (\alu_unit|Mux13~4_combout ))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4723_combout )))) ) ) ) # ( !\datamem|ram~4724_combout & ( !\datamem|ram~4725_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4722_combout )) # (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~4723_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4722_combout ),
+ .datad(!\datamem|ram~4723_combout ),
+ .datae(!\datamem|ram~4724_combout ),
+ .dataf(!\datamem|ram~4725_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4726_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4726 .extended_lut = "off";
+defparam \datamem|ram~4726 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~4726 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N24
+cyclonev_lcell_comb \datamem|ram~4732 (
+// Equation(s):
+// \datamem|ram~4732_combout = ( \datamem|ram~4731_combout & ( \datamem|ram~4726_combout & ( ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4716_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4721_combout )))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4731_combout & ( \datamem|ram~4726_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4716_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4721_combout ))))) #
+// (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout )) ) ) ) # ( \datamem|ram~4731_combout & ( !\datamem|ram~4726_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4716_combout )) # (\alu_unit|Mux12~2_combout
+// & ((\datamem|ram~4721_combout ))))) # (\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout )) ) ) ) # ( !\datamem|ram~4731_combout & ( !\datamem|ram~4726_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4716_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4721_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4716_combout ),
+ .datad(!\datamem|ram~4721_combout ),
+ .datae(!\datamem|ram~4731_combout ),
+ .dataf(!\datamem|ram~4726_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4732_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4732 .extended_lut = "off";
+defparam \datamem|ram~4732 .lut_mask = 64'h082A193B4C6E5D7F;
+defparam \datamem|ram~4732 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y13_N30
+cyclonev_lcell_comb \datamem|ram~4775 (
+// Equation(s):
+// \datamem|ram~4775_combout = ( \datamem|ram~4753_combout & ( \datamem|ram~4732_combout & ( (!\alu_unit|Mux7~2_combout & (((\alu_unit|Mux8~2_combout )) # (\datamem|ram~4711_combout ))) # (\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout ) #
+// (\datamem|ram~4774_combout )))) ) ) ) # ( !\datamem|ram~4753_combout & ( \datamem|ram~4732_combout & ( (!\alu_unit|Mux7~2_combout & (((\alu_unit|Mux8~2_combout )) # (\datamem|ram~4711_combout ))) # (\alu_unit|Mux7~2_combout &
+// (((\datamem|ram~4774_combout & \alu_unit|Mux8~2_combout )))) ) ) ) # ( \datamem|ram~4753_combout & ( !\datamem|ram~4732_combout & ( (!\alu_unit|Mux7~2_combout & (\datamem|ram~4711_combout & ((!\alu_unit|Mux8~2_combout )))) # (\alu_unit|Mux7~2_combout
+// & (((!\alu_unit|Mux8~2_combout ) # (\datamem|ram~4774_combout )))) ) ) ) # ( !\datamem|ram~4753_combout & ( !\datamem|ram~4732_combout & ( (!\alu_unit|Mux7~2_combout & (\datamem|ram~4711_combout & ((!\alu_unit|Mux8~2_combout )))) #
+// (\alu_unit|Mux7~2_combout & (((\datamem|ram~4774_combout & \alu_unit|Mux8~2_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4711_combout ),
+ .datab(!\alu_unit|Mux7~2_combout ),
+ .datac(!\datamem|ram~4774_combout ),
+ .datad(!\alu_unit|Mux8~2_combout ),
+ .datae(!\datamem|ram~4753_combout ),
+ .dataf(!\datamem|ram~4732_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4775_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4775 .extended_lut = "off";
+defparam \datamem|ram~4775 .lut_mask = 64'h4403770344CF77CF;
+defparam \datamem|ram~4775 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N54
+cyclonev_lcell_comb \reg_write_data[11]~9 (
+// Equation(s):
+// \reg_write_data[11]~9_combout = ( \alu_unit|Mux4~3_combout & ( \datamem|ram~4775_combout & ( ((!\reg_write_data[1]~0_combout ) # (\reg_write_data[1]~1_combout )) # (\Add0~41_sumout ) ) ) ) # ( !\alu_unit|Mux4~3_combout & ( \datamem|ram~4775_combout &
+// ( (\reg_write_data[1]~0_combout & ((\reg_write_data[1]~1_combout ) # (\Add0~41_sumout ))) ) ) ) # ( \alu_unit|Mux4~3_combout & ( !\datamem|ram~4775_combout & ( (!\reg_write_data[1]~0_combout ) # ((\Add0~41_sumout & !\reg_write_data[1]~1_combout )) ) )
+// ) # ( !\alu_unit|Mux4~3_combout & ( !\datamem|ram~4775_combout & ( (\Add0~41_sumout & (\reg_write_data[1]~0_combout & !\reg_write_data[1]~1_combout )) ) ) )
+
+ .dataa(gnd),
+ .datab(!\Add0~41_sumout ),
+ .datac(!\reg_write_data[1]~0_combout ),
+ .datad(!\reg_write_data[1]~1_combout ),
+ .datae(!\alu_unit|Mux4~3_combout ),
+ .dataf(!\datamem|ram~4775_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[11]~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[11]~9 .extended_lut = "off";
+defparam \reg_write_data[11]~9 .lut_mask = 64'h0300F3F0030FF3FF;
+defparam \reg_write_data[11]~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N26
+dffeas \reg_file|reg_array[0][11] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[11]~9_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][11]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][11] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][11] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N41
+dffeas \reg_file|reg_array[1][11] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[11]~9_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][11]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][11] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][11] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y15_N59
+dffeas \reg_file|reg_array[2][11] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[11]~9_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][11]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][11] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y15_N57
+cyclonev_lcell_comb \reg_file|reg_read_data_2[11]~11 (
+// Equation(s):
+// \reg_file|reg_read_data_2[11]~11_combout = ( \reg_file|reg_array[2][11]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & (\reg_file|reg_array[1][11]~q )) # (\instrucion_memory|rom~18_combout &
+// ((\reg_file|reg_array[3][11]~q ))) ) ) ) # ( !\reg_file|reg_array[2][11]~q & ( \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & (\reg_file|reg_array[1][11]~q )) # (\instrucion_memory|rom~18_combout &
+// ((\reg_file|reg_array[3][11]~q ))) ) ) ) # ( \reg_file|reg_array[2][11]~q & ( !\instrucion_memory|rom~17_combout & ( (\instrucion_memory|rom~18_combout ) # (\reg_file|reg_array[0][11]~q ) ) ) ) # ( !\reg_file|reg_array[2][11]~q & (
+// !\instrucion_memory|rom~17_combout & ( (\reg_file|reg_array[0][11]~q & !\instrucion_memory|rom~18_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_array[0][11]~q ),
+ .datab(!\instrucion_memory|rom~18_combout ),
+ .datac(!\reg_file|reg_array[1][11]~q ),
+ .datad(!\reg_file|reg_array[3][11]~q ),
+ .datae(!\reg_file|reg_array[2][11]~q ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[11]~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[11]~11 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[11]~11 .lut_mask = 64'h444477770C3F0C3F;
+defparam \reg_file|reg_read_data_2[11]~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N6
+cyclonev_lcell_comb \read_data2[11]~11 (
+// Equation(s):
+// \read_data2[11]~11_combout = ( \reg_file|reg_read_data_2[11]~11_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) # (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))) ) ) # (
+// !\reg_file|reg_read_data_2[11]~11_combout & ( (!\imm_ext[15]~0_combout & \control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(!\imm_ext[15]~0_combout ),
+ .datac(!\control_unit|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[11]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[11]~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[11]~11 .extended_lut = "off";
+defparam \read_data2[11]~11 .lut_mask = 64'h0C0C0C0CACACACAC;
+defparam \read_data2[11]~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N36
+cyclonev_lcell_comb \alu_unit|Add0~45 (
+// Equation(s):
+// \alu_unit|Add0~45_sumout = SUM(( \read_data2[12]~12_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[12]~14_combout ))) ) + ( \alu_unit|Add0~42 ))
+// \alu_unit|Add0~46 = CARRY(( \read_data2[12]~12_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[12]~14_combout ))) ) + ( \alu_unit|Add0~42 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[12]~12_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[12]~14_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~45_sumout ),
+ .cout(\alu_unit|Add0~46 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~45 .extended_lut = "off";
+defparam \alu_unit|Add0~45 .lut_mask = 64'h0000FFF7000000FF;
+defparam \alu_unit|Add0~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N39
+cyclonev_lcell_comb \alu_unit|Add0~49 (
+// Equation(s):
+// \alu_unit|Add0~49_sumout = SUM(( \read_data2[13]~13_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[13]~26_combout ))) ) + ( \alu_unit|Add0~46 ))
+// \alu_unit|Add0~50 = CARRY(( \read_data2[13]~13_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[13]~26_combout ))) ) + ( \alu_unit|Add0~46 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[13]~13_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[13]~26_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~46 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~49_sumout ),
+ .cout(\alu_unit|Add0~50 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~49 .extended_lut = "off";
+defparam \alu_unit|Add0~49 .lut_mask = 64'h0000FFF7000000FF;
+defparam \alu_unit|Add0~49 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N45
+cyclonev_lcell_comb \alu_unit|Add1~45 (
+// Equation(s):
+// \alu_unit|Add1~45_sumout = SUM(( !\read_data2[12]~12_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[12]~14_combout ))) ) + ( \alu_unit|Add1~42 ))
+// \alu_unit|Add1~46 = CARRY(( !\read_data2[12]~12_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[12]~14_combout ))) ) + ( \alu_unit|Add1~42 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[12]~12_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[12]~14_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~42 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~45_sumout ),
+ .cout(\alu_unit|Add1~46 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~45 .extended_lut = "off";
+defparam \alu_unit|Add1~45 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~45 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N48
+cyclonev_lcell_comb \alu_unit|Add1~49 (
+// Equation(s):
+// \alu_unit|Add1~49_sumout = SUM(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[13]~26_combout ))) ) + ( !\read_data2[13]~13_combout ) + ( \alu_unit|Add1~46 ))
+// \alu_unit|Add1~50 = CARRY(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[13]~26_combout ))) ) + ( !\read_data2[13]~13_combout ) + ( \alu_unit|Add1~46 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[13]~26_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[13]~13_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~46 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~49_sumout ),
+ .cout(\alu_unit|Add1~50 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~49 .extended_lut = "off";
+defparam \alu_unit|Add1~49 .lut_mask = 64'h000000FF00000008;
+defparam \alu_unit|Add1~49 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N3
+cyclonev_lcell_comb \alu_unit|Mux2~0 (
+// Equation(s):
+// \alu_unit|Mux2~0_combout = ( \ALU_Control_unit|WideOr2~0_combout & ( \alu_unit|Add1~49_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((\alu_unit|Add0~49_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout &
+// (\reg_file|reg_read_data_1[13]~27_combout & (\read_data2[13]~13_combout ))) ) ) ) # ( !\ALU_Control_unit|WideOr2~0_combout & ( \alu_unit|Add1~49_sumout & ( ((!\ALU_Control_unit|WideOr1~0_combout ) # (\read_data2[13]~13_combout )) #
+// (\reg_file|reg_read_data_1[13]~27_combout ) ) ) ) # ( \ALU_Control_unit|WideOr2~0_combout & ( !\alu_unit|Add1~49_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((\alu_unit|Add0~49_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout &
+// (\reg_file|reg_read_data_1[13]~27_combout & (\read_data2[13]~13_combout ))) ) ) ) # ( !\ALU_Control_unit|WideOr2~0_combout & ( !\alu_unit|Add1~49_sumout & ( (\ALU_Control_unit|WideOr1~0_combout & ((\read_data2[13]~13_combout ) #
+// (\reg_file|reg_read_data_1[13]~27_combout ))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[13]~27_combout ),
+ .datab(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datac(!\read_data2[13]~13_combout ),
+ .datad(!\alu_unit|Add0~49_sumout ),
+ .datae(!\ALU_Control_unit|WideOr2~0_combout ),
+ .dataf(!\alu_unit|Add1~49_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux2~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux2~0 .extended_lut = "off";
+defparam \alu_unit|Mux2~0 .lut_mask = 64'h131301CDDFDF01CD;
+defparam \alu_unit|Mux2~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N42
+cyclonev_lcell_comb \alu_unit|Mux2~1 (
+// Equation(s):
+// \alu_unit|Mux2~1_combout = ( \reg_file|reg_read_data_1[10]~11_combout & ( \reg_file|reg_read_data_1[13]~27_combout & ( (!\read_data2[0]~1_combout & (((!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[11]~13_combout )))) #
+// (\read_data2[0]~1_combout & (((\read_data2[1]~0_combout )) # (\reg_file|reg_read_data_1[12]~15_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[10]~11_combout & ( \reg_file|reg_read_data_1[13]~27_combout & ( (!\read_data2[0]~1_combout &
+// (((!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[11]~13_combout )))) # (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[12]~15_combout & ((!\read_data2[1]~0_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[10]~11_combout & (
+// !\reg_file|reg_read_data_1[13]~27_combout & ( (!\read_data2[0]~1_combout & (((\reg_file|reg_read_data_1[11]~13_combout & \read_data2[1]~0_combout )))) # (\read_data2[0]~1_combout & (((\read_data2[1]~0_combout )) #
+// (\reg_file|reg_read_data_1[12]~15_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[10]~11_combout & ( !\reg_file|reg_read_data_1[13]~27_combout & ( (!\read_data2[0]~1_combout & (((\reg_file|reg_read_data_1[11]~13_combout & \read_data2[1]~0_combout
+// )))) # (\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[12]~15_combout & ((!\read_data2[1]~0_combout )))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .datab(!\read_data2[0]~1_combout ),
+ .datac(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .datad(!\read_data2[1]~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .dataf(!\reg_file|reg_read_data_1[13]~27_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux2~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux2~1 .extended_lut = "off";
+defparam \alu_unit|Mux2~1 .lut_mask = 64'h110C113FDD0CDD3F;
+defparam \alu_unit|Mux2~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y11_N51
+cyclonev_lcell_comb \alu_unit|Mux2~2 (
+// Equation(s):
+// \alu_unit|Mux2~2_combout = ( \alu_unit|shifter_left|st2[9]~10_combout & ( \alu_unit|Mux2~1_combout & ( (!\read_data2[3]~2_combout ) # ((!\read_data2[2]~3_combout & ((\alu_unit|shifter_left|st2[5]~6_combout ))) # (\read_data2[2]~3_combout &
+// (\alu_unit|shifter_left|st2[1]~1_combout ))) ) ) ) # ( !\alu_unit|shifter_left|st2[9]~10_combout & ( \alu_unit|Mux2~1_combout & ( (!\read_data2[2]~3_combout & ((!\read_data2[3]~2_combout ) # ((\alu_unit|shifter_left|st2[5]~6_combout )))) #
+// (\read_data2[2]~3_combout & (\read_data2[3]~2_combout & (\alu_unit|shifter_left|st2[1]~1_combout ))) ) ) ) # ( \alu_unit|shifter_left|st2[9]~10_combout & ( !\alu_unit|Mux2~1_combout & ( (!\read_data2[2]~3_combout & (\read_data2[3]~2_combout &
+// ((\alu_unit|shifter_left|st2[5]~6_combout )))) # (\read_data2[2]~3_combout & ((!\read_data2[3]~2_combout ) # ((\alu_unit|shifter_left|st2[1]~1_combout )))) ) ) ) # ( !\alu_unit|shifter_left|st2[9]~10_combout & ( !\alu_unit|Mux2~1_combout & (
+// (\read_data2[3]~2_combout & ((!\read_data2[2]~3_combout & ((\alu_unit|shifter_left|st2[5]~6_combout ))) # (\read_data2[2]~3_combout & (\alu_unit|shifter_left|st2[1]~1_combout )))) ) ) )
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\alu_unit|shifter_left|st2[1]~1_combout ),
+ .datad(!\alu_unit|shifter_left|st2[5]~6_combout ),
+ .datae(!\alu_unit|shifter_left|st2[9]~10_combout ),
+ .dataf(!\alu_unit|Mux2~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux2~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux2~2 .extended_lut = "off";
+defparam \alu_unit|Mux2~2 .lut_mask = 64'h0123456789ABCDEF;
+defparam \alu_unit|Mux2~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N54
+cyclonev_lcell_comb \alu_unit|Mux0~0 (
+// Equation(s):
+// \alu_unit|Mux0~0_combout = ( \control_unit|WideOr0~0_combout & ( \instrucion_memory|rom~20_combout & ( !\control_unit|mem_write~0_combout ) ) ) # ( !\control_unit|WideOr0~0_combout & ( \instrucion_memory|rom~20_combout & (
+// (\instrucion_memory|rom~16_combout & (!\instrucion_memory|rom~8_combout & !\control_unit|mem_write~0_combout )) ) ) ) # ( \control_unit|WideOr0~0_combout & ( !\instrucion_memory|rom~20_combout & ( !\control_unit|mem_write~0_combout ) ) ) # (
+// !\control_unit|WideOr0~0_combout & ( !\instrucion_memory|rom~20_combout & ( (!\instrucion_memory|rom~16_combout & (!\instrucion_memory|rom~8_combout & (\instrucion_memory|rom~6_combout & !\control_unit|mem_write~0_combout ))) ) ) )
+
+ .dataa(!\instrucion_memory|rom~16_combout ),
+ .datab(!\instrucion_memory|rom~8_combout ),
+ .datac(!\instrucion_memory|rom~6_combout ),
+ .datad(!\control_unit|mem_write~0_combout ),
+ .datae(!\control_unit|WideOr0~0_combout ),
+ .dataf(!\instrucion_memory|rom~20_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux0~0 .extended_lut = "off";
+defparam \alu_unit|Mux0~0 .lut_mask = 64'h0800FF004400FF00;
+defparam \alu_unit|Mux0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N48
+cyclonev_lcell_comb \alu_unit|Mux0~1 (
+// Equation(s):
+// \alu_unit|Mux0~1_combout = ( \ALU_Control_unit|WideOr2~0_combout & ( \ALU_Control_unit|WideOr0~0_combout ) ) # ( !\ALU_Control_unit|WideOr2~0_combout & ( \ALU_Control_unit|WideOr0~0_combout & ( (\ALU_Control_unit|WideOr1~0_combout &
+// ((\read_data2[2]~3_combout ) # (\read_data2[3]~2_combout ))) ) ) ) # ( \ALU_Control_unit|WideOr2~0_combout & ( !\ALU_Control_unit|WideOr0~0_combout & ( \ALU_Control_unit|WideOr1~0_combout ) ) )
+
+ .dataa(!\read_data2[3]~2_combout ),
+ .datab(!\read_data2[2]~3_combout ),
+ .datac(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datad(gnd),
+ .datae(!\ALU_Control_unit|WideOr2~0_combout ),
+ .dataf(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux0~1 .extended_lut = "off";
+defparam \alu_unit|Mux0~1 .lut_mask = 64'h00000F0F0707FFFF;
+defparam \alu_unit|Mux0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N9
+cyclonev_lcell_comb \alu_unit|Mux2~3 (
+// Equation(s):
+// \alu_unit|Mux2~3_combout = ( \alu_unit|Mult0~21 & ( \alu_unit|shifter_right|st2[2]~2_combout & ( (!\alu_unit|Mux0~1_combout ) # ((\alu_unit|Mux2~2_combout & !\alu_unit|Mux0~0_combout )) ) ) ) # ( !\alu_unit|Mult0~21 & (
+// \alu_unit|shifter_right|st2[2]~2_combout & ( (!\alu_unit|Mux0~0_combout & (\alu_unit|Mux2~2_combout & \alu_unit|Mux0~1_combout )) # (\alu_unit|Mux0~0_combout & ((!\alu_unit|Mux0~1_combout ))) ) ) ) # ( \alu_unit|Mult0~21 & (
+// !\alu_unit|shifter_right|st2[2]~2_combout & ( (!\alu_unit|Mux0~0_combout & ((!\alu_unit|Mux0~1_combout ) # (\alu_unit|Mux2~2_combout ))) ) ) ) # ( !\alu_unit|Mult0~21 & ( !\alu_unit|shifter_right|st2[2]~2_combout & ( (\alu_unit|Mux2~2_combout &
+// (!\alu_unit|Mux0~0_combout & \alu_unit|Mux0~1_combout )) ) ) )
+
+ .dataa(!\alu_unit|Mux2~2_combout ),
+ .datab(gnd),
+ .datac(!\alu_unit|Mux0~0_combout ),
+ .datad(!\alu_unit|Mux0~1_combout ),
+ .datae(!\alu_unit|Mult0~21 ),
+ .dataf(!\alu_unit|shifter_right|st2[2]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux2~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux2~3 .extended_lut = "off";
+defparam \alu_unit|Mux2~3 .lut_mask = 64'h0050F0500F50FF50;
+defparam \alu_unit|Mux2~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N45
+cyclonev_lcell_comb \alu_unit|Mux2~4 (
+// Equation(s):
+// \alu_unit|Mux2~4_combout = ( \alu_unit|Mux2~0_combout & ( \alu_unit|Mux2~3_combout ) ) # ( !\alu_unit|Mux2~0_combout & ( \alu_unit|Mux2~3_combout & ( \ALU_Control_unit|WideOr0~0_combout ) ) ) # ( \alu_unit|Mux2~0_combout & (
+// !\alu_unit|Mux2~3_combout & ( !\ALU_Control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux2~0_combout ),
+ .dataf(!\alu_unit|Mux2~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux2~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux2~4 .extended_lut = "off";
+defparam \alu_unit|Mux2~4 .lut_mask = 64'h0000F0F00F0FFFFF;
+defparam \alu_unit|Mux2~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N56
+dffeas \datamem|ram~1149 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1149_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1149 .is_wysiwyg = "true";
+defparam \datamem|ram~1149 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N27
+cyclonev_lcell_comb \datamem|ram~1213feeder (
+// Equation(s):
+// \datamem|ram~1213feeder_combout = \reg_file|reg_read_data_2[13]~13_combout
+
+ .dataa(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1213feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1213feeder .extended_lut = "off";
+defparam \datamem|ram~1213feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1213feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N29
+dffeas \datamem|ram~1213 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1213feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1213_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1213 .is_wysiwyg = "true";
+defparam \datamem|ram~1213 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N57
+cyclonev_lcell_comb \datamem|ram~1085feeder (
+// Equation(s):
+// \datamem|ram~1085feeder_combout = \reg_file|reg_read_data_2[13]~13_combout
+
+ .dataa(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1085feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1085feeder .extended_lut = "off";
+defparam \datamem|ram~1085feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1085feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N59
+dffeas \datamem|ram~1085 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1085feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1085_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1085 .is_wysiwyg = "true";
+defparam \datamem|ram~1085 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N44
+dffeas \datamem|ram~1277 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1277_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1277 .is_wysiwyg = "true";
+defparam \datamem|ram~1277 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N42
+cyclonev_lcell_comb \datamem|ram~5310 (
+// Equation(s):
+// \datamem|ram~5310_combout = ( \datamem|ram~1277_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1213_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1277_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1213_q ) ) ) ) # ( \datamem|ram~1277_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1085_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1149_q )) ) ) ) # ( !\datamem|ram~1277_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1085_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1149_q )) ) ) )
+
+ .dataa(!\datamem|ram~1149_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~1213_q ),
+ .datad(!\datamem|ram~1085_q ),
+ .datae(!\datamem|ram~1277_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5310_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5310 .extended_lut = "off";
+defparam \datamem|ram~5310 .lut_mask = 64'h11DD11DD0C0C3F3F;
+defparam \datamem|ram~5310 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y13_N1
+dffeas \datamem|ram~1229 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1229_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1229 .is_wysiwyg = "true";
+defparam \datamem|ram~1229 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y17_N0
+cyclonev_lcell_comb \datamem|ram~1165feeder (
+// Equation(s):
+// \datamem|ram~1165feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1165feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1165feeder .extended_lut = "off";
+defparam \datamem|ram~1165feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1165feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N1
+dffeas \datamem|ram~1165 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1165feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1165_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1165 .is_wysiwyg = "true";
+defparam \datamem|ram~1165 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y16_N57
+cyclonev_lcell_comb \datamem|ram~1037feeder (
+// Equation(s):
+// \datamem|ram~1037feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1037feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1037feeder .extended_lut = "off";
+defparam \datamem|ram~1037feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1037feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y16_N58
+dffeas \datamem|ram~1037 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1037feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1037_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1037 .is_wysiwyg = "true";
+defparam \datamem|ram~1037 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N8
+dffeas \datamem|ram~1101 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1101_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1101 .is_wysiwyg = "true";
+defparam \datamem|ram~1101 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N6
+cyclonev_lcell_comb \datamem|ram~5307 (
+// Equation(s):
+// \datamem|ram~5307_combout = ( \datamem|ram~1101_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1165_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1229_q )) ) ) ) # ( !\datamem|ram~1101_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1165_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1229_q )) ) ) ) # ( \datamem|ram~1101_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1037_q ) ) ) ) # ( !\datamem|ram~1101_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1037_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1229_q ),
+ .datab(!\datamem|ram~1165_q ),
+ .datac(!\datamem|ram~1037_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1101_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5307_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5307 .extended_lut = "off";
+defparam \datamem|ram~5307 .lut_mask = 64'h0F000FFF33553355;
+defparam \datamem|ram~5307 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N33
+cyclonev_lcell_comb \datamem|ram~1133feeder (
+// Equation(s):
+// \datamem|ram~1133feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1133feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1133feeder .extended_lut = "off";
+defparam \datamem|ram~1133feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1133feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N35
+dffeas \datamem|ram~1133 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1133feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1133_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1133 .is_wysiwyg = "true";
+defparam \datamem|ram~1133 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N37
+dffeas \datamem|ram~1197 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1197_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1197 .is_wysiwyg = "true";
+defparam \datamem|ram~1197 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N54
+cyclonev_lcell_comb \datamem|ram~1069feeder (
+// Equation(s):
+// \datamem|ram~1069feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1069feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1069feeder .extended_lut = "off";
+defparam \datamem|ram~1069feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1069feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N56
+dffeas \datamem|ram~1069 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1069feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1069_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1069 .is_wysiwyg = "true";
+defparam \datamem|ram~1069 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N8
+dffeas \datamem|ram~1261 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1261_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1261 .is_wysiwyg = "true";
+defparam \datamem|ram~1261 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N6
+cyclonev_lcell_comb \datamem|ram~5309 (
+// Equation(s):
+// \datamem|ram~5309_combout = ( \datamem|ram~1261_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1133_q ) ) ) ) # ( !\datamem|ram~1261_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1133_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1261_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1069_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1197_q )) ) ) ) # ( !\datamem|ram~1261_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1069_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1197_q )) ) ) )
+
+ .dataa(!\datamem|ram~1133_q ),
+ .datab(!\datamem|ram~1197_q ),
+ .datac(!\datamem|ram~1069_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1261_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5309_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5309 .extended_lut = "off";
+defparam \datamem|ram~5309 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5309 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y19_N30
+cyclonev_lcell_comb \datamem|ram~1245feeder (
+// Equation(s):
+// \datamem|ram~1245feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1245feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1245feeder .extended_lut = "off";
+defparam \datamem|ram~1245feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1245feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N31
+dffeas \datamem|ram~1245 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1245feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1245_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1245 .is_wysiwyg = "true";
+defparam \datamem|ram~1245 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y14_N55
+dffeas \datamem|ram~1053 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1053_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1053 .is_wysiwyg = "true";
+defparam \datamem|ram~1053 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y11_N34
+dffeas \datamem|ram~1181 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1181_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1181 .is_wysiwyg = "true";
+defparam \datamem|ram~1181 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N53
+dffeas \datamem|ram~1117 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1117_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1117 .is_wysiwyg = "true";
+defparam \datamem|ram~1117 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N51
+cyclonev_lcell_comb \datamem|ram~5308 (
+// Equation(s):
+// \datamem|ram~5308_combout = ( \datamem|ram~1117_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~1245_q ) ) ) ) # ( !\datamem|ram~1117_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1245_q &
+// \alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1117_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1053_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1181_q ))) ) ) ) # ( !\datamem|ram~1117_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1053_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1181_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1245_q ),
+ .datab(!\datamem|ram~1053_q ),
+ .datac(!\datamem|ram~1181_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1117_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5308_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5308 .extended_lut = "off";
+defparam \datamem|ram~5308 .lut_mask = 64'h330F330F0055FF55;
+defparam \datamem|ram~5308 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N15
+cyclonev_lcell_comb \datamem|ram~5311 (
+// Equation(s):
+// \datamem|ram~5311_combout = ( \datamem|ram~5309_combout & ( \datamem|ram~5308_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~5307_combout ) # (\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~5310_combout ))) ) ) ) # ( !\datamem|ram~5309_combout & ( \datamem|ram~5308_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout & \datamem|ram~5307_combout )))) # (\alu_unit|Mux14~6_combout &
+// (((!\alu_unit|Mux13~4_combout )) # (\datamem|ram~5310_combout ))) ) ) ) # ( \datamem|ram~5309_combout & ( !\datamem|ram~5308_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~5307_combout ) # (\alu_unit|Mux13~4_combout )))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~5310_combout & (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5309_combout & ( !\datamem|ram~5308_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout & \datamem|ram~5307_combout
+// )))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5310_combout & (\alu_unit|Mux13~4_combout ))) ) ) )
+
+ .dataa(!\datamem|ram~5310_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~5307_combout ),
+ .datae(!\datamem|ram~5309_combout ),
+ .dataf(!\datamem|ram~5308_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5311_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5311 .extended_lut = "off";
+defparam \datamem|ram~5311 .lut_mask = 64'h01C10DCD31F13DFD;
+defparam \datamem|ram~5311 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N7
+dffeas \datamem|ram~1837 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1837_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1837 .is_wysiwyg = "true";
+defparam \datamem|ram~1837 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N32
+dffeas \datamem|ram~1853 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1853_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1853 .is_wysiwyg = "true";
+defparam \datamem|ram~1853 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N35
+dffeas \datamem|ram~1821 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1821_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1821 .is_wysiwyg = "true";
+defparam \datamem|ram~1821 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N38
+dffeas \datamem|ram~1805 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1805_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1805 .is_wysiwyg = "true";
+defparam \datamem|ram~1805 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y15_N45
+cyclonev_lcell_comb \datamem|ram~5322 (
+// Equation(s):
+// \datamem|ram~5322_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~1853_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~1837_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~1821_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~1805_q ) ) )
+
+ .dataa(!\datamem|ram~1837_q ),
+ .datab(!\datamem|ram~1853_q ),
+ .datac(!\datamem|ram~1821_q ),
+ .datad(!\datamem|ram~1805_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5322_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5322 .extended_lut = "off";
+defparam \datamem|ram~5322 .lut_mask = 64'h00FF0F0F55553333;
+defparam \datamem|ram~5322 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N41
+dffeas \datamem|ram~1965 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1965_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1965 .is_wysiwyg = "true";
+defparam \datamem|ram~1965 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N25
+dffeas \datamem|ram~1933 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1933_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1933 .is_wysiwyg = "true";
+defparam \datamem|ram~1933 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N58
+dffeas \datamem|ram~1949 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1949_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1949 .is_wysiwyg = "true";
+defparam \datamem|ram~1949 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N44
+dffeas \datamem|ram~1981 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1981_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1981 .is_wysiwyg = "true";
+defparam \datamem|ram~1981 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y12_N42
+cyclonev_lcell_comb \datamem|ram~5324 (
+// Equation(s):
+// \datamem|ram~5324_combout = ( \datamem|ram~1981_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1949_q ) ) ) ) # ( !\datamem|ram~1981_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1949_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1981_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1933_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1965_q )) ) ) ) # ( !\datamem|ram~1981_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1933_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1965_q )) ) ) )
+
+ .dataa(!\datamem|ram~1965_q ),
+ .datab(!\datamem|ram~1933_q ),
+ .datac(!\datamem|ram~1949_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1981_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5324_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5324 .extended_lut = "off";
+defparam \datamem|ram~5324 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5324 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N6
+cyclonev_lcell_comb \datamem|ram~1885feeder (
+// Equation(s):
+// \datamem|ram~1885feeder_combout = \reg_file|reg_read_data_2[13]~13_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1885feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1885feeder .extended_lut = "off";
+defparam \datamem|ram~1885feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1885feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N8
+dffeas \datamem|ram~1885 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1885feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1885_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1885 .is_wysiwyg = "true";
+defparam \datamem|ram~1885 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N3
+cyclonev_lcell_comb \datamem|ram~1869feeder (
+// Equation(s):
+// \datamem|ram~1869feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1869feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1869feeder .extended_lut = "off";
+defparam \datamem|ram~1869feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1869feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N4
+dffeas \datamem|ram~1869 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1869feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1869_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1869 .is_wysiwyg = "true";
+defparam \datamem|ram~1869 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y12_N6
+cyclonev_lcell_comb \datamem|ram~1901feeder (
+// Equation(s):
+// \datamem|ram~1901feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1901feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1901feeder .extended_lut = "off";
+defparam \datamem|ram~1901feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1901feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N7
+dffeas \datamem|ram~1901 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1901feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1901_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1901 .is_wysiwyg = "true";
+defparam \datamem|ram~1901 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y11_N32
+dffeas \datamem|ram~1917 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1917_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1917 .is_wysiwyg = "true";
+defparam \datamem|ram~1917 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y11_N30
+cyclonev_lcell_comb \datamem|ram~5323 (
+// Equation(s):
+// \datamem|ram~5323_combout = ( \datamem|ram~1917_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1901_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~1917_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~1901_q ) ) ) ) # ( \datamem|ram~1917_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1869_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1885_q )) ) ) ) # ( !\datamem|ram~1917_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1869_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1885_q )) ) ) )
+
+ .dataa(!\datamem|ram~1885_q ),
+ .datab(!\datamem|ram~1869_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1901_q ),
+ .datae(!\datamem|ram~1917_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5323_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5323 .extended_lut = "off";
+defparam \datamem|ram~5323 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5323 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N31
+dffeas \datamem|ram~2013 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2013_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2013 .is_wysiwyg = "true";
+defparam \datamem|ram~2013 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N52
+dffeas \datamem|ram~2029 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2029_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2029 .is_wysiwyg = "true";
+defparam \datamem|ram~2029 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N28
+dffeas \datamem|ram~1997 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1997_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1997 .is_wysiwyg = "true";
+defparam \datamem|ram~1997 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N26
+dffeas \datamem|ram~2045 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2045_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2045 .is_wysiwyg = "true";
+defparam \datamem|ram~2045 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y14_N24
+cyclonev_lcell_comb \datamem|ram~5325 (
+// Equation(s):
+// \datamem|ram~5325_combout = ( \datamem|ram~2045_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2029_q ) ) ) ) # ( !\datamem|ram~2045_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2029_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2045_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1997_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2013_q )) ) ) ) # ( !\datamem|ram~2045_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1997_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2013_q )) ) ) )
+
+ .dataa(!\datamem|ram~2013_q ),
+ .datab(!\datamem|ram~2029_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1997_q ),
+ .datae(!\datamem|ram~2045_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5325_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5325 .extended_lut = "off";
+defparam \datamem|ram~5325 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5325 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N48
+cyclonev_lcell_comb \datamem|ram~5326 (
+// Equation(s):
+// \datamem|ram~5326_combout = ( \datamem|ram~5323_combout & ( \datamem|ram~5325_combout & ( ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5322_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5324_combout )))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~5323_combout & ( \datamem|ram~5325_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5322_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5324_combout ))))) #
+// (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )))) ) ) ) # ( \datamem|ram~5323_combout & ( !\datamem|ram~5325_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5322_combout )) #
+// (\alu_unit|Mux11~4_combout & ((\datamem|ram~5324_combout ))))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~5323_combout & ( !\datamem|ram~5325_combout & ( (!\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5322_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5324_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~5322_combout ),
+ .datac(!\datamem|ram~5324_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~5323_combout ),
+ .dataf(!\datamem|ram~5325_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5326_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5326 .extended_lut = "off";
+defparam \datamem|ram~5326 .lut_mask = 64'h220A770A225F775F;
+defparam \datamem|ram~5326 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y7_N48
+cyclonev_lcell_comb \datamem|ram~1517feeder (
+// Equation(s):
+// \datamem|ram~1517feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1517feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1517feeder .extended_lut = "off";
+defparam \datamem|ram~1517feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1517feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N50
+dffeas \datamem|ram~1517 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1517feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1517_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1517 .is_wysiwyg = "true";
+defparam \datamem|ram~1517 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y22_N0
+cyclonev_lcell_comb \datamem|ram~1325feeder (
+// Equation(s):
+// \datamem|ram~1325feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1325feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1325feeder .extended_lut = "off";
+defparam \datamem|ram~1325feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1325feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y22_N1
+dffeas \datamem|ram~1325 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1325feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1325_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1325 .is_wysiwyg = "true";
+defparam \datamem|ram~1325 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y7_N24
+cyclonev_lcell_comb \datamem|ram~1389feeder (
+// Equation(s):
+// \datamem|ram~1389feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1389feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1389feeder .extended_lut = "off";
+defparam \datamem|ram~1389feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1389feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N26
+dffeas \datamem|ram~1389 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1389feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1389_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1389 .is_wysiwyg = "true";
+defparam \datamem|ram~1389 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y7_N18
+cyclonev_lcell_comb \datamem|ram~1453feeder (
+// Equation(s):
+// \datamem|ram~1453feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1453feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1453feeder .extended_lut = "off";
+defparam \datamem|ram~1453feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1453feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N19
+dffeas \datamem|ram~1453 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1453feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1453_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1453 .is_wysiwyg = "true";
+defparam \datamem|ram~1453 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N33
+cyclonev_lcell_comb \datamem|ram~5314 (
+// Equation(s):
+// \datamem|ram~5314_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1517_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1453_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1389_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1325_q ) ) )
+
+ .dataa(!\datamem|ram~1517_q ),
+ .datab(!\datamem|ram~1325_q ),
+ .datac(!\datamem|ram~1389_q ),
+ .datad(!\datamem|ram~1453_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5314_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5314 .extended_lut = "off";
+defparam \datamem|ram~5314 .lut_mask = 64'h33330F0F00FF5555;
+defparam \datamem|ram~5314 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N19
+dffeas \datamem|ram~1341 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1341_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1341 .is_wysiwyg = "true";
+defparam \datamem|ram~1341 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N31
+dffeas \datamem|ram~1405 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1405_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1405 .is_wysiwyg = "true";
+defparam \datamem|ram~1405 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N41
+dffeas \datamem|ram~1469 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1469_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1469 .is_wysiwyg = "true";
+defparam \datamem|ram~1469 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N26
+dffeas \datamem|ram~1533 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1533_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1533 .is_wysiwyg = "true";
+defparam \datamem|ram~1533 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N24
+cyclonev_lcell_comb \datamem|ram~5315 (
+// Equation(s):
+// \datamem|ram~5315_combout = ( \datamem|ram~1533_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1469_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1533_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1469_q ) ) ) ) # ( \datamem|ram~1533_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1341_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1405_q ))) ) ) ) # ( !\datamem|ram~1533_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1341_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1405_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1341_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~1405_q ),
+ .datad(!\datamem|ram~1469_q ),
+ .datae(!\datamem|ram~1533_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5315_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5315 .extended_lut = "off";
+defparam \datamem|ram~5315 .lut_mask = 64'h4747474700CC33FF;
+defparam \datamem|ram~5315 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N24
+cyclonev_lcell_comb \datamem|ram~1373feeder (
+// Equation(s):
+// \datamem|ram~1373feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1373feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1373feeder .extended_lut = "off";
+defparam \datamem|ram~1373feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1373feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N25
+dffeas \datamem|ram~1373 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1373feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1373_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1373 .is_wysiwyg = "true";
+defparam \datamem|ram~1373 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N41
+dffeas \datamem|ram~1437 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1437_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1437 .is_wysiwyg = "true";
+defparam \datamem|ram~1437 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y7_N18
+cyclonev_lcell_comb \datamem|ram~1309feeder (
+// Equation(s):
+// \datamem|ram~1309feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1309feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1309feeder .extended_lut = "off";
+defparam \datamem|ram~1309feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1309feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y7_N19
+dffeas \datamem|ram~1309 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1309feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1309_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1309 .is_wysiwyg = "true";
+defparam \datamem|ram~1309 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N36
+cyclonev_lcell_comb \datamem|ram~1501feeder (
+// Equation(s):
+// \datamem|ram~1501feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1501feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1501feeder .extended_lut = "off";
+defparam \datamem|ram~1501feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1501feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N38
+dffeas \datamem|ram~1501 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1501feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1501_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1501 .is_wysiwyg = "true";
+defparam \datamem|ram~1501 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N18
+cyclonev_lcell_comb \datamem|ram~5313 (
+// Equation(s):
+// \datamem|ram~5313_combout = ( \datamem|ram~1501_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1373_q ) ) ) ) # ( !\datamem|ram~1501_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1373_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1501_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1309_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1437_q )) ) ) ) # ( !\datamem|ram~1501_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1309_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1437_q )) ) ) )
+
+ .dataa(!\datamem|ram~1373_q ),
+ .datab(!\datamem|ram~1437_q ),
+ .datac(!\datamem|ram~1309_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1501_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5313_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5313 .extended_lut = "off";
+defparam \datamem|ram~5313 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5313 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N53
+dffeas \datamem|ram~1485 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1485_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1485 .is_wysiwyg = "true";
+defparam \datamem|ram~1485 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y18_N24
+cyclonev_lcell_comb \datamem|ram~1421feeder (
+// Equation(s):
+// \datamem|ram~1421feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1421feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1421feeder .extended_lut = "off";
+defparam \datamem|ram~1421feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1421feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N25
+dffeas \datamem|ram~1421 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1421feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1421_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1421 .is_wysiwyg = "true";
+defparam \datamem|ram~1421 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N55
+dffeas \datamem|ram~1293 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1293_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1293 .is_wysiwyg = "true";
+defparam \datamem|ram~1293 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N14
+dffeas \datamem|ram~1357 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1357_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1357 .is_wysiwyg = "true";
+defparam \datamem|ram~1357 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N12
+cyclonev_lcell_comb \datamem|ram~5312 (
+// Equation(s):
+// \datamem|ram~5312_combout = ( \datamem|ram~1357_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1421_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1485_q )) ) ) ) # ( !\datamem|ram~1357_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1421_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1485_q )) ) ) ) # ( \datamem|ram~1357_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1293_q ) #
+// (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1357_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~1293_q ) ) ) )
+
+ .dataa(!\datamem|ram~1485_q ),
+ .datab(!\datamem|ram~1421_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1293_q ),
+ .datae(!\datamem|ram~1357_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5312_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5312 .extended_lut = "off";
+defparam \datamem|ram~5312 .lut_mask = 64'h00F00FFF35353535;
+defparam \datamem|ram~5312 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N54
+cyclonev_lcell_comb \datamem|ram~5316 (
+// Equation(s):
+// \datamem|ram~5316_combout = ( \datamem|ram~5313_combout & ( \datamem|ram~5312_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5314_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~5315_combout )))) ) )
+// ) # ( !\datamem|ram~5313_combout & ( \datamem|ram~5312_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) # (\datamem|ram~5314_combout ))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~5315_combout & \alu_unit|Mux13~4_combout
+// )))) ) ) ) # ( \datamem|ram~5313_combout & ( !\datamem|ram~5312_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~5314_combout & ((\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~5315_combout )))) ) ) ) # ( !\datamem|ram~5313_combout & ( !\datamem|ram~5312_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5314_combout )) # (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~5315_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~5314_combout ),
+ .datac(!\datamem|ram~5315_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~5313_combout ),
+ .dataf(!\datamem|ram~5312_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5316_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5316 .extended_lut = "off";
+defparam \datamem|ram~5316 .lut_mask = 64'h00275527AA27FF27;
+defparam \datamem|ram~5316 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N5
+dffeas \datamem|ram~1613 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1613_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1613 .is_wysiwyg = "true";
+defparam \datamem|ram~1613 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y11_N58
+dffeas \datamem|ram~1549 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1549_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1549 .is_wysiwyg = "true";
+defparam \datamem|ram~1549 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y17_N6
+cyclonev_lcell_comb \datamem|ram~1677feeder (
+// Equation(s):
+// \datamem|ram~1677feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1677feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1677feeder .extended_lut = "off";
+defparam \datamem|ram~1677feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1677feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N8
+dffeas \datamem|ram~1677 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1677feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1677_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1677 .is_wysiwyg = "true";
+defparam \datamem|ram~1677 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N8
+dffeas \datamem|ram~1741 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1741_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1741 .is_wysiwyg = "true";
+defparam \datamem|ram~1741 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N6
+cyclonev_lcell_comb \datamem|ram~5317 (
+// Equation(s):
+// \datamem|ram~5317_combout = ( \datamem|ram~1741_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1677_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1741_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1677_q ) ) ) ) # ( \datamem|ram~1741_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1549_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1613_q )) ) ) ) # ( !\datamem|ram~1741_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1549_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1613_q )) ) ) )
+
+ .dataa(!\datamem|ram~1613_q ),
+ .datab(!\datamem|ram~1549_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1677_q ),
+ .datae(!\datamem|ram~1741_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5317_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5317 .extended_lut = "off";
+defparam \datamem|ram~5317 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5317 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N4
+dffeas \datamem|ram~1725 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1725_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1725 .is_wysiwyg = "true";
+defparam \datamem|ram~1725 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N40
+dffeas \datamem|ram~1597 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1597_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1597 .is_wysiwyg = "true";
+defparam \datamem|ram~1597 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N7
+dffeas \datamem|ram~1661 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1661_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1661 .is_wysiwyg = "true";
+defparam \datamem|ram~1661 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y14_N50
+dffeas \datamem|ram~1789 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1789_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1789 .is_wysiwyg = "true";
+defparam \datamem|ram~1789 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y14_N48
+cyclonev_lcell_comb \datamem|ram~5320 (
+// Equation(s):
+// \datamem|ram~5320_combout = ( \datamem|ram~1789_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1725_q ) ) ) ) # ( !\datamem|ram~1789_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1725_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1789_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1597_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1661_q ))) ) ) ) # ( !\datamem|ram~1789_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1597_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1661_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1725_q ),
+ .datab(!\datamem|ram~1597_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1661_q ),
+ .datae(!\datamem|ram~1789_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5320_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5320 .extended_lut = "off";
+defparam \datamem|ram~5320 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5320 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N18
+cyclonev_lcell_comb \datamem|ram~1645feeder (
+// Equation(s):
+// \datamem|ram~1645feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1645feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1645feeder .extended_lut = "off";
+defparam \datamem|ram~1645feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1645feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N19
+dffeas \datamem|ram~1645 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1645feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1645_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1645 .is_wysiwyg = "true";
+defparam \datamem|ram~1645 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y18_N57
+cyclonev_lcell_comb \datamem|ram~1709feeder (
+// Equation(s):
+// \datamem|ram~1709feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1709feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1709feeder .extended_lut = "off";
+defparam \datamem|ram~1709feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1709feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y18_N58
+dffeas \datamem|ram~1709 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1709feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1709_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1709 .is_wysiwyg = "true";
+defparam \datamem|ram~1709 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N16
+dffeas \datamem|ram~1581 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1581_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1581 .is_wysiwyg = "true";
+defparam \datamem|ram~1581 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N31
+dffeas \datamem|ram~1773 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1773_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1773 .is_wysiwyg = "true";
+defparam \datamem|ram~1773 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N0
+cyclonev_lcell_comb \datamem|ram~5319 (
+// Equation(s):
+// \datamem|ram~5319_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1773_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1709_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1645_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1581_q ) ) )
+
+ .dataa(!\datamem|ram~1645_q ),
+ .datab(!\datamem|ram~1709_q ),
+ .datac(!\datamem|ram~1581_q ),
+ .datad(!\datamem|ram~1773_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5319_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5319 .extended_lut = "off";
+defparam \datamem|ram~5319 .lut_mask = 64'h0F0F5555333300FF;
+defparam \datamem|ram~5319 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N54
+cyclonev_lcell_comb \datamem|ram~1693feeder (
+// Equation(s):
+// \datamem|ram~1693feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1693feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1693feeder .extended_lut = "off";
+defparam \datamem|ram~1693feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1693feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N56
+dffeas \datamem|ram~1693 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1693feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1693_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1693 .is_wysiwyg = "true";
+defparam \datamem|ram~1693 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N39
+cyclonev_lcell_comb \datamem|ram~1629feeder (
+// Equation(s):
+// \datamem|ram~1629feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1629feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1629feeder .extended_lut = "off";
+defparam \datamem|ram~1629feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1629feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N41
+dffeas \datamem|ram~1629 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1629feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1629_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1629 .is_wysiwyg = "true";
+defparam \datamem|ram~1629 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N43
+dffeas \datamem|ram~1565 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1565_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1565 .is_wysiwyg = "true";
+defparam \datamem|ram~1565 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N26
+dffeas \datamem|ram~1757 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1757_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1757 .is_wysiwyg = "true";
+defparam \datamem|ram~1757 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N24
+cyclonev_lcell_comb \datamem|ram~5318 (
+// Equation(s):
+// \datamem|ram~5318_combout = ( \datamem|ram~1757_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1693_q ) ) ) ) # ( !\datamem|ram~1757_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1693_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1757_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1565_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1629_q )) ) ) ) # ( !\datamem|ram~1757_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1565_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1629_q )) ) ) )
+
+ .dataa(!\datamem|ram~1693_q ),
+ .datab(!\datamem|ram~1629_q ),
+ .datac(!\datamem|ram~1565_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1757_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5318_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5318 .extended_lut = "off";
+defparam \datamem|ram~5318 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5318 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N15
+cyclonev_lcell_comb \datamem|ram~5321 (
+// Equation(s):
+// \datamem|ram~5321_combout = ( \datamem|ram~5319_combout & ( \datamem|ram~5318_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~5317_combout ))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~5320_combout )))) ) ) ) # ( !\datamem|ram~5319_combout & ( \datamem|ram~5318_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~5317_combout & ((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// (((!\alu_unit|Mux13~4_combout ) # (\datamem|ram~5320_combout )))) ) ) ) # ( \datamem|ram~5319_combout & ( !\datamem|ram~5318_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~5317_combout ))) #
+// (\alu_unit|Mux14~6_combout & (((\datamem|ram~5320_combout & \alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~5319_combout & ( !\datamem|ram~5318_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~5317_combout &
+// ((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~5320_combout & \alu_unit|Mux13~4_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~5317_combout ),
+ .datac(!\datamem|ram~5320_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~5319_combout ),
+ .dataf(!\datamem|ram~5318_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5321_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5321 .extended_lut = "off";
+defparam \datamem|ram~5321 .lut_mask = 64'h220522AF770577AF;
+defparam \datamem|ram~5321 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N36
+cyclonev_lcell_comb \datamem|ram~5327 (
+// Equation(s):
+// \datamem|ram~5327_combout = ( \datamem|ram~5316_combout & ( \datamem|ram~5321_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~5311_combout ))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~5326_combout )))) ) ) ) # ( !\datamem|ram~5316_combout & ( \datamem|ram~5321_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~5311_combout & ((!\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~5326_combout )))) ) ) ) # ( \datamem|ram~5316_combout & ( !\datamem|ram~5321_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~5311_combout ))) #
+// (\alu_unit|Mux9~4_combout & (((\datamem|ram~5326_combout & \alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~5316_combout & ( !\datamem|ram~5321_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~5311_combout & ((!\alu_unit|Mux10~6_combout
+// )))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~5326_combout & \alu_unit|Mux10~6_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~5311_combout ),
+ .datac(!\datamem|ram~5326_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~5316_combout ),
+ .dataf(!\datamem|ram~5321_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5327_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5327 .extended_lut = "off";
+defparam \datamem|ram~5327 .lut_mask = 64'h220522AF770577AF;
+defparam \datamem|ram~5327 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N28
+dffeas \datamem|ram~3485 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3485_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3485 .is_wysiwyg = "true";
+defparam \datamem|ram~3485 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N0
+cyclonev_lcell_comb \datamem|ram~3741feeder (
+// Equation(s):
+// \datamem|ram~3741feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3741feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3741feeder .extended_lut = "off";
+defparam \datamem|ram~3741feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3741feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N1
+dffeas \datamem|ram~3741 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3741feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3741_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3741 .is_wysiwyg = "true";
+defparam \datamem|ram~3741 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N45
+cyclonev_lcell_comb \datamem|ram~3229feeder (
+// Equation(s):
+// \datamem|ram~3229feeder_combout = \reg_file|reg_read_data_2[13]~13_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3229feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3229feeder .extended_lut = "off";
+defparam \datamem|ram~3229feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3229feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N47
+dffeas \datamem|ram~3229 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3229feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3229_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3229 .is_wysiwyg = "true";
+defparam \datamem|ram~3229 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N14
+dffeas \datamem|ram~3997 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3997_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3997 .is_wysiwyg = "true";
+defparam \datamem|ram~3997 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N12
+cyclonev_lcell_comb \datamem|ram~5360 (
+// Equation(s):
+// \datamem|ram~5360_combout = ( \datamem|ram~3997_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3741_q ) ) ) ) # ( !\datamem|ram~3997_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3741_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3997_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3229_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3485_q )) ) ) ) # ( !\datamem|ram~3997_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3229_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3485_q )) ) ) )
+
+ .dataa(!\datamem|ram~3485_q ),
+ .datab(!\datamem|ram~3741_q ),
+ .datac(!\datamem|ram~3229_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3997_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5360_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5360 .extended_lut = "off";
+defparam \datamem|ram~5360 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5360 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y19_N21
+cyclonev_lcell_comb \datamem|ram~3501feeder (
+// Equation(s):
+// \datamem|ram~3501feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3501feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3501feeder .extended_lut = "off";
+defparam \datamem|ram~3501feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3501feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N22
+dffeas \datamem|ram~3501 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3501feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3501_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3501 .is_wysiwyg = "true";
+defparam \datamem|ram~3501 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y19_N39
+cyclonev_lcell_comb \datamem|ram~3245feeder (
+// Equation(s):
+// \datamem|ram~3245feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3245feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3245feeder .extended_lut = "off";
+defparam \datamem|ram~3245feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3245feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N40
+dffeas \datamem|ram~3245 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3245feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3245_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3245 .is_wysiwyg = "true";
+defparam \datamem|ram~3245 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N40
+dffeas \datamem|ram~3757 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3757_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3757 .is_wysiwyg = "true";
+defparam \datamem|ram~3757 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N2
+dffeas \datamem|ram~4013 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4013_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4013 .is_wysiwyg = "true";
+defparam \datamem|ram~4013 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N0
+cyclonev_lcell_comb \datamem|ram~5361 (
+// Equation(s):
+// \datamem|ram~5361_combout = ( \datamem|ram~4013_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3757_q ) ) ) ) # ( !\datamem|ram~4013_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3757_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4013_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3245_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3501_q )) ) ) ) # ( !\datamem|ram~4013_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3245_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3501_q )) ) ) )
+
+ .dataa(!\datamem|ram~3501_q ),
+ .datab(!\datamem|ram~3245_q ),
+ .datac(!\datamem|ram~3757_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4013_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5361_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5361 .extended_lut = "off";
+defparam \datamem|ram~5361 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5361 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N33
+cyclonev_lcell_comb \datamem|ram~3517feeder (
+// Equation(s):
+// \datamem|ram~3517feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3517feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3517feeder .extended_lut = "off";
+defparam \datamem|ram~3517feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3517feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N35
+dffeas \datamem|ram~3517 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3517feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3517_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3517 .is_wysiwyg = "true";
+defparam \datamem|ram~3517 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y18_N50
+dffeas \datamem|ram~3773 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3773_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3773 .is_wysiwyg = "true";
+defparam \datamem|ram~3773 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N21
+cyclonev_lcell_comb \datamem|ram~3261feeder (
+// Equation(s):
+// \datamem|ram~3261feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3261feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3261feeder .extended_lut = "off";
+defparam \datamem|ram~3261feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3261feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N23
+dffeas \datamem|ram~3261 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3261feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3261_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3261 .is_wysiwyg = "true";
+defparam \datamem|ram~3261 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N14
+dffeas \datamem|ram~4029 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4029_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4029 .is_wysiwyg = "true";
+defparam \datamem|ram~4029 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N12
+cyclonev_lcell_comb \datamem|ram~5362 (
+// Equation(s):
+// \datamem|ram~5362_combout = ( \datamem|ram~4029_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3517_q ) ) ) ) # ( !\datamem|ram~4029_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3517_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~4029_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3261_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3773_q )) ) ) ) # ( !\datamem|ram~4029_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3261_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3773_q )) ) ) )
+
+ .dataa(!\datamem|ram~3517_q ),
+ .datab(!\datamem|ram~3773_q ),
+ .datac(!\datamem|ram~3261_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4029_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5362_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5362 .extended_lut = "off";
+defparam \datamem|ram~5362 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5362 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N0
+cyclonev_lcell_comb \datamem|ram~3213feeder (
+// Equation(s):
+// \datamem|ram~3213feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3213feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3213feeder .extended_lut = "off";
+defparam \datamem|ram~3213feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3213feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N2
+dffeas \datamem|ram~3213 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3213feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3213_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3213 .is_wysiwyg = "true";
+defparam \datamem|ram~3213 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N36
+cyclonev_lcell_comb \datamem|ram~3725feeder (
+// Equation(s):
+// \datamem|ram~3725feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3725feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3725feeder .extended_lut = "off";
+defparam \datamem|ram~3725feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3725feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N37
+dffeas \datamem|ram~3725 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3725feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3725_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3725 .is_wysiwyg = "true";
+defparam \datamem|ram~3725 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N19
+dffeas \datamem|ram~3469 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3469_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3469 .is_wysiwyg = "true";
+defparam \datamem|ram~3469 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N56
+dffeas \datamem|ram~3981 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3981_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3981 .is_wysiwyg = "true";
+defparam \datamem|ram~3981 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N54
+cyclonev_lcell_comb \datamem|ram~5359 (
+// Equation(s):
+// \datamem|ram~5359_combout = ( \datamem|ram~3981_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3725_q ) ) ) ) # ( !\datamem|ram~3981_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3725_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3981_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3213_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3469_q ))) ) ) ) # ( !\datamem|ram~3981_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3213_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3469_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3213_q ),
+ .datab(!\datamem|ram~3725_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3469_q ),
+ .datae(!\datamem|ram~3981_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5359_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5359 .extended_lut = "off";
+defparam \datamem|ram~5359 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5359 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y18_N15
+cyclonev_lcell_comb \datamem|ram~5363 (
+// Equation(s):
+// \datamem|ram~5363_combout = ( \datamem|ram~5362_combout & ( \datamem|ram~5359_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5361_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~5360_combout )) #
+// (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5362_combout & ( \datamem|ram~5359_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5361_combout )))) # (\alu_unit|Mux14~6_combout &
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~5360_combout ))) ) ) ) # ( \datamem|ram~5362_combout & ( !\datamem|ram~5359_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~5361_combout )))) #
+// (\alu_unit|Mux14~6_combout & (((\datamem|ram~5360_combout )) # (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5362_combout & ( !\datamem|ram~5359_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~5361_combout )))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~5360_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5360_combout ),
+ .datad(!\datamem|ram~5361_combout ),
+ .datae(!\datamem|ram~5362_combout ),
+ .dataf(!\datamem|ram~5359_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5363_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5363 .extended_lut = "off";
+defparam \datamem|ram~5363 .lut_mask = 64'h042615378CAE9DBF;
+defparam \datamem|ram~5363 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N26
+dffeas \datamem|ram~3805 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3805_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3805 .is_wysiwyg = "true";
+defparam \datamem|ram~3805 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N46
+dffeas \datamem|ram~3821 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3821_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3821 .is_wysiwyg = "true";
+defparam \datamem|ram~3821 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N24
+cyclonev_lcell_comb \datamem|ram~3789feeder (
+// Equation(s):
+// \datamem|ram~3789feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3789feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3789feeder .extended_lut = "off";
+defparam \datamem|ram~3789feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3789feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N25
+dffeas \datamem|ram~3789 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3789feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3789_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3789 .is_wysiwyg = "true";
+defparam \datamem|ram~3789 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N44
+dffeas \datamem|ram~3837 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3837_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3837 .is_wysiwyg = "true";
+defparam \datamem|ram~3837 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N42
+cyclonev_lcell_comb \datamem|ram~5366 (
+// Equation(s):
+// \datamem|ram~5366_combout = ( \datamem|ram~3837_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3821_q ) ) ) ) # ( !\datamem|ram~3837_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3821_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3837_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3789_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3805_q )) ) ) ) # ( !\datamem|ram~3837_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3789_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3805_q )) ) ) )
+
+ .dataa(!\datamem|ram~3805_q ),
+ .datab(!\datamem|ram~3821_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3789_q ),
+ .datae(!\datamem|ram~3837_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5366_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5366 .extended_lut = "off";
+defparam \datamem|ram~5366 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5366 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N23
+dffeas \datamem|ram~3549 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3549_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3549 .is_wysiwyg = "true";
+defparam \datamem|ram~3549 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N41
+dffeas \datamem|ram~3565 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3565_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3565 .is_wysiwyg = "true";
+defparam \datamem|ram~3565 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y9_N37
+dffeas \datamem|ram~3533 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3533_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3533 .is_wysiwyg = "true";
+defparam \datamem|ram~3533 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N44
+dffeas \datamem|ram~3581 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3581_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3581 .is_wysiwyg = "true";
+defparam \datamem|ram~3581 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N42
+cyclonev_lcell_comb \datamem|ram~5365 (
+// Equation(s):
+// \datamem|ram~5365_combout = ( \datamem|ram~3581_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3549_q ) ) ) ) # ( !\datamem|ram~3581_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3549_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3581_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3533_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3565_q )) ) ) ) # ( !\datamem|ram~3581_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3533_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3565_q )) ) ) )
+
+ .dataa(!\datamem|ram~3549_q ),
+ .datab(!\datamem|ram~3565_q ),
+ .datac(!\datamem|ram~3533_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3581_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5365_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5365 .extended_lut = "off";
+defparam \datamem|ram~5365 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5365 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N33
+cyclonev_lcell_comb \datamem|ram~3293feeder (
+// Equation(s):
+// \datamem|ram~3293feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3293feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3293feeder .extended_lut = "off";
+defparam \datamem|ram~3293feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3293feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N34
+dffeas \datamem|ram~3293 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3293feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3293_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3293 .is_wysiwyg = "true";
+defparam \datamem|ram~3293 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y22_N15
+cyclonev_lcell_comb \datamem|ram~3309feeder (
+// Equation(s):
+// \datamem|ram~3309feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3309feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3309feeder .extended_lut = "off";
+defparam \datamem|ram~3309feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3309feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y22_N17
+dffeas \datamem|ram~3309 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3309feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3309_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3309 .is_wysiwyg = "true";
+defparam \datamem|ram~3309 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N28
+dffeas \datamem|ram~3277 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3277_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3277 .is_wysiwyg = "true";
+defparam \datamem|ram~3277 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y22_N50
+dffeas \datamem|ram~3325 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3325_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3325 .is_wysiwyg = "true";
+defparam \datamem|ram~3325 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y22_N48
+cyclonev_lcell_comb \datamem|ram~5364 (
+// Equation(s):
+// \datamem|ram~5364_combout = ( \datamem|ram~3325_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3293_q ) ) ) ) # ( !\datamem|ram~3325_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3293_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3325_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3277_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3309_q )) ) ) ) # ( !\datamem|ram~3325_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3277_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3309_q )) ) ) )
+
+ .dataa(!\datamem|ram~3293_q ),
+ .datab(!\datamem|ram~3309_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3277_q ),
+ .datae(!\datamem|ram~3325_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5364_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5364 .extended_lut = "off";
+defparam \datamem|ram~5364 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5364 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N35
+dffeas \datamem|ram~4061 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4061_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4061 .is_wysiwyg = "true";
+defparam \datamem|ram~4061 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y23_N41
+dffeas \datamem|ram~4045 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4045_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4045 .is_wysiwyg = "true";
+defparam \datamem|ram~4045 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y23_N53
+dffeas \datamem|ram~4093 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4093_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4093 .is_wysiwyg = "true";
+defparam \datamem|ram~4093 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y23_N8
+dffeas \datamem|ram~4077 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4077_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4077 .is_wysiwyg = "true";
+defparam \datamem|ram~4077 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y23_N6
+cyclonev_lcell_comb \datamem|ram~5367 (
+// Equation(s):
+// \datamem|ram~5367_combout = ( \datamem|ram~4077_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4093_q ) ) ) ) # ( !\datamem|ram~4077_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~4093_q &
+// \alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~4077_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4045_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4061_q )) ) ) ) # ( !\datamem|ram~4077_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4045_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4061_q )) ) ) )
+
+ .dataa(!\datamem|ram~4061_q ),
+ .datab(!\datamem|ram~4045_q ),
+ .datac(!\datamem|ram~4093_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4077_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5367_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5367 .extended_lut = "off";
+defparam \datamem|ram~5367 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~5367 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N6
+cyclonev_lcell_comb \datamem|ram~5368 (
+// Equation(s):
+// \datamem|ram~5368_combout = ( \datamem|ram~5364_combout & ( \datamem|ram~5367_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~5365_combout )))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~5366_combout )) #
+// (\alu_unit|Mux10~6_combout ))) ) ) ) # ( !\datamem|ram~5364_combout & ( \datamem|ram~5367_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~5365_combout )))) # (\alu_unit|Mux9~4_combout &
+// (((\datamem|ram~5366_combout )) # (\alu_unit|Mux10~6_combout ))) ) ) ) # ( \datamem|ram~5364_combout & ( !\datamem|ram~5367_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~5365_combout )))) #
+// (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~5366_combout ))) ) ) ) # ( !\datamem|ram~5364_combout & ( !\datamem|ram~5367_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~5365_combout
+// )))) # (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~5366_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~5366_combout ),
+ .datad(!\datamem|ram~5365_combout ),
+ .datae(!\datamem|ram~5364_combout ),
+ .dataf(!\datamem|ram~5367_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5368_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5368 .extended_lut = "off";
+defparam \datamem|ram~5368 .lut_mask = 64'h04268CAE15379DBF;
+defparam \datamem|ram~5368 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y20_N18
+cyclonev_lcell_comb \datamem|ram~3869feeder (
+// Equation(s):
+// \datamem|ram~3869feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3869feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3869feeder .extended_lut = "off";
+defparam \datamem|ram~3869feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3869feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N19
+dffeas \datamem|ram~3869 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3869feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3869_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3869 .is_wysiwyg = "true";
+defparam \datamem|ram~3869 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N17
+dffeas \datamem|ram~3853 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3853_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3853 .is_wysiwyg = "true";
+defparam \datamem|ram~3853 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y18_N51
+cyclonev_lcell_comb \datamem|ram~3885feeder (
+// Equation(s):
+// \datamem|ram~3885feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3885feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3885feeder .extended_lut = "off";
+defparam \datamem|ram~3885feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3885feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y18_N52
+dffeas \datamem|ram~3885 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3885feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3885_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3885 .is_wysiwyg = "true";
+defparam \datamem|ram~3885 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N56
+dffeas \datamem|ram~3901 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3901_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3901 .is_wysiwyg = "true";
+defparam \datamem|ram~3901 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N54
+cyclonev_lcell_comb \datamem|ram~5352 (
+// Equation(s):
+// \datamem|ram~5352_combout = ( \datamem|ram~3901_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3869_q ) ) ) ) # ( !\datamem|ram~3901_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3869_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3901_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3853_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3885_q ))) ) ) ) # ( !\datamem|ram~3901_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3853_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3885_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3869_q ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~3853_q ),
+ .datad(!\datamem|ram~3885_q ),
+ .datae(!\datamem|ram~3901_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5352_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5352 .extended_lut = "off";
+defparam \datamem|ram~5352 .lut_mask = 64'h0C3F0C3F44447777;
+defparam \datamem|ram~5352 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N22
+dffeas \datamem|ram~3629 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3629_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3629 .is_wysiwyg = "true";
+defparam \datamem|ram~3629 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N57
+cyclonev_lcell_comb \datamem|ram~3597feeder (
+// Equation(s):
+// \datamem|ram~3597feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3597feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3597feeder .extended_lut = "off";
+defparam \datamem|ram~3597feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3597feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N58
+dffeas \datamem|ram~3597 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3597feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3597_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3597 .is_wysiwyg = "true";
+defparam \datamem|ram~3597 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N41
+dffeas \datamem|ram~3613 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3613_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3613 .is_wysiwyg = "true";
+defparam \datamem|ram~3613 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N44
+dffeas \datamem|ram~3645 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3645_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3645 .is_wysiwyg = "true";
+defparam \datamem|ram~3645 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N42
+cyclonev_lcell_comb \datamem|ram~5351 (
+// Equation(s):
+// \datamem|ram~5351_combout = ( \datamem|ram~3645_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3613_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~3645_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~3613_q ) ) ) ) # ( \datamem|ram~3645_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3597_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3629_q )) ) ) ) # ( !\datamem|ram~3645_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3597_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3629_q )) ) ) )
+
+ .dataa(!\datamem|ram~3629_q ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~3597_q ),
+ .datad(!\datamem|ram~3613_q ),
+ .datae(!\datamem|ram~3645_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5351_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5351 .extended_lut = "off";
+defparam \datamem|ram~5351 .lut_mask = 64'h1D1D1D1D00CC33FF;
+defparam \datamem|ram~5351 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N28
+dffeas \datamem|ram~3341 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3341_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3341 .is_wysiwyg = "true";
+defparam \datamem|ram~3341 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N0
+cyclonev_lcell_comb \datamem|ram~3373feeder (
+// Equation(s):
+// \datamem|ram~3373feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3373feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3373feeder .extended_lut = "off";
+defparam \datamem|ram~3373feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3373feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N1
+dffeas \datamem|ram~3373 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3373feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3373_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3373 .is_wysiwyg = "true";
+defparam \datamem|ram~3373 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N49
+dffeas \datamem|ram~3357 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3357_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3357 .is_wysiwyg = "true";
+defparam \datamem|ram~3357 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N22
+dffeas \datamem|ram~3389 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3389_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3389 .is_wysiwyg = "true";
+defparam \datamem|ram~3389 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y7_N30
+cyclonev_lcell_comb \datamem|ram~5350 (
+// Equation(s):
+// \datamem|ram~5350_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3389_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3357_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~3373_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~3341_q ) ) )
+
+ .dataa(!\datamem|ram~3341_q ),
+ .datab(!\datamem|ram~3373_q ),
+ .datac(!\datamem|ram~3357_q ),
+ .datad(!\datamem|ram~3389_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5350_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5350 .extended_lut = "off";
+defparam \datamem|ram~5350 .lut_mask = 64'h555533330F0F00FF;
+defparam \datamem|ram~5350 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N55
+dffeas \datamem|ram~3101 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3101_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3101 .is_wysiwyg = "true";
+defparam \datamem|ram~3101 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N57
+cyclonev_lcell_comb \datamem|ram~3085feeder (
+// Equation(s):
+// \datamem|ram~3085feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3085feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3085feeder .extended_lut = "off";
+defparam \datamem|ram~3085feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3085feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N59
+dffeas \datamem|ram~3085 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3085feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3085_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3085 .is_wysiwyg = "true";
+defparam \datamem|ram~3085 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y8_N51
+cyclonev_lcell_comb \datamem|ram~3117feeder (
+// Equation(s):
+// \datamem|ram~3117feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3117feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3117feeder .extended_lut = "off";
+defparam \datamem|ram~3117feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3117feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N52
+dffeas \datamem|ram~3117 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3117feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3117_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3117 .is_wysiwyg = "true";
+defparam \datamem|ram~3117 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N38
+dffeas \datamem|ram~3133 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3133_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3133 .is_wysiwyg = "true";
+defparam \datamem|ram~3133 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N36
+cyclonev_lcell_comb \datamem|ram~5349 (
+// Equation(s):
+// \datamem|ram~5349_combout = ( \datamem|ram~3133_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3101_q ) ) ) ) # ( !\datamem|ram~3133_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3101_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3133_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3085_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3117_q ))) ) ) ) # ( !\datamem|ram~3133_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3085_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3117_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3101_q ),
+ .datab(!\datamem|ram~3085_q ),
+ .datac(!\datamem|ram~3117_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3133_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5349_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5349 .extended_lut = "off";
+defparam \datamem|ram~5349 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5349 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N12
+cyclonev_lcell_comb \datamem|ram~5353 (
+// Equation(s):
+// \datamem|ram~5353_combout = ( \datamem|ram~5350_combout & ( \datamem|ram~5349_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5351_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5352_combout ))) ) )
+// ) # ( !\datamem|ram~5350_combout & ( \datamem|ram~5349_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) # (\datamem|ram~5351_combout )))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5352_combout & ((\alu_unit|Mux9~4_combout
+// )))) ) ) ) # ( \datamem|ram~5350_combout & ( !\datamem|ram~5349_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~5351_combout & \alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )) #
+// (\datamem|ram~5352_combout ))) ) ) ) # ( !\datamem|ram~5350_combout & ( !\datamem|ram~5349_combout & ( (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5351_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~5352_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5352_combout ),
+ .datab(!\datamem|ram~5351_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~5350_combout ),
+ .dataf(!\datamem|ram~5349_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5353_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5353 .extended_lut = "off";
+defparam \datamem|ram~5353 .lut_mask = 64'h00350F35F035FF35;
+defparam \datamem|ram~5353 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N49
+dffeas \datamem|ram~3165 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3165_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3165 .is_wysiwyg = "true";
+defparam \datamem|ram~3165 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y6_N6
+cyclonev_lcell_comb \datamem|ram~3197feeder (
+// Equation(s):
+// \datamem|ram~3197feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3197feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3197feeder .extended_lut = "off";
+defparam \datamem|ram~3197feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3197feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N7
+dffeas \datamem|ram~3197 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3197feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3197_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3197 .is_wysiwyg = "true";
+defparam \datamem|ram~3197 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y17_N15
+cyclonev_lcell_comb \datamem|ram~3149feeder (
+// Equation(s):
+// \datamem|ram~3149feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3149feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3149feeder .extended_lut = "off";
+defparam \datamem|ram~3149feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3149feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N17
+dffeas \datamem|ram~3149 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3149feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3149_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3149 .is_wysiwyg = "true";
+defparam \datamem|ram~3149 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y6_N54
+cyclonev_lcell_comb \datamem|ram~3181feeder (
+// Equation(s):
+// \datamem|ram~3181feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3181feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3181feeder .extended_lut = "off";
+defparam \datamem|ram~3181feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3181feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N55
+dffeas \datamem|ram~3181 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3181feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3181_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3181 .is_wysiwyg = "true";
+defparam \datamem|ram~3181 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y7_N6
+cyclonev_lcell_comb \datamem|ram~5354 (
+// Equation(s):
+// \datamem|ram~5354_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3197_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3165_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~3181_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~3149_q ) ) )
+
+ .dataa(!\datamem|ram~3165_q ),
+ .datab(!\datamem|ram~3197_q ),
+ .datac(!\datamem|ram~3149_q ),
+ .datad(!\datamem|ram~3181_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5354_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5354 .extended_lut = "off";
+defparam \datamem|ram~5354 .lut_mask = 64'h0F0F00FF55553333;
+defparam \datamem|ram~5354 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N55
+dffeas \datamem|ram~3677 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3677_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3677 .is_wysiwyg = "true";
+defparam \datamem|ram~3677 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N27
+cyclonev_lcell_comb \datamem|ram~3661feeder (
+// Equation(s):
+// \datamem|ram~3661feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3661feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3661feeder .extended_lut = "off";
+defparam \datamem|ram~3661feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3661feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N29
+dffeas \datamem|ram~3661 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3661feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3661_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3661 .is_wysiwyg = "true";
+defparam \datamem|ram~3661 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N18
+cyclonev_lcell_comb \datamem|ram~3709feeder (
+// Equation(s):
+// \datamem|ram~3709feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3709feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3709feeder .extended_lut = "off";
+defparam \datamem|ram~3709feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3709feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N19
+dffeas \datamem|ram~3709 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3709feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3709_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3709 .is_wysiwyg = "true";
+defparam \datamem|ram~3709 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y7_N19
+dffeas \datamem|ram~3693 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3693_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3693 .is_wysiwyg = "true";
+defparam \datamem|ram~3693 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y7_N27
+cyclonev_lcell_comb \datamem|ram~5356 (
+// Equation(s):
+// \datamem|ram~5356_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~3709_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~3693_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~3677_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~3661_q ) ) )
+
+ .dataa(!\datamem|ram~3677_q ),
+ .datab(!\datamem|ram~3661_q ),
+ .datac(!\datamem|ram~3709_q ),
+ .datad(!\datamem|ram~3693_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5356_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5356 .extended_lut = "off";
+defparam \datamem|ram~5356 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~5356 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N55
+dffeas \datamem|ram~3437 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3437_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3437 .is_wysiwyg = "true";
+defparam \datamem|ram~3437 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N29
+dffeas \datamem|ram~3421 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3421_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3421 .is_wysiwyg = "true";
+defparam \datamem|ram~3421 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y6_N0
+cyclonev_lcell_comb \datamem|ram~3453feeder (
+// Equation(s):
+// \datamem|ram~3453feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3453feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3453feeder .extended_lut = "off";
+defparam \datamem|ram~3453feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3453feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y6_N1
+dffeas \datamem|ram~3453 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3453feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3453_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3453 .is_wysiwyg = "true";
+defparam \datamem|ram~3453 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N1
+dffeas \datamem|ram~3405 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3405_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3405 .is_wysiwyg = "true";
+defparam \datamem|ram~3405 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N36
+cyclonev_lcell_comb \datamem|ram~5355 (
+// Equation(s):
+// \datamem|ram~5355_combout = ( \datamem|ram~3405_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3421_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3453_q ))) ) ) ) # ( !\datamem|ram~3405_q & (
+// \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3421_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3453_q ))) ) ) ) # ( \datamem|ram~3405_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~3437_q ) ) ) ) # ( !\datamem|ram~3405_q & ( !\alu_unit|Mux14~6_combout & ( (\datamem|ram~3437_q & \alu_unit|Mux13~4_combout ) ) ) )
+
+ .dataa(!\datamem|ram~3437_q ),
+ .datab(!\datamem|ram~3421_q ),
+ .datac(!\datamem|ram~3453_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3405_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5355_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5355 .extended_lut = "off";
+defparam \datamem|ram~5355 .lut_mask = 64'h0055FF55330F330F;
+defparam \datamem|ram~5355 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y21_N12
+cyclonev_lcell_comb \datamem|ram~3917feeder (
+// Equation(s):
+// \datamem|ram~3917feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3917feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3917feeder .extended_lut = "off";
+defparam \datamem|ram~3917feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3917feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y21_N14
+dffeas \datamem|ram~3917 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3917feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3917_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3917 .is_wysiwyg = "true";
+defparam \datamem|ram~3917 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N4
+dffeas \datamem|ram~3949 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3949_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3949 .is_wysiwyg = "true";
+defparam \datamem|ram~3949 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N23
+dffeas \datamem|ram~3933 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3933_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3933 .is_wysiwyg = "true";
+defparam \datamem|ram~3933 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N38
+dffeas \datamem|ram~3965 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3965_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3965 .is_wysiwyg = "true";
+defparam \datamem|ram~3965 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N36
+cyclonev_lcell_comb \datamem|ram~5357 (
+// Equation(s):
+// \datamem|ram~5357_combout = ( \datamem|ram~3965_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3949_q ) ) ) ) # ( !\datamem|ram~3965_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3949_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3965_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3917_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3933_q ))) ) ) ) # ( !\datamem|ram~3965_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3917_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3933_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3917_q ),
+ .datab(!\datamem|ram~3949_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3933_q ),
+ .datae(!\datamem|ram~3965_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5357_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5357 .extended_lut = "off";
+defparam \datamem|ram~5357 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5357 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y7_N42
+cyclonev_lcell_comb \datamem|ram~5358 (
+// Equation(s):
+// \datamem|ram~5358_combout = ( \datamem|ram~5355_combout & ( \datamem|ram~5357_combout & ( ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5354_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5356_combout )))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~5355_combout & ( \datamem|ram~5357_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5354_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5356_combout ))))) # (\alu_unit|Mux10~6_combout &
+// (((\alu_unit|Mux9~4_combout )))) ) ) ) # ( \datamem|ram~5355_combout & ( !\datamem|ram~5357_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5354_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5356_combout ))))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~5355_combout & ( !\datamem|ram~5357_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5354_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5356_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\datamem|ram~5354_combout ),
+ .datac(!\datamem|ram~5356_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~5355_combout ),
+ .dataf(!\datamem|ram~5357_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5358_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5358 .extended_lut = "off";
+defparam \datamem|ram~5358 .lut_mask = 64'h220A770A225F775F;
+defparam \datamem|ram~5358 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N42
+cyclonev_lcell_comb \datamem|ram~5369 (
+// Equation(s):
+// \datamem|ram~5369_combout = ( \datamem|ram~5353_combout & ( \datamem|ram~5358_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5363_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5368_combout )))) ) )
+// ) # ( !\datamem|ram~5353_combout & ( \datamem|ram~5358_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~5363_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~5368_combout
+// )))) ) ) ) # ( \datamem|ram~5353_combout & ( !\datamem|ram~5358_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~5363_combout )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~5368_combout )))) ) ) ) # ( !\datamem|ram~5353_combout & ( !\datamem|ram~5358_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5363_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~5368_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5363_combout ),
+ .datad(!\datamem|ram~5368_combout ),
+ .datae(!\datamem|ram~5353_combout ),
+ .dataf(!\datamem|ram~5358_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5369_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5369 .extended_lut = "off";
+defparam \datamem|ram~5369 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~5369 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N31
+dffeas \datamem|ram~2237 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2237_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2237 .is_wysiwyg = "true";
+defparam \datamem|ram~2237 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N28
+dffeas \datamem|ram~2173 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2173_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2173 .is_wysiwyg = "true";
+defparam \datamem|ram~2173 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y10_N51
+cyclonev_lcell_comb \datamem|ram~2109feeder (
+// Equation(s):
+// \datamem|ram~2109feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2109feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2109feeder .extended_lut = "off";
+defparam \datamem|ram~2109feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2109feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y10_N52
+dffeas \datamem|ram~2109 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2109feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2109_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2109 .is_wysiwyg = "true";
+defparam \datamem|ram~2109 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y18_N8
+dffeas \datamem|ram~2301 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2301_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2301 .is_wysiwyg = "true";
+defparam \datamem|ram~2301 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y18_N6
+cyclonev_lcell_comb \datamem|ram~5343 (
+// Equation(s):
+// \datamem|ram~5343_combout = ( \datamem|ram~2301_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2173_q ) ) ) ) # ( !\datamem|ram~2301_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2173_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2301_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2109_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2237_q )) ) ) ) # ( !\datamem|ram~2301_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2109_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2237_q )) ) ) )
+
+ .dataa(!\datamem|ram~2237_q ),
+ .datab(!\datamem|ram~2173_q ),
+ .datac(!\datamem|ram~2109_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2301_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5343_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5343 .extended_lut = "off";
+defparam \datamem|ram~5343 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5343 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y7_N30
+cyclonev_lcell_comb \datamem|ram~3005feeder (
+// Equation(s):
+// \datamem|ram~3005feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3005feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3005feeder .extended_lut = "off";
+defparam \datamem|ram~3005feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3005feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y7_N31
+dffeas \datamem|ram~3005 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3005feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3005_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3005 .is_wysiwyg = "true";
+defparam \datamem|ram~3005 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N41
+dffeas \datamem|ram~2877 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2877_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2877 .is_wysiwyg = "true";
+defparam \datamem|ram~2877 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N59
+dffeas \datamem|ram~2941 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2941_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2941 .is_wysiwyg = "true";
+defparam \datamem|ram~2941 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N20
+dffeas \datamem|ram~3069 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3069_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3069 .is_wysiwyg = "true";
+defparam \datamem|ram~3069 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N18
+cyclonev_lcell_comb \datamem|ram~5346 (
+// Equation(s):
+// \datamem|ram~5346_combout = ( \datamem|ram~3069_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3005_q ) ) ) ) # ( !\datamem|ram~3069_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3005_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3069_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2877_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2941_q ))) ) ) ) # ( !\datamem|ram~3069_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2877_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2941_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3005_q ),
+ .datab(!\datamem|ram~2877_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2941_q ),
+ .datae(!\datamem|ram~3069_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5346_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5346 .extended_lut = "off";
+defparam \datamem|ram~5346 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5346 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N57
+cyclonev_lcell_comb \datamem|ram~2621feeder (
+// Equation(s):
+// \datamem|ram~2621feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2621feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2621feeder .extended_lut = "off";
+defparam \datamem|ram~2621feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2621feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N58
+dffeas \datamem|ram~2621 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2621feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2621_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2621 .is_wysiwyg = "true";
+defparam \datamem|ram~2621 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N28
+dffeas \datamem|ram~2685 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2685_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2685 .is_wysiwyg = "true";
+defparam \datamem|ram~2685 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N42
+cyclonev_lcell_comb \datamem|ram~2749feeder (
+// Equation(s):
+// \datamem|ram~2749feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2749feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2749feeder .extended_lut = "off";
+defparam \datamem|ram~2749feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2749feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N44
+dffeas \datamem|ram~2749 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2749feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2749_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2749 .is_wysiwyg = "true";
+defparam \datamem|ram~2749 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N59
+dffeas \datamem|ram~2813 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2813_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2813 .is_wysiwyg = "true";
+defparam \datamem|ram~2813 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N57
+cyclonev_lcell_comb \datamem|ram~5345 (
+// Equation(s):
+// \datamem|ram~5345_combout = ( \datamem|ram~2813_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2749_q ) ) ) ) # ( !\datamem|ram~2813_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2749_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2813_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2621_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2685_q ))) ) ) ) # ( !\datamem|ram~2813_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2621_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2685_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2621_q ),
+ .datab(!\datamem|ram~2685_q ),
+ .datac(!\datamem|ram~2749_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2813_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5345_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5345 .extended_lut = "off";
+defparam \datamem|ram~5345 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5345 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N25
+dffeas \datamem|ram~2429 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2429_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2429 .is_wysiwyg = "true";
+defparam \datamem|ram~2429 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N28
+dffeas \datamem|ram~2493 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2493_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2493 .is_wysiwyg = "true";
+defparam \datamem|ram~2493 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y19_N24
+cyclonev_lcell_comb \datamem|ram~2365feeder (
+// Equation(s):
+// \datamem|ram~2365feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2365feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2365feeder .extended_lut = "off";
+defparam \datamem|ram~2365feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2365feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N26
+dffeas \datamem|ram~2365 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2365feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2365_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2365 .is_wysiwyg = "true";
+defparam \datamem|ram~2365 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N56
+dffeas \datamem|ram~2557 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2557_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2557 .is_wysiwyg = "true";
+defparam \datamem|ram~2557 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y19_N54
+cyclonev_lcell_comb \datamem|ram~5344 (
+// Equation(s):
+// \datamem|ram~5344_combout = ( \datamem|ram~2557_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2493_q ) ) ) ) # ( !\datamem|ram~2557_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2493_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2557_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2365_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2429_q )) ) ) ) # ( !\datamem|ram~2557_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2365_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2429_q )) ) ) )
+
+ .dataa(!\datamem|ram~2429_q ),
+ .datab(!\datamem|ram~2493_q ),
+ .datac(!\datamem|ram~2365_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2557_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5344_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5344 .extended_lut = "off";
+defparam \datamem|ram~5344 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5344 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N18
+cyclonev_lcell_comb \datamem|ram~5347 (
+// Equation(s):
+// \datamem|ram~5347_combout = ( \datamem|ram~5345_combout & ( \datamem|ram~5344_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~5343_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) #
+// ((\datamem|ram~5346_combout )))) ) ) ) # ( !\datamem|ram~5345_combout & ( \datamem|ram~5344_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~5343_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\alu_unit|Mux10~6_combout & ((\datamem|ram~5346_combout )))) ) ) ) # ( \datamem|ram~5345_combout & ( !\datamem|ram~5344_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~5343_combout ))) # (\alu_unit|Mux9~4_combout
+// & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~5346_combout )))) ) ) ) # ( !\datamem|ram~5345_combout & ( !\datamem|ram~5344_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~5343_combout ))) #
+// (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~5346_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~5343_combout ),
+ .datad(!\datamem|ram~5346_combout ),
+ .datae(!\datamem|ram~5345_combout ),
+ .dataf(!\datamem|ram~5344_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5347_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5347 .extended_lut = "off";
+defparam \datamem|ram~5347 .lut_mask = 64'h08194C5D2A3B6E7F;
+defparam \datamem|ram~5347 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N18
+cyclonev_lcell_comb \datamem|ram~2589feeder (
+// Equation(s):
+// \datamem|ram~2589feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2589feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2589feeder .extended_lut = "off";
+defparam \datamem|ram~2589feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2589feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N20
+dffeas \datamem|ram~2589 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2589feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2589_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2589 .is_wysiwyg = "true";
+defparam \datamem|ram~2589 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N38
+dffeas \datamem|ram~2333 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2333_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2333 .is_wysiwyg = "true";
+defparam \datamem|ram~2333 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N2
+dffeas \datamem|ram~2077 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2077_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2077 .is_wysiwyg = "true";
+defparam \datamem|ram~2077 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N8
+dffeas \datamem|ram~2845 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2845_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2845 .is_wysiwyg = "true";
+defparam \datamem|ram~2845 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N6
+cyclonev_lcell_comb \datamem|ram~5333 (
+// Equation(s):
+// \datamem|ram~5333_combout = ( \datamem|ram~2845_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2589_q ) ) ) ) # ( !\datamem|ram~2845_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2589_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2845_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2077_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2333_q )) ) ) ) # ( !\datamem|ram~2845_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2077_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2333_q )) ) ) )
+
+ .dataa(!\datamem|ram~2589_q ),
+ .datab(!\datamem|ram~2333_q ),
+ .datac(!\datamem|ram~2077_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2845_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5333_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5333 .extended_lut = "off";
+defparam \datamem|ram~5333 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5333 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N22
+dffeas \datamem|ram~2205 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2205_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2205 .is_wysiwyg = "true";
+defparam \datamem|ram~2205 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N8
+dffeas \datamem|ram~2717 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2717_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2717 .is_wysiwyg = "true";
+defparam \datamem|ram~2717 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N32
+dffeas \datamem|ram~2461 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2461_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2461 .is_wysiwyg = "true";
+defparam \datamem|ram~2461 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N38
+dffeas \datamem|ram~2973 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2973_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2973 .is_wysiwyg = "true";
+defparam \datamem|ram~2973 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y12_N36
+cyclonev_lcell_comb \datamem|ram~5335 (
+// Equation(s):
+// \datamem|ram~5335_combout = ( \datamem|ram~2973_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2461_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~2973_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~2461_q ) ) ) ) # ( \datamem|ram~2973_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2205_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2717_q ))) ) ) ) # ( !\datamem|ram~2973_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2205_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2717_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2205_q ),
+ .datab(!\datamem|ram~2717_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2461_q ),
+ .datae(!\datamem|ram~2973_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5335_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5335 .extended_lut = "off";
+defparam \datamem|ram~5335 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5335 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N38
+dffeas \datamem|ram~2781 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2781_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2781 .is_wysiwyg = "true";
+defparam \datamem|ram~2781 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N52
+dffeas \datamem|ram~2525 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2525_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2525 .is_wysiwyg = "true";
+defparam \datamem|ram~2525 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y23_N36
+cyclonev_lcell_comb \datamem|ram~2269feeder (
+// Equation(s):
+// \datamem|ram~2269feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2269feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2269feeder .extended_lut = "off";
+defparam \datamem|ram~2269feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2269feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N37
+dffeas \datamem|ram~2269 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2269feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2269_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2269 .is_wysiwyg = "true";
+defparam \datamem|ram~2269 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N32
+dffeas \datamem|ram~3037 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3037_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3037 .is_wysiwyg = "true";
+defparam \datamem|ram~3037 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y12_N30
+cyclonev_lcell_comb \datamem|ram~5336 (
+// Equation(s):
+// \datamem|ram~5336_combout = ( \datamem|ram~3037_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2525_q ) ) ) ) # ( !\datamem|ram~3037_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2525_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3037_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2269_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2781_q )) ) ) ) # ( !\datamem|ram~3037_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2269_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2781_q )) ) ) )
+
+ .dataa(!\datamem|ram~2781_q ),
+ .datab(!\datamem|ram~2525_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2269_q ),
+ .datae(!\datamem|ram~3037_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5336_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5336 .extended_lut = "off";
+defparam \datamem|ram~5336 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5336 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N3
+cyclonev_lcell_comb \datamem|ram~2397feeder (
+// Equation(s):
+// \datamem|ram~2397feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2397feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2397feeder .extended_lut = "off";
+defparam \datamem|ram~2397feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2397feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N5
+dffeas \datamem|ram~2397 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2397feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2397_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2397 .is_wysiwyg = "true";
+defparam \datamem|ram~2397 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N34
+dffeas \datamem|ram~2653 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2653_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2653 .is_wysiwyg = "true";
+defparam \datamem|ram~2653 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N33
+cyclonev_lcell_comb \datamem|ram~2141feeder (
+// Equation(s):
+// \datamem|ram~2141feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2141feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2141feeder .extended_lut = "off";
+defparam \datamem|ram~2141feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2141feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N35
+dffeas \datamem|ram~2141 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2141feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2141_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2141 .is_wysiwyg = "true";
+defparam \datamem|ram~2141 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N26
+dffeas \datamem|ram~2909 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2909_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2909 .is_wysiwyg = "true";
+defparam \datamem|ram~2909 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N24
+cyclonev_lcell_comb \datamem|ram~5334 (
+// Equation(s):
+// \datamem|ram~5334_combout = ( \datamem|ram~2909_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2653_q ) ) ) ) # ( !\datamem|ram~2909_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2653_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2909_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2141_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2397_q )) ) ) ) # ( !\datamem|ram~2909_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2141_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2397_q )) ) ) )
+
+ .dataa(!\datamem|ram~2397_q ),
+ .datab(!\datamem|ram~2653_q ),
+ .datac(!\datamem|ram~2141_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2909_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5334_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5334 .extended_lut = "off";
+defparam \datamem|ram~5334 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5334 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y15_N33
+cyclonev_lcell_comb \datamem|ram~5337 (
+// Equation(s):
+// \datamem|ram~5337_combout = ( \datamem|ram~5336_combout & ( \datamem|ram~5334_combout & ( ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5333_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5335_combout )))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~5336_combout & ( \datamem|ram~5334_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5333_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5335_combout ))))) #
+// (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) ) ) ) # ( \datamem|ram~5336_combout & ( !\datamem|ram~5334_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5333_combout )) # (\alu_unit|Mux11~4_combout
+// & ((\datamem|ram~5335_combout ))))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) ) ) ) # ( !\datamem|ram~5336_combout & ( !\datamem|ram~5334_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// (\datamem|ram~5333_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5335_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5333_combout ),
+ .datad(!\datamem|ram~5335_combout ),
+ .datae(!\datamem|ram~5336_combout ),
+ .dataf(!\datamem|ram~5334_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5337_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5337 .extended_lut = "off";
+defparam \datamem|ram~5337 .lut_mask = 64'h082A193B4C6E5D7F;
+defparam \datamem|ram~5337 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N16
+dffeas \datamem|ram~2093 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2093_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2093 .is_wysiwyg = "true";
+defparam \datamem|ram~2093 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N42
+cyclonev_lcell_comb \datamem|ram~2349feeder (
+// Equation(s):
+// \datamem|ram~2349feeder_combout = \reg_file|reg_read_data_2[13]~13_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2349feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2349feeder .extended_lut = "off";
+defparam \datamem|ram~2349feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~2349feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N43
+dffeas \datamem|ram~2349 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2349feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2349_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2349 .is_wysiwyg = "true";
+defparam \datamem|ram~2349 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N36
+cyclonev_lcell_comb \datamem|ram~2605feeder (
+// Equation(s):
+// \datamem|ram~2605feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2605feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2605feeder .extended_lut = "off";
+defparam \datamem|ram~2605feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2605feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N37
+dffeas \datamem|ram~2605 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2605feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2605_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2605 .is_wysiwyg = "true";
+defparam \datamem|ram~2605 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N54
+cyclonev_lcell_comb \datamem|ram~2861feeder (
+// Equation(s):
+// \datamem|ram~2861feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2861feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2861feeder .extended_lut = "off";
+defparam \datamem|ram~2861feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2861feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N55
+dffeas \datamem|ram~2861 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2861feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2861_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2861 .is_wysiwyg = "true";
+defparam \datamem|ram~2861 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N39
+cyclonev_lcell_comb \datamem|ram~5338 (
+// Equation(s):
+// \datamem|ram~5338_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2861_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~2605_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~2349_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~2093_q ) ) )
+
+ .dataa(!\datamem|ram~2093_q ),
+ .datab(!\datamem|ram~2349_q ),
+ .datac(!\datamem|ram~2605_q ),
+ .datad(!\datamem|ram~2861_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5338_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5338 .extended_lut = "off";
+defparam \datamem|ram~5338 .lut_mask = 64'h555533330F0F00FF;
+defparam \datamem|ram~5338 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N38
+dffeas \datamem|ram~2413 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2413_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2413 .is_wysiwyg = "true";
+defparam \datamem|ram~2413 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y10_N21
+cyclonev_lcell_comb \datamem|ram~2157feeder (
+// Equation(s):
+// \datamem|ram~2157feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2157feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2157feeder .extended_lut = "off";
+defparam \datamem|ram~2157feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2157feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y10_N22
+dffeas \datamem|ram~2157 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2157feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2157_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2157 .is_wysiwyg = "true";
+defparam \datamem|ram~2157 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N26
+dffeas \datamem|ram~2669 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2669_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2669 .is_wysiwyg = "true";
+defparam \datamem|ram~2669 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N14
+dffeas \datamem|ram~2925 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2925_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2925 .is_wysiwyg = "true";
+defparam \datamem|ram~2925 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N12
+cyclonev_lcell_comb \datamem|ram~5339 (
+// Equation(s):
+// \datamem|ram~5339_combout = ( \datamem|ram~2925_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2669_q ) ) ) ) # ( !\datamem|ram~2925_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2669_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2925_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2157_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2413_q )) ) ) ) # ( !\datamem|ram~2925_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2157_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2413_q )) ) ) )
+
+ .dataa(!\datamem|ram~2413_q ),
+ .datab(!\datamem|ram~2157_q ),
+ .datac(!\datamem|ram~2669_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2925_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5339_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5339 .extended_lut = "off";
+defparam \datamem|ram~5339 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5339 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N21
+cyclonev_lcell_comb \datamem|ram~2541feeder (
+// Equation(s):
+// \datamem|ram~2541feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2541feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2541feeder .extended_lut = "off";
+defparam \datamem|ram~2541feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2541feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N23
+dffeas \datamem|ram~2541 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2541feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2541_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2541 .is_wysiwyg = "true";
+defparam \datamem|ram~2541 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N6
+cyclonev_lcell_comb \datamem|ram~2285feeder (
+// Equation(s):
+// \datamem|ram~2285feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2285feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2285feeder .extended_lut = "off";
+defparam \datamem|ram~2285feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2285feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N8
+dffeas \datamem|ram~2285 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2285feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2285_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2285 .is_wysiwyg = "true";
+defparam \datamem|ram~2285 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N12
+cyclonev_lcell_comb \datamem|ram~2797feeder (
+// Equation(s):
+// \datamem|ram~2797feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2797feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2797feeder .extended_lut = "off";
+defparam \datamem|ram~2797feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2797feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N14
+dffeas \datamem|ram~2797 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2797feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2797_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2797 .is_wysiwyg = "true";
+defparam \datamem|ram~2797 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N50
+dffeas \datamem|ram~3053 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3053_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3053 .is_wysiwyg = "true";
+defparam \datamem|ram~3053 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N48
+cyclonev_lcell_comb \datamem|ram~5341 (
+// Equation(s):
+// \datamem|ram~5341_combout = ( \datamem|ram~3053_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2797_q ) ) ) ) # ( !\datamem|ram~3053_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2797_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3053_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2285_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2541_q )) ) ) ) # ( !\datamem|ram~3053_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2285_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2541_q )) ) ) )
+
+ .dataa(!\datamem|ram~2541_q ),
+ .datab(!\datamem|ram~2285_q ),
+ .datac(!\datamem|ram~2797_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3053_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5341_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5341 .extended_lut = "off";
+defparam \datamem|ram~5341 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5341 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N25
+dffeas \datamem|ram~2733 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2733_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2733 .is_wysiwyg = "true";
+defparam \datamem|ram~2733 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N59
+dffeas \datamem|ram~2221 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2221_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2221 .is_wysiwyg = "true";
+defparam \datamem|ram~2221 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N50
+dffeas \datamem|ram~2477 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2477_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2477 .is_wysiwyg = "true";
+defparam \datamem|ram~2477 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N38
+dffeas \datamem|ram~2989 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2989_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2989 .is_wysiwyg = "true";
+defparam \datamem|ram~2989 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N36
+cyclonev_lcell_comb \datamem|ram~5340 (
+// Equation(s):
+// \datamem|ram~5340_combout = ( \datamem|ram~2989_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2733_q ) ) ) ) # ( !\datamem|ram~2989_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2733_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2989_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2221_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2477_q ))) ) ) ) # ( !\datamem|ram~2989_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2221_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2477_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2733_q ),
+ .datab(!\datamem|ram~2221_q ),
+ .datac(!\datamem|ram~2477_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2989_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5340_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5340 .extended_lut = "off";
+defparam \datamem|ram~5340 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5340 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N9
+cyclonev_lcell_comb \datamem|ram~5342 (
+// Equation(s):
+// \datamem|ram~5342_combout = ( \datamem|ram~5341_combout & ( \datamem|ram~5340_combout & ( ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5338_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5339_combout )))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~5341_combout & ( \datamem|ram~5340_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )) # (\datamem|ram~5338_combout ))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~5339_combout & !\alu_unit|Mux11~4_combout
+// )))) ) ) ) # ( \datamem|ram~5341_combout & ( !\datamem|ram~5340_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~5338_combout & ((!\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) #
+// (\datamem|ram~5339_combout )))) ) ) ) # ( !\datamem|ram~5341_combout & ( !\datamem|ram~5340_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5338_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~5339_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~5338_combout ),
+ .datac(!\datamem|ram~5339_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~5341_combout ),
+ .dataf(!\datamem|ram~5340_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5342_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5342 .extended_lut = "off";
+defparam \datamem|ram~5342 .lut_mask = 64'h2700275527AA27FF;
+defparam \datamem|ram~5342 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N2
+dffeas \datamem|ram~2509 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2509_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2509 .is_wysiwyg = "true";
+defparam \datamem|ram~2509 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N14
+dffeas \datamem|ram~2253 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2253_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2253 .is_wysiwyg = "true";
+defparam \datamem|ram~2253 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N6
+cyclonev_lcell_comb \datamem|ram~2765feeder (
+// Equation(s):
+// \datamem|ram~2765feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2765feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2765feeder .extended_lut = "off";
+defparam \datamem|ram~2765feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2765feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N8
+dffeas \datamem|ram~2765 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2765feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2765_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2765 .is_wysiwyg = "true";
+defparam \datamem|ram~2765 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N38
+dffeas \datamem|ram~3021 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3021_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3021 .is_wysiwyg = "true";
+defparam \datamem|ram~3021 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N36
+cyclonev_lcell_comb \datamem|ram~5331 (
+// Equation(s):
+// \datamem|ram~5331_combout = ( \datamem|ram~3021_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2765_q ) ) ) ) # ( !\datamem|ram~3021_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2765_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3021_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2253_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2509_q )) ) ) ) # ( !\datamem|ram~3021_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2253_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2509_q )) ) ) )
+
+ .dataa(!\datamem|ram~2509_q ),
+ .datab(!\datamem|ram~2253_q ),
+ .datac(!\datamem|ram~2765_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3021_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5331_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5331 .extended_lut = "off";
+defparam \datamem|ram~5331 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5331 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y14_N24
+cyclonev_lcell_comb \datamem|ram~2701feeder (
+// Equation(s):
+// \datamem|ram~2701feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2701feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2701feeder .extended_lut = "off";
+defparam \datamem|ram~2701feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2701feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y14_N25
+dffeas \datamem|ram~2701 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2701feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2701_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2701 .is_wysiwyg = "true";
+defparam \datamem|ram~2701 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N27
+cyclonev_lcell_comb \datamem|ram~2189feeder (
+// Equation(s):
+// \datamem|ram~2189feeder_combout = \reg_file|reg_read_data_2[13]~13_combout
+
+ .dataa(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2189feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2189feeder .extended_lut = "off";
+defparam \datamem|ram~2189feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~2189feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N28
+dffeas \datamem|ram~2189 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2189feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2189_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2189 .is_wysiwyg = "true";
+defparam \datamem|ram~2189 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y15_N51
+cyclonev_lcell_comb \datamem|ram~2445feeder (
+// Equation(s):
+// \datamem|ram~2445feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2445feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2445feeder .extended_lut = "off";
+defparam \datamem|ram~2445feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2445feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y15_N52
+dffeas \datamem|ram~2445 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2445feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2445_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2445 .is_wysiwyg = "true";
+defparam \datamem|ram~2445 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N56
+dffeas \datamem|ram~2957 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2957_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2957 .is_wysiwyg = "true";
+defparam \datamem|ram~2957 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y15_N54
+cyclonev_lcell_comb \datamem|ram~5330 (
+// Equation(s):
+// \datamem|ram~5330_combout = ( \datamem|ram~2957_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2701_q ) ) ) ) # ( !\datamem|ram~2957_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2701_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2957_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2189_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2445_q ))) ) ) ) # ( !\datamem|ram~2957_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2189_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2445_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2701_q ),
+ .datab(!\datamem|ram~2189_q ),
+ .datac(!\datamem|ram~2445_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2957_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5330_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5330 .extended_lut = "off";
+defparam \datamem|ram~5330 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5330 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N54
+cyclonev_lcell_comb \datamem|ram~2061feeder (
+// Equation(s):
+// \datamem|ram~2061feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2061feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2061feeder .extended_lut = "off";
+defparam \datamem|ram~2061feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2061feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N56
+dffeas \datamem|ram~2061 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2061feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2061_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2061 .is_wysiwyg = "true";
+defparam \datamem|ram~2061 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N45
+cyclonev_lcell_comb \datamem|ram~2317feeder (
+// Equation(s):
+// \datamem|ram~2317feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2317feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2317feeder .extended_lut = "off";
+defparam \datamem|ram~2317feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2317feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N46
+dffeas \datamem|ram~2317 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2317feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2317_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2317 .is_wysiwyg = "true";
+defparam \datamem|ram~2317 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N13
+dffeas \datamem|ram~2573 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2573_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2573 .is_wysiwyg = "true";
+defparam \datamem|ram~2573 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N8
+dffeas \datamem|ram~2829 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2829_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2829 .is_wysiwyg = "true";
+defparam \datamem|ram~2829 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N6
+cyclonev_lcell_comb \datamem|ram~5328 (
+// Equation(s):
+// \datamem|ram~5328_combout = ( \datamem|ram~2829_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2317_q ) ) ) ) # ( !\datamem|ram~2829_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2317_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2829_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2061_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2573_q ))) ) ) ) # ( !\datamem|ram~2829_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2061_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2573_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2061_q ),
+ .datab(!\datamem|ram~2317_q ),
+ .datac(!\datamem|ram~2573_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2829_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5328_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5328 .extended_lut = "off";
+defparam \datamem|ram~5328 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5328 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N44
+dffeas \datamem|ram~2381 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2381_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2381 .is_wysiwyg = "true";
+defparam \datamem|ram~2381 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y11_N3
+cyclonev_lcell_comb \datamem|ram~2637feeder (
+// Equation(s):
+// \datamem|ram~2637feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2637feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2637feeder .extended_lut = "off";
+defparam \datamem|ram~2637feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2637feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y11_N4
+dffeas \datamem|ram~2637 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2637feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2637_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2637 .is_wysiwyg = "true";
+defparam \datamem|ram~2637 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N2
+dffeas \datamem|ram~2125 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2125_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2125 .is_wysiwyg = "true";
+defparam \datamem|ram~2125 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N44
+dffeas \datamem|ram~2893 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2893_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2893 .is_wysiwyg = "true";
+defparam \datamem|ram~2893 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y11_N42
+cyclonev_lcell_comb \datamem|ram~5329 (
+// Equation(s):
+// \datamem|ram~5329_combout = ( \datamem|ram~2893_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2381_q ) ) ) ) # ( !\datamem|ram~2893_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2381_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2893_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2125_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2637_q )) ) ) ) # ( !\datamem|ram~2893_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2125_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2637_q )) ) ) )
+
+ .dataa(!\datamem|ram~2381_q ),
+ .datab(!\datamem|ram~2637_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2125_q ),
+ .datae(!\datamem|ram~2893_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5329_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5329 .extended_lut = "off";
+defparam \datamem|ram~5329 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5329 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y15_N51
+cyclonev_lcell_comb \datamem|ram~5332 (
+// Equation(s):
+// \datamem|ram~5332_combout = ( \datamem|ram~5328_combout & ( \datamem|ram~5329_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~5330_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~5331_combout ))) ) )
+// ) # ( !\datamem|ram~5328_combout & ( \datamem|ram~5329_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout )) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~5330_combout ))) # (\alu_unit|Mux12~2_combout
+// & (\datamem|ram~5331_combout )))) ) ) ) # ( \datamem|ram~5328_combout & ( !\datamem|ram~5329_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout )) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~5330_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~5331_combout )))) ) ) ) # ( !\datamem|ram~5328_combout & ( !\datamem|ram~5329_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~5330_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~5331_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~5331_combout ),
+ .datad(!\datamem|ram~5330_combout ),
+ .datae(!\datamem|ram~5328_combout ),
+ .dataf(!\datamem|ram~5329_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5332_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5332 .extended_lut = "off";
+defparam \datamem|ram~5332 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~5332 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N0
+cyclonev_lcell_comb \datamem|ram~5348 (
+// Equation(s):
+// \datamem|ram~5348_combout = ( \datamem|ram~5342_combout & ( \datamem|ram~5332_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~5337_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~5347_combout ))) ) )
+// ) # ( !\datamem|ram~5342_combout & ( \datamem|ram~5332_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~5337_combout ))) # (\alu_unit|Mux13~4_combout
+// & (\datamem|ram~5347_combout )))) ) ) ) # ( \datamem|ram~5342_combout & ( !\datamem|ram~5332_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~5337_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~5347_combout )))) ) ) ) # ( !\datamem|ram~5342_combout & ( !\datamem|ram~5332_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~5337_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~5347_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5347_combout ),
+ .datad(!\datamem|ram~5337_combout ),
+ .datae(!\datamem|ram~5342_combout ),
+ .dataf(!\datamem|ram~5332_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5348_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5348 .extended_lut = "off";
+defparam \datamem|ram~5348 .lut_mask = 64'h0145236789CDABEF;
+defparam \datamem|ram~5348 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N14
+dffeas \datamem|ram~397 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~397_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~397 .is_wysiwyg = "true";
+defparam \datamem|ram~397 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N51
+cyclonev_lcell_comb \datamem|ram~653feeder (
+// Equation(s):
+// \datamem|ram~653feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~653feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~653feeder .extended_lut = "off";
+defparam \datamem|ram~653feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~653feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N52
+dffeas \datamem|ram~653 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~653feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~653_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~653 .is_wysiwyg = "true";
+defparam \datamem|ram~653 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N39
+cyclonev_lcell_comb \datamem|ram~141feeder (
+// Equation(s):
+// \datamem|ram~141feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~141feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~141feeder .extended_lut = "off";
+defparam \datamem|ram~141feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~141feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N41
+dffeas \datamem|ram~141 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~141feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~141_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~141 .is_wysiwyg = "true";
+defparam \datamem|ram~141 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y14_N32
+dffeas \datamem|ram~909 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~909_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~909 .is_wysiwyg = "true";
+defparam \datamem|ram~909 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y14_N30
+cyclonev_lcell_comb \datamem|ram~5296 (
+// Equation(s):
+// \datamem|ram~5296_combout = ( \datamem|ram~909_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~653_q ) ) ) ) # ( !\datamem|ram~909_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~653_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~909_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~141_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~397_q )) ) ) ) # ( !\datamem|ram~909_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~141_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~397_q )) ) ) )
+
+ .dataa(!\datamem|ram~397_q ),
+ .datab(!\datamem|ram~653_q ),
+ .datac(!\datamem|ram~141_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~909_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5296_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5296 .extended_lut = "off";
+defparam \datamem|ram~5296 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5296 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y4_N9
+cyclonev_lcell_comb \datamem|ram~189feeder (
+// Equation(s):
+// \datamem|ram~189feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~189feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~189feeder .extended_lut = "off";
+defparam \datamem|ram~189feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~189feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y4_N10
+dffeas \datamem|ram~189 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~189feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~189_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~189 .is_wysiwyg = "true";
+defparam \datamem|ram~189 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N25
+dffeas \datamem|ram~701 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~701_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~701 .is_wysiwyg = "true";
+defparam \datamem|ram~701 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y11_N30
+cyclonev_lcell_comb \datamem|ram~445feeder (
+// Equation(s):
+// \datamem|ram~445feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~445feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~445feeder .extended_lut = "off";
+defparam \datamem|ram~445feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~445feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y11_N31
+dffeas \datamem|ram~445 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~445feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~445_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~445 .is_wysiwyg = "true";
+defparam \datamem|ram~445 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y14_N8
+dffeas \datamem|ram~957 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~957_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~957 .is_wysiwyg = "true";
+defparam \datamem|ram~957 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y14_N6
+cyclonev_lcell_comb \datamem|ram~5299 (
+// Equation(s):
+// \datamem|ram~5299_combout = ( \datamem|ram~957_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~445_q ) ) ) ) # ( !\datamem|ram~957_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~445_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~957_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~189_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~701_q ))) ) ) ) # ( !\datamem|ram~957_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~189_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~701_q ))) ) ) )
+
+ .dataa(!\datamem|ram~189_q ),
+ .datab(!\datamem|ram~701_q ),
+ .datac(!\datamem|ram~445_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~957_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5299_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5299 .extended_lut = "off";
+defparam \datamem|ram~5299 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5299 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N28
+dffeas \datamem|ram~941 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~941_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~941 .is_wysiwyg = "true";
+defparam \datamem|ram~941 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N35
+dffeas \datamem|ram~685 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~685_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~685 .is_wysiwyg = "true";
+defparam \datamem|ram~685 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N38
+dffeas \datamem|ram~429 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~429_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~429 .is_wysiwyg = "true";
+defparam \datamem|ram~429 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N34
+dffeas \datamem|ram~173 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~173_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~173 .is_wysiwyg = "true";
+defparam \datamem|ram~173 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y14_N27
+cyclonev_lcell_comb \datamem|ram~5298 (
+// Equation(s):
+// \datamem|ram~5298_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~941_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~429_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~685_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~173_q ) ) )
+
+ .dataa(!\datamem|ram~941_q ),
+ .datab(!\datamem|ram~685_q ),
+ .datac(!\datamem|ram~429_q ),
+ .datad(!\datamem|ram~173_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5298_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5298 .extended_lut = "off";
+defparam \datamem|ram~5298 .lut_mask = 64'h00FF33330F0F5555;
+defparam \datamem|ram~5298 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y17_N48
+cyclonev_lcell_comb \datamem|ram~669feeder (
+// Equation(s):
+// \datamem|ram~669feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~669feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~669feeder .extended_lut = "off";
+defparam \datamem|ram~669feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~669feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y17_N49
+dffeas \datamem|ram~669 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~669feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~669_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~669 .is_wysiwyg = "true";
+defparam \datamem|ram~669 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y11_N30
+cyclonev_lcell_comb \datamem|ram~157feeder (
+// Equation(s):
+// \datamem|ram~157feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~157feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~157feeder .extended_lut = "off";
+defparam \datamem|ram~157feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~157feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N31
+dffeas \datamem|ram~157 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~157feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~157_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~157 .is_wysiwyg = "true";
+defparam \datamem|ram~157 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N11
+dffeas \datamem|ram~413 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~413_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~413 .is_wysiwyg = "true";
+defparam \datamem|ram~413 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y14_N50
+dffeas \datamem|ram~925 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~925_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~925 .is_wysiwyg = "true";
+defparam \datamem|ram~925 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y14_N48
+cyclonev_lcell_comb \datamem|ram~5297 (
+// Equation(s):
+// \datamem|ram~5297_combout = ( \datamem|ram~925_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~413_q ) ) ) ) # ( !\datamem|ram~925_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~413_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~925_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~157_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~669_q )) ) ) ) # ( !\datamem|ram~925_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~157_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~669_q )) ) ) )
+
+ .dataa(!\datamem|ram~669_q ),
+ .datab(!\datamem|ram~157_q ),
+ .datac(!\datamem|ram~413_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~925_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5297_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5297 .extended_lut = "off";
+defparam \datamem|ram~5297 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5297 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y14_N18
+cyclonev_lcell_comb \datamem|ram~5300 (
+// Equation(s):
+// \datamem|ram~5300_combout = ( \datamem|ram~5298_combout & ( \datamem|ram~5297_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) # (\datamem|ram~5296_combout ))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~5299_combout )))) ) ) ) # ( !\datamem|ram~5298_combout & ( \datamem|ram~5297_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) # (\datamem|ram~5296_combout ))) # (\alu_unit|Mux13~4_combout &
+// (((\alu_unit|Mux14~6_combout & \datamem|ram~5299_combout )))) ) ) ) # ( \datamem|ram~5298_combout & ( !\datamem|ram~5297_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~5296_combout & (!\alu_unit|Mux14~6_combout ))) #
+// (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~5299_combout )))) ) ) ) # ( !\datamem|ram~5298_combout & ( !\datamem|ram~5297_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~5296_combout &
+// (!\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout & \datamem|ram~5299_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~5296_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~5299_combout ),
+ .datae(!\datamem|ram~5298_combout ),
+ .dataf(!\datamem|ram~5297_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5300_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5300 .extended_lut = "off";
+defparam \datamem|ram~5300 .lut_mask = 64'h202570752A2F7A7F;
+defparam \datamem|ram~5300 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N13
+dffeas \datamem|ram~221 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~221_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~221 .is_wysiwyg = "true";
+defparam \datamem|ram~221 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y15_N0
+cyclonev_lcell_comb \datamem|ram~237feeder (
+// Equation(s):
+// \datamem|ram~237feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~237feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~237feeder .extended_lut = "off";
+defparam \datamem|ram~237feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~237feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N1
+dffeas \datamem|ram~237 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~237feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~237_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~237 .is_wysiwyg = "true";
+defparam \datamem|ram~237 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N8
+dffeas \datamem|ram~205 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~205_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~205 .is_wysiwyg = "true";
+defparam \datamem|ram~205 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y8_N14
+dffeas \datamem|ram~253 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~253_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~253 .is_wysiwyg = "true";
+defparam \datamem|ram~253 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y8_N12
+cyclonev_lcell_comb \datamem|ram~5301 (
+// Equation(s):
+// \datamem|ram~5301_combout = ( \datamem|ram~253_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~237_q ) ) ) ) # ( !\datamem|ram~253_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~237_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~253_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~205_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~221_q )) ) ) ) # ( !\datamem|ram~253_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & ((\datamem|ram~205_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~221_q )) ) ) )
+
+ .dataa(!\datamem|ram~221_q ),
+ .datab(!\datamem|ram~237_q ),
+ .datac(!\datamem|ram~205_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~253_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5301_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5301 .extended_lut = "off";
+defparam \datamem|ram~5301 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5301 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N39
+cyclonev_lcell_comb \datamem|ram~989feeder (
+// Equation(s):
+// \datamem|ram~989feeder_combout = \reg_file|reg_read_data_2[13]~13_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~989feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~989feeder .extended_lut = "off";
+defparam \datamem|ram~989feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~989feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N40
+dffeas \datamem|ram~989 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~989feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~989_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~989 .is_wysiwyg = "true";
+defparam \datamem|ram~989 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y21_N42
+cyclonev_lcell_comb \datamem|ram~973feeder (
+// Equation(s):
+// \datamem|ram~973feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~973feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~973feeder .extended_lut = "off";
+defparam \datamem|ram~973feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~973feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N44
+dffeas \datamem|ram~973 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~973feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~973_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~973 .is_wysiwyg = "true";
+defparam \datamem|ram~973 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N32
+dffeas \datamem|ram~1005 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1005_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1005 .is_wysiwyg = "true";
+defparam \datamem|ram~1005 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N14
+dffeas \datamem|ram~1021 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1021_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1021 .is_wysiwyg = "true";
+defparam \datamem|ram~1021 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N12
+cyclonev_lcell_comb \datamem|ram~5304 (
+// Equation(s):
+// \datamem|ram~5304_combout = ( \datamem|ram~1021_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~989_q ) ) ) ) # ( !\datamem|ram~1021_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~989_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1021_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~973_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1005_q ))) ) ) ) # ( !\datamem|ram~1021_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~973_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1005_q ))) ) ) )
+
+ .dataa(!\datamem|ram~989_q ),
+ .datab(!\datamem|ram~973_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1005_q ),
+ .datae(!\datamem|ram~1021_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5304_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5304 .extended_lut = "off";
+defparam \datamem|ram~5304 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5304 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N28
+dffeas \datamem|ram~717 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~717_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~717 .is_wysiwyg = "true";
+defparam \datamem|ram~717 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y13_N42
+cyclonev_lcell_comb \datamem|ram~749feeder (
+// Equation(s):
+// \datamem|ram~749feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~749feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~749feeder .extended_lut = "off";
+defparam \datamem|ram~749feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~749feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N43
+dffeas \datamem|ram~749 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~749feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~749_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~749 .is_wysiwyg = "true";
+defparam \datamem|ram~749 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y4_N0
+cyclonev_lcell_comb \datamem|ram~733feeder (
+// Equation(s):
+// \datamem|ram~733feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~733feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~733feeder .extended_lut = "off";
+defparam \datamem|ram~733feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~733feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y4_N1
+dffeas \datamem|ram~733 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~733feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~733_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~733 .is_wysiwyg = "true";
+defparam \datamem|ram~733 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y8_N32
+dffeas \datamem|ram~765 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~765_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~765 .is_wysiwyg = "true";
+defparam \datamem|ram~765 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y8_N30
+cyclonev_lcell_comb \datamem|ram~5303 (
+// Equation(s):
+// \datamem|ram~5303_combout = ( \datamem|ram~765_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~749_q ) ) ) ) # ( !\datamem|ram~765_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~749_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~765_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~717_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~733_q ))) ) ) ) # ( !\datamem|ram~765_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~717_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~733_q ))) ) ) )
+
+ .dataa(!\datamem|ram~717_q ),
+ .datab(!\datamem|ram~749_q ),
+ .datac(!\datamem|ram~733_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~765_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5303_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5303 .extended_lut = "off";
+defparam \datamem|ram~5303 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5303 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N27
+cyclonev_lcell_comb \datamem|ram~493feeder (
+// Equation(s):
+// \datamem|ram~493feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~493feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~493feeder .extended_lut = "off";
+defparam \datamem|ram~493feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~493feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N28
+dffeas \datamem|ram~493 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~493feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~493_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~493 .is_wysiwyg = "true";
+defparam \datamem|ram~493 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N59
+dffeas \datamem|ram~461 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~461_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~461 .is_wysiwyg = "true";
+defparam \datamem|ram~461 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N26
+dffeas \datamem|ram~477 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~477_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~477 .is_wysiwyg = "true";
+defparam \datamem|ram~477 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N2
+dffeas \datamem|ram~509 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~509_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~509 .is_wysiwyg = "true";
+defparam \datamem|ram~509 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N0
+cyclonev_lcell_comb \datamem|ram~5302 (
+// Equation(s):
+// \datamem|ram~5302_combout = ( \datamem|ram~509_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~493_q ) ) ) ) # ( !\datamem|ram~509_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~493_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~509_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~461_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~477_q ))) ) ) ) # ( !\datamem|ram~509_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~461_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~477_q ))) ) ) )
+
+ .dataa(!\datamem|ram~493_q ),
+ .datab(!\datamem|ram~461_q ),
+ .datac(!\datamem|ram~477_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~509_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5302_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5302 .extended_lut = "off";
+defparam \datamem|ram~5302 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5302 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N45
+cyclonev_lcell_comb \datamem|ram~5305 (
+// Equation(s):
+// \datamem|ram~5305_combout = ( \datamem|ram~5303_combout & ( \datamem|ram~5302_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~5301_combout ))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) #
+// (\datamem|ram~5304_combout )))) ) ) ) # ( !\datamem|ram~5303_combout & ( \datamem|ram~5302_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~5301_combout & ((!\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout &
+// (((!\alu_unit|Mux9~4_combout ) # (\datamem|ram~5304_combout )))) ) ) ) # ( \datamem|ram~5303_combout & ( !\datamem|ram~5302_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~5301_combout ))) #
+// (\alu_unit|Mux10~6_combout & (((\datamem|ram~5304_combout & \alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~5303_combout & ( !\datamem|ram~5302_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~5301_combout & ((!\alu_unit|Mux9~4_combout
+// )))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~5304_combout & \alu_unit|Mux9~4_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\datamem|ram~5301_combout ),
+ .datac(!\datamem|ram~5304_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~5303_combout ),
+ .dataf(!\datamem|ram~5302_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5305_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5305 .extended_lut = "off";
+defparam \datamem|ram~5305 .lut_mask = 64'h220522AF770577AF;
+defparam \datamem|ram~5305 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y11_N3
+cyclonev_lcell_comb \datamem|ram~797feeder (
+// Equation(s):
+// \datamem|ram~797feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~797feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~797feeder .extended_lut = "off";
+defparam \datamem|ram~797feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~797feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y11_N4
+dffeas \datamem|ram~797 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~797feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~797_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~797 .is_wysiwyg = "true";
+defparam \datamem|ram~797 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N46
+dffeas \datamem|ram~781 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~781_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~781 .is_wysiwyg = "true";
+defparam \datamem|ram~781 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N16
+dffeas \datamem|ram~813 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~813_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~813 .is_wysiwyg = "true";
+defparam \datamem|ram~813 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y7_N56
+dffeas \datamem|ram~829 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~829_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~829 .is_wysiwyg = "true";
+defparam \datamem|ram~829 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y7_N54
+cyclonev_lcell_comb \datamem|ram~5289 (
+// Equation(s):
+// \datamem|ram~5289_combout = ( \datamem|ram~829_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~813_q ) ) ) ) # ( !\datamem|ram~829_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~813_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~829_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~781_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~797_q )) ) ) ) # ( !\datamem|ram~829_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & ((\datamem|ram~781_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~797_q )) ) ) )
+
+ .dataa(!\datamem|ram~797_q ),
+ .datab(!\datamem|ram~781_q ),
+ .datac(!\datamem|ram~813_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~829_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5289_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5289 .extended_lut = "off";
+defparam \datamem|ram~5289 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5289 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N24
+cyclonev_lcell_comb \datamem|ram~557feeder (
+// Equation(s):
+// \datamem|ram~557feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~557feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~557feeder .extended_lut = "off";
+defparam \datamem|ram~557feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~557feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N26
+dffeas \datamem|ram~557 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~557feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~557_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~557 .is_wysiwyg = "true";
+defparam \datamem|ram~557 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N54
+cyclonev_lcell_comb \datamem|ram~541feeder (
+// Equation(s):
+// \datamem|ram~541feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~541feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~541feeder .extended_lut = "off";
+defparam \datamem|ram~541feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~541feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N56
+dffeas \datamem|ram~541 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~541feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~541_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~541 .is_wysiwyg = "true";
+defparam \datamem|ram~541 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N3
+cyclonev_lcell_comb \datamem|ram~525feeder (
+// Equation(s):
+// \datamem|ram~525feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~525feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~525feeder .extended_lut = "off";
+defparam \datamem|ram~525feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~525feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N5
+dffeas \datamem|ram~525 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~525feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~525_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~525 .is_wysiwyg = "true";
+defparam \datamem|ram~525 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N44
+dffeas \datamem|ram~573 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~573_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~573 .is_wysiwyg = "true";
+defparam \datamem|ram~573 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N42
+cyclonev_lcell_comb \datamem|ram~5288 (
+// Equation(s):
+// \datamem|ram~5288_combout = ( \datamem|ram~573_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~541_q ) ) ) ) # ( !\datamem|ram~573_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~541_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~573_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~525_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~557_q )) ) ) ) # ( !\datamem|ram~573_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~525_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~557_q )) ) ) )
+
+ .dataa(!\datamem|ram~557_q ),
+ .datab(!\datamem|ram~541_q ),
+ .datac(!\datamem|ram~525_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~573_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5288_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5288 .extended_lut = "off";
+defparam \datamem|ram~5288 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5288 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N48
+cyclonev_lcell_comb \datamem|ram~317feeder (
+// Equation(s):
+// \datamem|ram~317feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~317feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~317feeder .extended_lut = "off";
+defparam \datamem|ram~317feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~317feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N50
+dffeas \datamem|ram~317 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~317feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~317_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~317 .is_wysiwyg = "true";
+defparam \datamem|ram~317 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N44
+dffeas \datamem|ram~269 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~269_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~269 .is_wysiwyg = "true";
+defparam \datamem|ram~269 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y9_N57
+cyclonev_lcell_comb \datamem|ram~301feeder (
+// Equation(s):
+// \datamem|ram~301feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~301feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~301feeder .extended_lut = "off";
+defparam \datamem|ram~301feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~301feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N58
+dffeas \datamem|ram~301 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~301feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~301_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~301 .is_wysiwyg = "true";
+defparam \datamem|ram~301 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N32
+dffeas \datamem|ram~285 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~285_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~285 .is_wysiwyg = "true";
+defparam \datamem|ram~285 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N30
+cyclonev_lcell_comb \datamem|ram~5287 (
+// Equation(s):
+// \datamem|ram~5287_combout = ( \datamem|ram~285_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~301_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~317_q )) ) ) ) # ( !\datamem|ram~285_q & (
+// \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~301_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~317_q )) ) ) ) # ( \datamem|ram~285_q & ( !\alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~269_q ) ) ) ) # ( !\datamem|ram~285_q & ( !\alu_unit|Mux13~4_combout & ( (\datamem|ram~269_q & !\alu_unit|Mux14~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~317_q ),
+ .datab(!\datamem|ram~269_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~301_q ),
+ .datae(!\datamem|ram~285_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5287_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5287 .extended_lut = "off";
+defparam \datamem|ram~5287 .lut_mask = 64'h30303F3F05F505F5;
+defparam \datamem|ram~5287 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y5_N54
+cyclonev_lcell_comb \datamem|ram~45feeder (
+// Equation(s):
+// \datamem|ram~45feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~45feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~45feeder .extended_lut = "off";
+defparam \datamem|ram~45feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~45feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N56
+dffeas \datamem|ram~45 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~45feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~45_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~45 .is_wysiwyg = "true";
+defparam \datamem|ram~45 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y5_N45
+cyclonev_lcell_comb \datamem|ram~61feeder (
+// Equation(s):
+// \datamem|ram~61feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~61feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~61feeder .extended_lut = "off";
+defparam \datamem|ram~61feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~61feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N47
+dffeas \datamem|ram~61 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~61feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~61_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~61 .is_wysiwyg = "true";
+defparam \datamem|ram~61 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y9_N3
+cyclonev_lcell_comb \datamem|ram~13feeder (
+// Equation(s):
+// \datamem|ram~13feeder_combout = \reg_file|reg_read_data_2[13]~13_combout
+
+ .dataa(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~13feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~13feeder .extended_lut = "off";
+defparam \datamem|ram~13feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~13feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N5
+dffeas \datamem|ram~13 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~13feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~13_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~13 .is_wysiwyg = "true";
+defparam \datamem|ram~13 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N14
+dffeas \datamem|ram~29 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~29_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~29 .is_wysiwyg = "true";
+defparam \datamem|ram~29 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y5_N12
+cyclonev_lcell_comb \datamem|ram~5286 (
+// Equation(s):
+// \datamem|ram~5286_combout = ( \datamem|ram~29_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~61_q ) ) ) ) # ( !\datamem|ram~29_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~61_q & \alu_unit|Mux13~4_combout ) )
+// ) ) # ( \datamem|ram~29_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~13_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~45_q )) ) ) ) # ( !\datamem|ram~29_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~13_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~45_q )) ) ) )
+
+ .dataa(!\datamem|ram~45_q ),
+ .datab(!\datamem|ram~61_q ),
+ .datac(!\datamem|ram~13_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~29_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5286_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5286 .extended_lut = "off";
+defparam \datamem|ram~5286 .lut_mask = 64'h0F550F550033FF33;
+defparam \datamem|ram~5286 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y7_N30
+cyclonev_lcell_comb \datamem|ram~5290 (
+// Equation(s):
+// \datamem|ram~5290_combout = ( \datamem|ram~5287_combout & ( \datamem|ram~5286_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5288_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5289_combout ))) ) )
+// ) # ( !\datamem|ram~5287_combout & ( \datamem|ram~5286_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout )) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5288_combout ))) # (\alu_unit|Mux10~6_combout
+// & (\datamem|ram~5289_combout )))) ) ) ) # ( \datamem|ram~5287_combout & ( !\datamem|ram~5286_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout )) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~5288_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5289_combout )))) ) ) ) # ( !\datamem|ram~5287_combout & ( !\datamem|ram~5286_combout & ( (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~5288_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5289_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~5289_combout ),
+ .datad(!\datamem|ram~5288_combout ),
+ .datae(!\datamem|ram~5287_combout ),
+ .dataf(!\datamem|ram~5286_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5290_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5290 .extended_lut = "off";
+defparam \datamem|ram~5290 .lut_mask = 64'h0145236789CDABEF;
+defparam \datamem|ram~5290 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y7_N1
+dffeas \datamem|ram~845 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~845_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~845 .is_wysiwyg = "true";
+defparam \datamem|ram~845 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y8_N3
+cyclonev_lcell_comb \datamem|ram~877feeder (
+// Equation(s):
+// \datamem|ram~877feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~877feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~877feeder .extended_lut = "off";
+defparam \datamem|ram~877feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~877feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N4
+dffeas \datamem|ram~877 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~877feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~877_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~877 .is_wysiwyg = "true";
+defparam \datamem|ram~877 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y7_N23
+dffeas \datamem|ram~861 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~861_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~861 .is_wysiwyg = "true";
+defparam \datamem|ram~861 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y7_N26
+dffeas \datamem|ram~893 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~893_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~893 .is_wysiwyg = "true";
+defparam \datamem|ram~893 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y7_N24
+cyclonev_lcell_comb \datamem|ram~5294 (
+// Equation(s):
+// \datamem|ram~5294_combout = ( \datamem|ram~893_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~861_q ) ) ) ) # ( !\datamem|ram~893_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~861_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~893_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~845_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~877_q ))) ) ) ) # ( !\datamem|ram~893_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~845_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~877_q ))) ) ) )
+
+ .dataa(!\datamem|ram~845_q ),
+ .datab(!\datamem|ram~877_q ),
+ .datac(!\datamem|ram~861_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~893_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5294_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5294 .extended_lut = "off";
+defparam \datamem|ram~5294 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5294 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N46
+dffeas \datamem|ram~125 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~125_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~125 .is_wysiwyg = "true";
+defparam \datamem|ram~125 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N17
+dffeas \datamem|ram~77 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~77_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~77 .is_wysiwyg = "true";
+defparam \datamem|ram~77 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N16
+dffeas \datamem|ram~109 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~109_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~109 .is_wysiwyg = "true";
+defparam \datamem|ram~109 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y7_N2
+dffeas \datamem|ram~93 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~93_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~93 .is_wysiwyg = "true";
+defparam \datamem|ram~93 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y7_N0
+cyclonev_lcell_comb \datamem|ram~5291 (
+// Equation(s):
+// \datamem|ram~5291_combout = ( \datamem|ram~93_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~125_q ) ) ) ) # ( !\datamem|ram~93_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~125_q & \alu_unit|Mux13~4_combout )
+// ) ) ) # ( \datamem|ram~93_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~77_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~109_q ))) ) ) ) # ( !\datamem|ram~93_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~77_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~109_q ))) ) ) )
+
+ .dataa(!\datamem|ram~125_q ),
+ .datab(!\datamem|ram~77_q ),
+ .datac(!\datamem|ram~109_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~93_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5291_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5291 .extended_lut = "off";
+defparam \datamem|ram~5291 .lut_mask = 64'h330F330F0055FF55;
+defparam \datamem|ram~5291 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N1
+dffeas \datamem|ram~349 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~349_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~349 .is_wysiwyg = "true";
+defparam \datamem|ram~349 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y8_N40
+dffeas \datamem|ram~381 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~381_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~381 .is_wysiwyg = "true";
+defparam \datamem|ram~381 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N55
+dffeas \datamem|ram~365 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~365_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~365 .is_wysiwyg = "true";
+defparam \datamem|ram~365 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N13
+dffeas \datamem|ram~333 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~333_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~333 .is_wysiwyg = "true";
+defparam \datamem|ram~333 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N57
+cyclonev_lcell_comb \datamem|ram~5292 (
+// Equation(s):
+// \datamem|ram~5292_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~381_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~365_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~349_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~333_q ) ) )
+
+ .dataa(!\datamem|ram~349_q ),
+ .datab(!\datamem|ram~381_q ),
+ .datac(!\datamem|ram~365_q ),
+ .datad(!\datamem|ram~333_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5292_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5292 .extended_lut = "off";
+defparam \datamem|ram~5292 .lut_mask = 64'h00FF55550F0F3333;
+defparam \datamem|ram~5292 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N56
+dffeas \datamem|ram~589 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~589_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~589 .is_wysiwyg = "true";
+defparam \datamem|ram~589 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y4_N24
+cyclonev_lcell_comb \datamem|ram~605feeder (
+// Equation(s):
+// \datamem|ram~605feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~605feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~605feeder .extended_lut = "off";
+defparam \datamem|ram~605feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~605feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N25
+dffeas \datamem|ram~605 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~605feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~605_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~605 .is_wysiwyg = "true";
+defparam \datamem|ram~605 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N42
+cyclonev_lcell_comb \datamem|ram~621feeder (
+// Equation(s):
+// \datamem|ram~621feeder_combout = ( \reg_file|reg_read_data_2[13]~13_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~621feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~621feeder .extended_lut = "off";
+defparam \datamem|ram~621feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~621feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N44
+dffeas \datamem|ram~621 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~621feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~621_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~621 .is_wysiwyg = "true";
+defparam \datamem|ram~621 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N20
+dffeas \datamem|ram~637 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[13]~13_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~637_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~637 .is_wysiwyg = "true";
+defparam \datamem|ram~637 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N18
+cyclonev_lcell_comb \datamem|ram~5293 (
+// Equation(s):
+// \datamem|ram~5293_combout = ( \datamem|ram~637_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~621_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~637_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & \datamem|ram~621_q
+// ) ) ) ) # ( \datamem|ram~637_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~589_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~605_q ))) ) ) ) # ( !\datamem|ram~637_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~589_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~605_q ))) ) ) )
+
+ .dataa(!\datamem|ram~589_q ),
+ .datab(!\datamem|ram~605_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~621_q ),
+ .datae(!\datamem|ram~637_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5293_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5293 .extended_lut = "off";
+defparam \datamem|ram~5293 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5293 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y7_N30
+cyclonev_lcell_comb \datamem|ram~5295 (
+// Equation(s):
+// \datamem|ram~5295_combout = ( \datamem|ram~5292_combout & ( \datamem|ram~5293_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout ) # (\datamem|ram~5291_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) #
+// (\datamem|ram~5294_combout ))) ) ) ) # ( !\datamem|ram~5292_combout & ( \datamem|ram~5293_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~5291_combout & !\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~5294_combout ))) ) ) ) # ( \datamem|ram~5292_combout & ( !\datamem|ram~5293_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout ) # (\datamem|ram~5291_combout )))) #
+// (\alu_unit|Mux9~4_combout & (\datamem|ram~5294_combout & ((\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~5292_combout & ( !\datamem|ram~5293_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~5291_combout & !\alu_unit|Mux10~6_combout
+// )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5294_combout & ((\alu_unit|Mux10~6_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5294_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5291_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~5292_combout ),
+ .dataf(!\datamem|ram~5293_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5295_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5295 .extended_lut = "off";
+defparam \datamem|ram~5295 .lut_mask = 64'h0C110CDD3F113FDD;
+defparam \datamem|ram~5295 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N30
+cyclonev_lcell_comb \datamem|ram~5306 (
+// Equation(s):
+// \datamem|ram~5306_combout = ( \datamem|ram~5290_combout & ( \datamem|ram~5295_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5300_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5305_combout )))) ) )
+// ) # ( !\datamem|ram~5290_combout & ( \datamem|ram~5295_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~5300_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~5305_combout
+// )))) ) ) ) # ( \datamem|ram~5290_combout & ( !\datamem|ram~5295_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~5300_combout )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~5305_combout )))) ) ) ) # ( !\datamem|ram~5290_combout & ( !\datamem|ram~5295_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5300_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~5305_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5300_combout ),
+ .datad(!\datamem|ram~5305_combout ),
+ .datae(!\datamem|ram~5290_combout ),
+ .dataf(!\datamem|ram~5295_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5306_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5306 .extended_lut = "off";
+defparam \datamem|ram~5306 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~5306 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y15_N24
+cyclonev_lcell_comb \datamem|ram~5370 (
+// Equation(s):
+// \datamem|ram~5370_combout = ( \datamem|ram~5348_combout & ( \datamem|ram~5306_combout & ( (!\alu_unit|Mux8~2_combout ) # ((!\alu_unit|Mux7~2_combout & (\datamem|ram~5327_combout )) # (\alu_unit|Mux7~2_combout & ((\datamem|ram~5369_combout )))) ) ) )
+// # ( !\datamem|ram~5348_combout & ( \datamem|ram~5306_combout & ( (!\alu_unit|Mux8~2_combout & (!\alu_unit|Mux7~2_combout )) # (\alu_unit|Mux8~2_combout & ((!\alu_unit|Mux7~2_combout & (\datamem|ram~5327_combout )) # (\alu_unit|Mux7~2_combout &
+// ((\datamem|ram~5369_combout ))))) ) ) ) # ( \datamem|ram~5348_combout & ( !\datamem|ram~5306_combout & ( (!\alu_unit|Mux8~2_combout & (\alu_unit|Mux7~2_combout )) # (\alu_unit|Mux8~2_combout & ((!\alu_unit|Mux7~2_combout & (\datamem|ram~5327_combout
+// )) # (\alu_unit|Mux7~2_combout & ((\datamem|ram~5369_combout ))))) ) ) ) # ( !\datamem|ram~5348_combout & ( !\datamem|ram~5306_combout & ( (\alu_unit|Mux8~2_combout & ((!\alu_unit|Mux7~2_combout & (\datamem|ram~5327_combout )) #
+// (\alu_unit|Mux7~2_combout & ((\datamem|ram~5369_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux8~2_combout ),
+ .datab(!\alu_unit|Mux7~2_combout ),
+ .datac(!\datamem|ram~5327_combout ),
+ .datad(!\datamem|ram~5369_combout ),
+ .datae(!\datamem|ram~5348_combout ),
+ .dataf(!\datamem|ram~5306_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5370_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5370 .extended_lut = "off";
+defparam \datamem|ram~5370 .lut_mask = 64'h041526378C9DAEBF;
+defparam \datamem|ram~5370 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N54
+cyclonev_lcell_comb \reg_write_data[13]~16 (
+// Equation(s):
+// \reg_write_data[13]~16_combout = ( \reg_write_data[1]~0_combout & ( \datamem|ram~5370_combout & ( (\Add0~49_sumout ) # (\reg_write_data[1]~1_combout ) ) ) ) # ( !\reg_write_data[1]~0_combout & ( \datamem|ram~5370_combout & ( \alu_unit|Mux2~4_combout
+// ) ) ) # ( \reg_write_data[1]~0_combout & ( !\datamem|ram~5370_combout & ( (!\reg_write_data[1]~1_combout & \Add0~49_sumout ) ) ) ) # ( !\reg_write_data[1]~0_combout & ( !\datamem|ram~5370_combout & ( \alu_unit|Mux2~4_combout ) ) )
+
+ .dataa(!\alu_unit|Mux2~4_combout ),
+ .datab(!\reg_write_data[1]~1_combout ),
+ .datac(!\Add0~49_sumout ),
+ .datad(gnd),
+ .datae(!\reg_write_data[1]~0_combout ),
+ .dataf(!\datamem|ram~5370_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[13]~16_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[13]~16 .extended_lut = "off";
+defparam \reg_write_data[13]~16 .lut_mask = 64'h55550C0C55553F3F;
+defparam \reg_write_data[13]~16 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y15_N20
+dffeas \reg_file|reg_array[4][13] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[13]~16_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][13]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][13] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][13] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N32
+dffeas \reg_file|reg_array[7][13] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[13]~16_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][13]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][13] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N21
+cyclonev_lcell_comb \reg_file|reg_read_data_1[13]~26 (
+// Equation(s):
+// \reg_file|reg_read_data_1[13]~26_combout = ( \instrucion_memory|rom~12_combout & ( \instrucion_memory|rom~11_combout & ( \reg_file|reg_array[7][13]~q ) ) ) # ( !\instrucion_memory|rom~12_combout & ( \instrucion_memory|rom~11_combout & (
+// \reg_file|reg_array[3][13]~q ) ) ) # ( \instrucion_memory|rom~12_combout & ( !\instrucion_memory|rom~11_combout & ( \reg_file|reg_array[4][13]~q ) ) ) # ( !\instrucion_memory|rom~12_combout & ( !\instrucion_memory|rom~11_combout & (
+// \reg_file|reg_array[0][13]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[4][13]~q ),
+ .datab(!\reg_file|reg_array[0][13]~q ),
+ .datac(!\reg_file|reg_array[3][13]~q ),
+ .datad(!\reg_file|reg_array[7][13]~q ),
+ .datae(!\instrucion_memory|rom~12_combout ),
+ .dataf(!\instrucion_memory|rom~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[13]~26_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[13]~26 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[13]~26 .lut_mask = 64'h333355550F0F00FF;
+defparam \reg_file|reg_read_data_1[13]~26 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N57
+cyclonev_lcell_comb \reg_file|reg_read_data_1[13]~27 (
+// Equation(s):
+// \reg_file|reg_read_data_1[13]~27_combout = ( \reg_file|reg_read_data_1[13]~26_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|Equal0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[13]~26_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[13]~27_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[13]~27 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[13]~27 .lut_mask = 64'h000000000F0F0F0F;
+defparam \reg_file|reg_read_data_1[13]~27 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N30
+cyclonev_lcell_comb \JRControl_unit|Equal0~0 (
+// Equation(s):
+// \JRControl_unit|Equal0~0_combout = ( \instrucion_memory|rom~8_combout & ( !\instrucion_memory|rom~20_combout & ( (!\instrucion_memory|rom~6_combout & (!\control_unit|mem_write~0_combout & (!\control_unit|WideOr0~0_combout &
+// !\instrucion_memory|rom~16_combout ))) ) ) )
+
+ .dataa(!\instrucion_memory|rom~6_combout ),
+ .datab(!\control_unit|mem_write~0_combout ),
+ .datac(!\control_unit|WideOr0~0_combout ),
+ .datad(!\instrucion_memory|rom~16_combout ),
+ .datae(!\instrucion_memory|rom~8_combout ),
+ .dataf(!\instrucion_memory|rom~20_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\JRControl_unit|Equal0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \JRControl_unit|Equal0~0 .extended_lut = "off";
+defparam \JRControl_unit|Equal0~0 .lut_mask = 64'h0000800000000000;
+defparam \JRControl_unit|Equal0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y14_N38
+dffeas \pc_current[13] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[13]~12_combout ),
+ .asdata(\reg_file|reg_read_data_1[13]~27_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[13]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[13] .is_wysiwyg = "true";
+defparam \pc_current[13] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N39
+cyclonev_lcell_comb \Add0~53 (
+// Equation(s):
+// \Add0~53_sumout = SUM(( pc_current[14] ) + ( GND ) + ( \Add0~50 ))
+// \Add0~54 = CARRY(( pc_current[14] ) + ( GND ) + ( \Add0~50 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[14]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~50 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~53_sumout ),
+ .cout(\Add0~54 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~53 .extended_lut = "off";
+defparam \Add0~53 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \Add0~53 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y15_N11
+dffeas \reg_file|reg_array[1][14] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_write_data[14]~17_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][14]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][14] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][14] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N50
+dffeas \reg_file|reg_array[0][14] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[14]~17_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][14]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][14] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][14] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N33
+cyclonev_lcell_comb \reg_file|reg_array[3][14]~feeder (
+// Equation(s):
+// \reg_file|reg_array[3][14]~feeder_combout = ( \reg_write_data[14]~17_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[14]~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[3][14]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[3][14]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[3][14]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[3][14]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y14_N35
+dffeas \reg_file|reg_array[3][14] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[3][14]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][14]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][14] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][14] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y17_N26
+dffeas \reg_file|reg_array[2][14] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[14]~17_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][14]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][14] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][14] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y17_N24
+cyclonev_lcell_comb \reg_file|reg_read_data_2[14]~14 (
+// Equation(s):
+// \reg_file|reg_read_data_2[14]~14_combout = ( \reg_file|reg_array[2][14]~q & ( \instrucion_memory|rom~18_combout & ( (!\instrucion_memory|rom~17_combout ) # (\reg_file|reg_array[3][14]~q ) ) ) ) # ( !\reg_file|reg_array[2][14]~q & (
+// \instrucion_memory|rom~18_combout & ( (\instrucion_memory|rom~17_combout & \reg_file|reg_array[3][14]~q ) ) ) ) # ( \reg_file|reg_array[2][14]~q & ( !\instrucion_memory|rom~18_combout & ( (!\instrucion_memory|rom~17_combout &
+// ((\reg_file|reg_array[0][14]~q ))) # (\instrucion_memory|rom~17_combout & (\reg_file|reg_array[1][14]~q )) ) ) ) # ( !\reg_file|reg_array[2][14]~q & ( !\instrucion_memory|rom~18_combout & ( (!\instrucion_memory|rom~17_combout &
+// ((\reg_file|reg_array[0][14]~q ))) # (\instrucion_memory|rom~17_combout & (\reg_file|reg_array[1][14]~q )) ) ) )
+
+ .dataa(!\reg_file|reg_array[1][14]~q ),
+ .datab(!\instrucion_memory|rom~17_combout ),
+ .datac(!\reg_file|reg_array[0][14]~q ),
+ .datad(!\reg_file|reg_array[3][14]~q ),
+ .datae(!\reg_file|reg_array[2][14]~q ),
+ .dataf(!\instrucion_memory|rom~18_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[14]~14_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[14]~14 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[14]~14 .lut_mask = 64'h1D1D1D1D0033CCFF;
+defparam \reg_file|reg_read_data_2[14]~14 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y17_N6
+cyclonev_lcell_comb \read_data2[14]~14 (
+// Equation(s):
+// \read_data2[14]~14_combout = ( \reg_file|Equal1~0_combout & ( \reg_file|reg_read_data_2[14]~14_combout & ( (\control_unit|WideOr0~0_combout & !\imm_ext[15]~0_combout ) ) ) ) # ( !\reg_file|Equal1~0_combout & ( \reg_file|reg_read_data_2[14]~14_combout
+// & ( (!\control_unit|WideOr0~0_combout ) # (!\imm_ext[15]~0_combout ) ) ) ) # ( \reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_2[14]~14_combout & ( (\control_unit|WideOr0~0_combout & !\imm_ext[15]~0_combout ) ) ) ) # (
+// !\reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_2[14]~14_combout & ( (\control_unit|WideOr0~0_combout & !\imm_ext[15]~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(!\control_unit|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\imm_ext[15]~0_combout ),
+ .datae(!\reg_file|Equal1~0_combout ),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[14]~14_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[14]~14 .extended_lut = "off";
+defparam \read_data2[14]~14 .lut_mask = 64'h33003300FFCC3300;
+defparam \read_data2[14]~14 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N42
+cyclonev_lcell_comb \alu_unit|Add0~53 (
+// Equation(s):
+// \alu_unit|Add0~53_sumout = SUM(( \read_data2[14]~14_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[14]~28_combout ))) ) + ( \alu_unit|Add0~50 ))
+// \alu_unit|Add0~54 = CARRY(( \read_data2[14]~14_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[14]~28_combout ))) ) + ( \alu_unit|Add0~50 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[14]~14_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[14]~28_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~50 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~53_sumout ),
+ .cout(\alu_unit|Add0~54 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~53 .extended_lut = "off";
+defparam \alu_unit|Add0~53 .lut_mask = 64'h0000FFF7000000FF;
+defparam \alu_unit|Add0~53 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N51
+cyclonev_lcell_comb \alu_unit|Add1~53 (
+// Equation(s):
+// \alu_unit|Add1~53_sumout = SUM(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[14]~28_combout ))) ) + ( !\read_data2[14]~14_combout ) + ( \alu_unit|Add1~50 ))
+// \alu_unit|Add1~54 = CARRY(( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[14]~28_combout ))) ) + ( !\read_data2[14]~14_combout ) + ( \alu_unit|Add1~50 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[14]~28_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[14]~14_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~50 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~53_sumout ),
+ .cout(\alu_unit|Add1~54 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~53 .extended_lut = "off";
+defparam \alu_unit|Add1~53 .lut_mask = 64'h000000FF00000008;
+defparam \alu_unit|Add1~53 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y10_N21
+cyclonev_lcell_comb \alu_unit|Mux1~0 (
+// Equation(s):
+// \alu_unit|Mux1~0_combout = ( \reg_file|reg_read_data_1[14]~29_combout & ( \alu_unit|Add1~53_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout ) # ((!\ALU_Control_unit|WideOr1~0_combout & ((\alu_unit|Add0~53_sumout ))) #
+// (\ALU_Control_unit|WideOr1~0_combout & (\read_data2[14]~14_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[14]~29_combout & ( \alu_unit|Add1~53_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout ) #
+// (\alu_unit|Add0~53_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout & (\read_data2[14]~14_combout & (!\ALU_Control_unit|WideOr2~0_combout ))) ) ) ) # ( \reg_file|reg_read_data_1[14]~29_combout & ( !\alu_unit|Add1~53_sumout & (
+// (!\ALU_Control_unit|WideOr1~0_combout & (((\ALU_Control_unit|WideOr2~0_combout & \alu_unit|Add0~53_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout )) # (\read_data2[14]~14_combout ))) ) ) ) # (
+// !\reg_file|reg_read_data_1[14]~29_combout & ( !\alu_unit|Add1~53_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((\ALU_Control_unit|WideOr2~0_combout & \alu_unit|Add0~53_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout &
+// (\read_data2[14]~14_combout & (!\ALU_Control_unit|WideOr2~0_combout ))) ) ) )
+
+ .dataa(!\read_data2[14]~14_combout ),
+ .datab(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datac(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datad(!\alu_unit|Add0~53_sumout ),
+ .datae(!\reg_file|reg_read_data_1[14]~29_combout ),
+ .dataf(!\alu_unit|Add1~53_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux1~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux1~0 .extended_lut = "off";
+defparam \alu_unit|Mux1~0 .lut_mask = 64'h101C313DD0DCF1FD;
+defparam \alu_unit|Mux1~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y9_N24
+cyclonev_lcell_comb \alu_unit|Mux1~1 (
+// Equation(s):
+// \alu_unit|Mux1~1_combout = ( \read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[14]~29_combout & ( (!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[13]~27_combout ))) # (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[11]~13_combout
+// )) ) ) ) # ( !\read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[14]~29_combout & ( (!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[12]~15_combout ) ) ) ) # ( \read_data2[0]~1_combout & ( !\reg_file|reg_read_data_1[14]~29_combout & (
+// (!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[13]~27_combout ))) # (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[11]~13_combout )) ) ) ) # ( !\read_data2[0]~1_combout & ( !\reg_file|reg_read_data_1[14]~29_combout & (
+// (\read_data2[1]~0_combout & \reg_file|reg_read_data_1[12]~15_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .datab(!\read_data2[1]~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[13]~27_combout ),
+ .datad(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .datae(!\read_data2[0]~1_combout ),
+ .dataf(!\reg_file|reg_read_data_1[14]~29_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux1~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux1~1 .extended_lut = "off";
+defparam \alu_unit|Mux1~1 .lut_mask = 64'h00331D1DCCFF1D1D;
+defparam \alu_unit|Mux1~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N18
+cyclonev_lcell_comb \alu_unit|Mux1~2 (
+// Equation(s):
+// \alu_unit|Mux1~2_combout = ( \alu_unit|Mux1~1_combout & ( \alu_unit|shifter_left|st2[10]~11_combout & ( (!\read_data2[3]~2_combout ) # ((!\read_data2[2]~3_combout & (\alu_unit|shifter_left|st2[6]~7_combout )) # (\read_data2[2]~3_combout &
+// ((\alu_unit|shifter_left|st2[2]~2_combout )))) ) ) ) # ( !\alu_unit|Mux1~1_combout & ( \alu_unit|shifter_left|st2[10]~11_combout & ( (!\read_data2[2]~3_combout & (\alu_unit|shifter_left|st2[6]~7_combout & ((\read_data2[3]~2_combout )))) #
+// (\read_data2[2]~3_combout & (((!\read_data2[3]~2_combout ) # (\alu_unit|shifter_left|st2[2]~2_combout )))) ) ) ) # ( \alu_unit|Mux1~1_combout & ( !\alu_unit|shifter_left|st2[10]~11_combout & ( (!\read_data2[2]~3_combout & (((!\read_data2[3]~2_combout
+// )) # (\alu_unit|shifter_left|st2[6]~7_combout ))) # (\read_data2[2]~3_combout & (((\alu_unit|shifter_left|st2[2]~2_combout & \read_data2[3]~2_combout )))) ) ) ) # ( !\alu_unit|Mux1~1_combout & ( !\alu_unit|shifter_left|st2[10]~11_combout & (
+// (\read_data2[3]~2_combout & ((!\read_data2[2]~3_combout & (\alu_unit|shifter_left|st2[6]~7_combout )) # (\read_data2[2]~3_combout & ((\alu_unit|shifter_left|st2[2]~2_combout ))))) ) ) )
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(!\alu_unit|shifter_left|st2[6]~7_combout ),
+ .datac(!\alu_unit|shifter_left|st2[2]~2_combout ),
+ .datad(!\read_data2[3]~2_combout ),
+ .datae(!\alu_unit|Mux1~1_combout ),
+ .dataf(!\alu_unit|shifter_left|st2[10]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux1~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux1~2 .extended_lut = "off";
+defparam \alu_unit|Mux1~2 .lut_mask = 64'h0027AA275527FF27;
+defparam \alu_unit|Mux1~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y11_N0
+cyclonev_lcell_comb \alu_unit|Mux1~3 (
+// Equation(s):
+// \alu_unit|Mux1~3_combout = ( \alu_unit|Mux0~0_combout & ( \alu_unit|Mult0~22 & ( (!\alu_unit|Mux0~1_combout & \alu_unit|shifter_right|st2[1]~6_combout ) ) ) ) # ( !\alu_unit|Mux0~0_combout & ( \alu_unit|Mult0~22 & ( (!\alu_unit|Mux0~1_combout ) #
+// (\alu_unit|Mux1~2_combout ) ) ) ) # ( \alu_unit|Mux0~0_combout & ( !\alu_unit|Mult0~22 & ( (!\alu_unit|Mux0~1_combout & \alu_unit|shifter_right|st2[1]~6_combout ) ) ) ) # ( !\alu_unit|Mux0~0_combout & ( !\alu_unit|Mult0~22 & (
+// (\alu_unit|Mux0~1_combout & \alu_unit|Mux1~2_combout ) ) ) )
+
+ .dataa(!\alu_unit|Mux0~1_combout ),
+ .datab(gnd),
+ .datac(!\alu_unit|shifter_right|st2[1]~6_combout ),
+ .datad(!\alu_unit|Mux1~2_combout ),
+ .datae(!\alu_unit|Mux0~0_combout ),
+ .dataf(!\alu_unit|Mult0~22 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux1~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux1~3 .extended_lut = "off";
+defparam \alu_unit|Mux1~3 .lut_mask = 64'h00550A0AAAFF0A0A;
+defparam \alu_unit|Mux1~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N33
+cyclonev_lcell_comb \alu_unit|Mux1~4 (
+// Equation(s):
+// \alu_unit|Mux1~4_combout = ( \alu_unit|Mux1~3_combout & ( (\ALU_Control_unit|WideOr0~0_combout ) # (\alu_unit|Mux1~0_combout ) ) ) # ( !\alu_unit|Mux1~3_combout & ( (\alu_unit|Mux1~0_combout & !\ALU_Control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\alu_unit|Mux1~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux1~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux1~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux1~4 .extended_lut = "off";
+defparam \alu_unit|Mux1~4 .lut_mask = 64'h0F000F000FFF0FFF;
+defparam \alu_unit|Mux1~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N28
+dffeas \datamem|ram~2254 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2254_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2254 .is_wysiwyg = "true";
+defparam \datamem|ram~2254 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y9_N37
+dffeas \datamem|ram~2062 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2062_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2062 .is_wysiwyg = "true";
+defparam \datamem|ram~2062 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y17_N36
+cyclonev_lcell_comb \datamem|ram~2190feeder (
+// Equation(s):
+// \datamem|ram~2190feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2190feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2190feeder .extended_lut = "off";
+defparam \datamem|ram~2190feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2190feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y17_N37
+dffeas \datamem|ram~2190 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2190feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2190_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2190 .is_wysiwyg = "true";
+defparam \datamem|ram~2190 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X46_Y13_N21
+cyclonev_lcell_comb \datamem|ram~2126feeder (
+// Equation(s):
+// \datamem|ram~2126feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2126feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2126feeder .extended_lut = "off";
+defparam \datamem|ram~2126feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2126feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X46_Y13_N22
+dffeas \datamem|ram~2126 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2126feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2126_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2126 .is_wysiwyg = "true";
+defparam \datamem|ram~2126 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y17_N51
+cyclonev_lcell_comb \datamem|ram~5413 (
+// Equation(s):
+// \datamem|ram~5413_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2254_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2190_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2126_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2062_q ) ) )
+
+ .dataa(!\datamem|ram~2254_q ),
+ .datab(!\datamem|ram~2062_q ),
+ .datac(!\datamem|ram~2190_q ),
+ .datad(!\datamem|ram~2126_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5413_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5413 .extended_lut = "off";
+defparam \datamem|ram~5413 .lut_mask = 64'h333300FF0F0F5555;
+defparam \datamem|ram~5413 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y19_N12
+cyclonev_lcell_comb \datamem|ram~2158feeder (
+// Equation(s):
+// \datamem|ram~2158feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2158feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2158feeder .extended_lut = "off";
+defparam \datamem|ram~2158feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2158feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N13
+dffeas \datamem|ram~2158 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2158feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2158_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2158 .is_wysiwyg = "true";
+defparam \datamem|ram~2158 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N48
+cyclonev_lcell_comb \datamem|ram~2094feeder (
+// Equation(s):
+// \datamem|ram~2094feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2094feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2094feeder .extended_lut = "off";
+defparam \datamem|ram~2094feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2094feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y10_N50
+dffeas \datamem|ram~2094 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2094feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2094_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2094 .is_wysiwyg = "true";
+defparam \datamem|ram~2094 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N30
+cyclonev_lcell_comb \datamem|ram~2286feeder (
+// Equation(s):
+// \datamem|ram~2286feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2286feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2286feeder .extended_lut = "off";
+defparam \datamem|ram~2286feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2286feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y17_N32
+dffeas \datamem|ram~2286 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2286feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2286_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2286 .is_wysiwyg = "true";
+defparam \datamem|ram~2286 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y11_N13
+dffeas \datamem|ram~2222 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2222_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2222 .is_wysiwyg = "true";
+defparam \datamem|ram~2222 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N15
+cyclonev_lcell_comb \datamem|ram~5415 (
+// Equation(s):
+// \datamem|ram~5415_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2286_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2222_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2158_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2094_q ) ) )
+
+ .dataa(!\datamem|ram~2158_q ),
+ .datab(!\datamem|ram~2094_q ),
+ .datac(!\datamem|ram~2286_q ),
+ .datad(!\datamem|ram~2222_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5415_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5415 .extended_lut = "off";
+defparam \datamem|ram~5415 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~5415 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N0
+cyclonev_lcell_comb \datamem|ram~2110feeder (
+// Equation(s):
+// \datamem|ram~2110feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2110feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2110feeder .extended_lut = "off";
+defparam \datamem|ram~2110feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2110feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N2
+dffeas \datamem|ram~2110 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2110feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2110_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2110 .is_wysiwyg = "true";
+defparam \datamem|ram~2110 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y12_N39
+cyclonev_lcell_comb \datamem|ram~2238feeder (
+// Equation(s):
+// \datamem|ram~2238feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2238feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2238feeder .extended_lut = "off";
+defparam \datamem|ram~2238feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2238feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N40
+dffeas \datamem|ram~2238 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2238feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2238_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2238 .is_wysiwyg = "true";
+defparam \datamem|ram~2238 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N36
+cyclonev_lcell_comb \datamem|ram~2174feeder (
+// Equation(s):
+// \datamem|ram~2174feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2174feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2174feeder .extended_lut = "off";
+defparam \datamem|ram~2174feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2174feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y11_N37
+dffeas \datamem|ram~2174 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2174feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2174_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2174 .is_wysiwyg = "true";
+defparam \datamem|ram~2174 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N20
+dffeas \datamem|ram~2302 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2302_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2302 .is_wysiwyg = "true";
+defparam \datamem|ram~2302 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N18
+cyclonev_lcell_comb \datamem|ram~5416 (
+// Equation(s):
+// \datamem|ram~5416_combout = ( \datamem|ram~2302_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2238_q ) ) ) ) # ( !\datamem|ram~2302_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2238_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2302_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2110_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2174_q ))) ) ) ) # ( !\datamem|ram~2302_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2110_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2174_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2110_q ),
+ .datab(!\datamem|ram~2238_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2174_q ),
+ .datae(!\datamem|ram~2302_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5416_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5416 .extended_lut = "off";
+defparam \datamem|ram~5416 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5416 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N36
+cyclonev_lcell_comb \datamem|ram~2142feeder (
+// Equation(s):
+// \datamem|ram~2142feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2142feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2142feeder .extended_lut = "off";
+defparam \datamem|ram~2142feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2142feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N37
+dffeas \datamem|ram~2142 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2142feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2142_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2142 .is_wysiwyg = "true";
+defparam \datamem|ram~2142 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y23_N42
+cyclonev_lcell_comb \datamem|ram~2206feeder (
+// Equation(s):
+// \datamem|ram~2206feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2206feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2206feeder .extended_lut = "off";
+defparam \datamem|ram~2206feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2206feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N44
+dffeas \datamem|ram~2206 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2206feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2206_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2206 .is_wysiwyg = "true";
+defparam \datamem|ram~2206 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N12
+cyclonev_lcell_comb \datamem|ram~2078feeder (
+// Equation(s):
+// \datamem|ram~2078feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2078feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2078feeder .extended_lut = "off";
+defparam \datamem|ram~2078feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2078feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N13
+dffeas \datamem|ram~2078 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2078feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2078_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2078 .is_wysiwyg = "true";
+defparam \datamem|ram~2078 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N26
+dffeas \datamem|ram~2270 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2270_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2270 .is_wysiwyg = "true";
+defparam \datamem|ram~2270 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y23_N24
+cyclonev_lcell_comb \datamem|ram~5414 (
+// Equation(s):
+// \datamem|ram~5414_combout = ( \datamem|ram~2270_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2206_q ) ) ) ) # ( !\datamem|ram~2270_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2206_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2270_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2078_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2142_q )) ) ) ) # ( !\datamem|ram~2270_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2078_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2142_q )) ) ) )
+
+ .dataa(!\datamem|ram~2142_q ),
+ .datab(!\datamem|ram~2206_q ),
+ .datac(!\datamem|ram~2078_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2270_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5414_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5414 .extended_lut = "off";
+defparam \datamem|ram~5414 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5414 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N48
+cyclonev_lcell_comb \datamem|ram~5417 (
+// Equation(s):
+// \datamem|ram~5417_combout = ( \datamem|ram~5416_combout & ( \datamem|ram~5414_combout & ( ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5413_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~5415_combout )))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~5416_combout & ( \datamem|ram~5414_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~5413_combout )) # (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout & ((\datamem|ram~5415_combout
+// )))) ) ) ) # ( \datamem|ram~5416_combout & ( !\datamem|ram~5414_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout & (\datamem|ram~5413_combout ))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~5415_combout )) #
+// (\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~5416_combout & ( !\datamem|ram~5414_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5413_combout )) # (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~5415_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~5413_combout ),
+ .datad(!\datamem|ram~5415_combout ),
+ .datae(!\datamem|ram~5416_combout ),
+ .dataf(!\datamem|ram~5414_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5417_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5417 .extended_lut = "off";
+defparam \datamem|ram~5417 .lut_mask = 64'h084C195D2A6E3B7F;
+defparam \datamem|ram~5417 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N51
+cyclonev_lcell_comb \datamem|ram~2382feeder (
+// Equation(s):
+// \datamem|ram~2382feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2382feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2382feeder .extended_lut = "off";
+defparam \datamem|ram~2382feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2382feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N53
+dffeas \datamem|ram~2382 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2382feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2382_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2382 .is_wysiwyg = "true";
+defparam \datamem|ram~2382 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N24
+cyclonev_lcell_comb \datamem|ram~2318feeder (
+// Equation(s):
+// \datamem|ram~2318feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2318feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2318feeder .extended_lut = "off";
+defparam \datamem|ram~2318feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2318feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N25
+dffeas \datamem|ram~2318 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2318feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2318_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2318 .is_wysiwyg = "true";
+defparam \datamem|ram~2318 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y17_N13
+dffeas \datamem|ram~2510 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2510_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2510 .is_wysiwyg = "true";
+defparam \datamem|ram~2510 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y11_N31
+dffeas \datamem|ram~2446 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2446_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2446 .is_wysiwyg = "true";
+defparam \datamem|ram~2446 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y17_N12
+cyclonev_lcell_comb \datamem|ram~5418 (
+// Equation(s):
+// \datamem|ram~5418_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2510_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2446_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2382_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2318_q ) ) )
+
+ .dataa(!\datamem|ram~2382_q ),
+ .datab(!\datamem|ram~2318_q ),
+ .datac(!\datamem|ram~2510_q ),
+ .datad(!\datamem|ram~2446_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5418_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5418 .extended_lut = "off";
+defparam \datamem|ram~5418 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~5418 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N2
+dffeas \datamem|ram~2398 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2398_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2398 .is_wysiwyg = "true";
+defparam \datamem|ram~2398 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N45
+cyclonev_lcell_comb \datamem|ram~2462feeder (
+// Equation(s):
+// \datamem|ram~2462feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2462feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2462feeder .extended_lut = "off";
+defparam \datamem|ram~2462feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2462feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N46
+dffeas \datamem|ram~2462 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2462feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2462_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2462 .is_wysiwyg = "true";
+defparam \datamem|ram~2462 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N25
+dffeas \datamem|ram~2334 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2334_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2334 .is_wysiwyg = "true";
+defparam \datamem|ram~2334 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N14
+dffeas \datamem|ram~2526 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2526_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2526 .is_wysiwyg = "true";
+defparam \datamem|ram~2526 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N12
+cyclonev_lcell_comb \datamem|ram~5419 (
+// Equation(s):
+// \datamem|ram~5419_combout = ( \datamem|ram~2526_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2462_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2526_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2462_q ) ) ) ) # ( \datamem|ram~2526_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2334_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2398_q )) ) ) ) # ( !\datamem|ram~2526_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2334_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2398_q )) ) ) )
+
+ .dataa(!\datamem|ram~2398_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~2462_q ),
+ .datad(!\datamem|ram~2334_q ),
+ .datae(!\datamem|ram~2526_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5419_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5419 .extended_lut = "off";
+defparam \datamem|ram~5419 .lut_mask = 64'h11DD11DD0C0C3F3F;
+defparam \datamem|ram~5419 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y22_N0
+cyclonev_lcell_comb \datamem|ram~2430feeder (
+// Equation(s):
+// \datamem|ram~2430feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2430feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2430feeder .extended_lut = "off";
+defparam \datamem|ram~2430feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2430feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N1
+dffeas \datamem|ram~2430 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2430feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2430_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2430 .is_wysiwyg = "true";
+defparam \datamem|ram~2430 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y22_N30
+cyclonev_lcell_comb \datamem|ram~2494feeder (
+// Equation(s):
+// \datamem|ram~2494feeder_combout = \reg_file|reg_read_data_2[14]~14_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2494feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2494feeder .extended_lut = "off";
+defparam \datamem|ram~2494feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~2494feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N32
+dffeas \datamem|ram~2494 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2494feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2494_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2494 .is_wysiwyg = "true";
+defparam \datamem|ram~2494 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y19_N0
+cyclonev_lcell_comb \datamem|ram~2366feeder (
+// Equation(s):
+// \datamem|ram~2366feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2366feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2366feeder .extended_lut = "off";
+defparam \datamem|ram~2366feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2366feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N1
+dffeas \datamem|ram~2366 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2366feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2366_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2366 .is_wysiwyg = "true";
+defparam \datamem|ram~2366 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N44
+dffeas \datamem|ram~2558 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2558_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2558 .is_wysiwyg = "true";
+defparam \datamem|ram~2558 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y19_N42
+cyclonev_lcell_comb \datamem|ram~5421 (
+// Equation(s):
+// \datamem|ram~5421_combout = ( \datamem|ram~2558_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2494_q ) ) ) ) # ( !\datamem|ram~2558_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2494_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2558_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2366_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2430_q )) ) ) ) # ( !\datamem|ram~2558_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2366_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2430_q )) ) ) )
+
+ .dataa(!\datamem|ram~2430_q ),
+ .datab(!\datamem|ram~2494_q ),
+ .datac(!\datamem|ram~2366_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2558_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5421_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5421 .extended_lut = "off";
+defparam \datamem|ram~5421 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5421 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N25
+dffeas \datamem|ram~2414 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2414_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2414 .is_wysiwyg = "true";
+defparam \datamem|ram~2414 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N34
+dffeas \datamem|ram~2478 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2478_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2478 .is_wysiwyg = "true";
+defparam \datamem|ram~2478 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N16
+dffeas \datamem|ram~2350 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2350_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2350 .is_wysiwyg = "true";
+defparam \datamem|ram~2350 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N35
+dffeas \datamem|ram~2542 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2542_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2542 .is_wysiwyg = "true";
+defparam \datamem|ram~2542 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N33
+cyclonev_lcell_comb \datamem|ram~5420 (
+// Equation(s):
+// \datamem|ram~5420_combout = ( \datamem|ram~2542_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2478_q ) ) ) ) # ( !\datamem|ram~2542_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2478_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2542_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2350_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2414_q )) ) ) ) # ( !\datamem|ram~2542_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2350_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2414_q )) ) ) )
+
+ .dataa(!\datamem|ram~2414_q ),
+ .datab(!\datamem|ram~2478_q ),
+ .datac(!\datamem|ram~2350_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2542_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5420_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5420 .extended_lut = "off";
+defparam \datamem|ram~5420 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5420 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N6
+cyclonev_lcell_comb \datamem|ram~5422 (
+// Equation(s):
+// \datamem|ram~5422_combout = ( \datamem|ram~5421_combout & ( \datamem|ram~5420_combout & ( ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5418_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~5419_combout )))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~5421_combout & ( \datamem|ram~5420_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5418_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~5419_combout ))))) #
+// (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )))) ) ) ) # ( \datamem|ram~5421_combout & ( !\datamem|ram~5420_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5418_combout )) #
+// (\alu_unit|Mux14~6_combout & ((\datamem|ram~5419_combout ))))) # (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~5421_combout & ( !\datamem|ram~5420_combout & ( (!\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5418_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~5419_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5418_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5419_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~5421_combout ),
+ .dataf(!\datamem|ram~5420_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5422_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5422 .extended_lut = "off";
+defparam \datamem|ram~5422 .lut_mask = 64'h440C443F770C773F;
+defparam \datamem|ram~5422 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N16
+dffeas \datamem|ram~2622 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2622_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2622 .is_wysiwyg = "true";
+defparam \datamem|ram~2622 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N37
+dffeas \datamem|ram~2750 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2750_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2750 .is_wysiwyg = "true";
+defparam \datamem|ram~2750 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N37
+dffeas \datamem|ram~2686 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2686_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2686 .is_wysiwyg = "true";
+defparam \datamem|ram~2686 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y17_N56
+dffeas \datamem|ram~2814 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2814_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2814 .is_wysiwyg = "true";
+defparam \datamem|ram~2814 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N54
+cyclonev_lcell_comb \datamem|ram~5426 (
+// Equation(s):
+// \datamem|ram~5426_combout = ( \datamem|ram~2814_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2750_q ) ) ) ) # ( !\datamem|ram~2814_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2750_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2814_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2622_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2686_q ))) ) ) ) # ( !\datamem|ram~2814_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2622_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2686_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2622_q ),
+ .datab(!\datamem|ram~2750_q ),
+ .datac(!\datamem|ram~2686_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2814_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5426_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5426 .extended_lut = "off";
+defparam \datamem|ram~5426 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5426 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N41
+dffeas \datamem|ram~2574 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2574_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2574 .is_wysiwyg = "true";
+defparam \datamem|ram~2574 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y7_N9
+cyclonev_lcell_comb \datamem|ram~2702feeder (
+// Equation(s):
+// \datamem|ram~2702feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2702feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2702feeder .extended_lut = "off";
+defparam \datamem|ram~2702feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2702feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y7_N10
+dffeas \datamem|ram~2702 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2702feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2702_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2702 .is_wysiwyg = "true";
+defparam \datamem|ram~2702 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N18
+cyclonev_lcell_comb \datamem|ram~2638feeder (
+// Equation(s):
+// \datamem|ram~2638feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2638feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2638feeder .extended_lut = "off";
+defparam \datamem|ram~2638feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2638feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N19
+dffeas \datamem|ram~2638 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2638feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2638_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2638 .is_wysiwyg = "true";
+defparam \datamem|ram~2638 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y9_N35
+dffeas \datamem|ram~2766 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2766_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2766 .is_wysiwyg = "true";
+defparam \datamem|ram~2766 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y9_N33
+cyclonev_lcell_comb \datamem|ram~5423 (
+// Equation(s):
+// \datamem|ram~5423_combout = ( \datamem|ram~2766_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2638_q ) # (\alu_unit|Mux11~4_combout ) ) ) ) # ( !\datamem|ram~2766_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout &
+// \datamem|ram~2638_q ) ) ) ) # ( \datamem|ram~2766_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2574_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2702_q ))) ) ) ) # ( !\datamem|ram~2766_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2574_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2702_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2574_q ),
+ .datab(!\datamem|ram~2702_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~2638_q ),
+ .datae(!\datamem|ram~2766_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5423_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5423 .extended_lut = "off";
+defparam \datamem|ram~5423 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5423 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N56
+dffeas \datamem|ram~2718 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2718_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2718 .is_wysiwyg = "true";
+defparam \datamem|ram~2718 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y10_N42
+cyclonev_lcell_comb \datamem|ram~2590feeder (
+// Equation(s):
+// \datamem|ram~2590feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2590feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2590feeder .extended_lut = "off";
+defparam \datamem|ram~2590feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2590feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N43
+dffeas \datamem|ram~2590 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2590feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2590_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2590 .is_wysiwyg = "true";
+defparam \datamem|ram~2590 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N31
+dffeas \datamem|ram~2654 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2654_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2654 .is_wysiwyg = "true";
+defparam \datamem|ram~2654 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N14
+dffeas \datamem|ram~2782 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2782_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2782 .is_wysiwyg = "true";
+defparam \datamem|ram~2782 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N12
+cyclonev_lcell_comb \datamem|ram~5424 (
+// Equation(s):
+// \datamem|ram~5424_combout = ( \datamem|ram~2782_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2654_q ) ) ) ) # ( !\datamem|ram~2782_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2654_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2782_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2590_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2718_q )) ) ) ) # ( !\datamem|ram~2782_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2590_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2718_q )) ) ) )
+
+ .dataa(!\datamem|ram~2718_q ),
+ .datab(!\datamem|ram~2590_q ),
+ .datac(!\datamem|ram~2654_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2782_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5424_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5424 .extended_lut = "off";
+defparam \datamem|ram~5424 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5424 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y18_N21
+cyclonev_lcell_comb \datamem|ram~2606feeder (
+// Equation(s):
+// \datamem|ram~2606feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2606feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2606feeder .extended_lut = "off";
+defparam \datamem|ram~2606feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2606feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y18_N22
+dffeas \datamem|ram~2606 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2606feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2606_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2606 .is_wysiwyg = "true";
+defparam \datamem|ram~2606 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y7_N30
+cyclonev_lcell_comb \datamem|ram~2670feeder (
+// Equation(s):
+// \datamem|ram~2670feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2670feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2670feeder .extended_lut = "off";
+defparam \datamem|ram~2670feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2670feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y7_N31
+dffeas \datamem|ram~2670 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2670feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2670_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2670 .is_wysiwyg = "true";
+defparam \datamem|ram~2670 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N6
+cyclonev_lcell_comb \datamem|ram~2734feeder (
+// Equation(s):
+// \datamem|ram~2734feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2734feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2734feeder .extended_lut = "off";
+defparam \datamem|ram~2734feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2734feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N7
+dffeas \datamem|ram~2734 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2734feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2734_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2734 .is_wysiwyg = "true";
+defparam \datamem|ram~2734 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N2
+dffeas \datamem|ram~2798 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2798_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2798 .is_wysiwyg = "true";
+defparam \datamem|ram~2798 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N0
+cyclonev_lcell_comb \datamem|ram~5425 (
+// Equation(s):
+// \datamem|ram~5425_combout = ( \datamem|ram~2798_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2734_q ) ) ) ) # ( !\datamem|ram~2798_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2734_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2798_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2606_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2670_q ))) ) ) ) # ( !\datamem|ram~2798_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2606_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2670_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2606_q ),
+ .datab(!\datamem|ram~2670_q ),
+ .datac(!\datamem|ram~2734_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2798_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5425_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5425 .extended_lut = "off";
+defparam \datamem|ram~5425 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5425 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N0
+cyclonev_lcell_comb \datamem|ram~5427 (
+// Equation(s):
+// \datamem|ram~5427_combout = ( \datamem|ram~5424_combout & ( \datamem|ram~5425_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~5423_combout ) # (\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~5426_combout ))) ) ) ) # ( !\datamem|ram~5424_combout & ( \datamem|ram~5425_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~5423_combout ) # (\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~5426_combout & (\alu_unit|Mux13~4_combout ))) ) ) ) # ( \datamem|ram~5424_combout & ( !\datamem|ram~5425_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout & \datamem|ram~5423_combout )))) #
+// (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) # (\datamem|ram~5426_combout ))) ) ) ) # ( !\datamem|ram~5424_combout & ( !\datamem|ram~5425_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout &
+// \datamem|ram~5423_combout )))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5426_combout & (\alu_unit|Mux13~4_combout ))) ) ) )
+
+ .dataa(!\datamem|ram~5426_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~5423_combout ),
+ .datae(!\datamem|ram~5424_combout ),
+ .dataf(!\datamem|ram~5425_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5427_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5427 .extended_lut = "off";
+defparam \datamem|ram~5427 .lut_mask = 64'h01C131F10DCD3DFD;
+defparam \datamem|ram~5427 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y5_N40
+dffeas \datamem|ram~2990 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2990_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2990 .is_wysiwyg = "true";
+defparam \datamem|ram~2990 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X43_Y15_N57
+cyclonev_lcell_comb \datamem|ram~2974feeder (
+// Equation(s):
+// \datamem|ram~2974feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2974feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2974feeder .extended_lut = "off";
+defparam \datamem|ram~2974feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2974feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X43_Y15_N58
+dffeas \datamem|ram~2974 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2974feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2974_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2974 .is_wysiwyg = "true";
+defparam \datamem|ram~2974 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y15_N30
+cyclonev_lcell_comb \datamem|ram~2958feeder (
+// Equation(s):
+// \datamem|ram~2958feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2958feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2958feeder .extended_lut = "off";
+defparam \datamem|ram~2958feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2958feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N31
+dffeas \datamem|ram~2958 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2958feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2958_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2958 .is_wysiwyg = "true";
+defparam \datamem|ram~2958 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y18_N47
+dffeas \datamem|ram~3006 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3006_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3006 .is_wysiwyg = "true";
+defparam \datamem|ram~3006 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y18_N45
+cyclonev_lcell_comb \datamem|ram~5430 (
+// Equation(s):
+// \datamem|ram~5430_combout = ( \datamem|ram~3006_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2974_q ) ) ) ) # ( !\datamem|ram~3006_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2974_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3006_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2958_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2990_q )) ) ) ) # ( !\datamem|ram~3006_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2958_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2990_q )) ) ) )
+
+ .dataa(!\datamem|ram~2990_q ),
+ .datab(!\datamem|ram~2974_q ),
+ .datac(!\datamem|ram~2958_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3006_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5430_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5430 .extended_lut = "off";
+defparam \datamem|ram~5430 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5430 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y18_N12
+cyclonev_lcell_comb \datamem|ram~2830feeder (
+// Equation(s):
+// \datamem|ram~2830feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2830feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2830feeder .extended_lut = "off";
+defparam \datamem|ram~2830feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2830feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y18_N13
+dffeas \datamem|ram~2830 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2830feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2830_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2830 .is_wysiwyg = "true";
+defparam \datamem|ram~2830 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y18_N21
+cyclonev_lcell_comb \datamem|ram~2862feeder (
+// Equation(s):
+// \datamem|ram~2862feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2862feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2862feeder .extended_lut = "off";
+defparam \datamem|ram~2862feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2862feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y18_N22
+dffeas \datamem|ram~2862 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2862feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2862_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2862 .is_wysiwyg = "true";
+defparam \datamem|ram~2862 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N35
+dffeas \datamem|ram~2846 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2846_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2846 .is_wysiwyg = "true";
+defparam \datamem|ram~2846 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y18_N51
+cyclonev_lcell_comb \datamem|ram~2878feeder (
+// Equation(s):
+// \datamem|ram~2878feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2878feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2878feeder .extended_lut = "off";
+defparam \datamem|ram~2878feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2878feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y18_N52
+dffeas \datamem|ram~2878 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2878feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2878_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2878 .is_wysiwyg = "true";
+defparam \datamem|ram~2878 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N42
+cyclonev_lcell_comb \datamem|ram~5428 (
+// Equation(s):
+// \datamem|ram~5428_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2878_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2846_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2862_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2830_q ) ) )
+
+ .dataa(!\datamem|ram~2830_q ),
+ .datab(!\datamem|ram~2862_q ),
+ .datac(!\datamem|ram~2846_q ),
+ .datad(!\datamem|ram~2878_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5428_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5428 .extended_lut = "off";
+defparam \datamem|ram~5428 .lut_mask = 64'h555533330F0F00FF;
+defparam \datamem|ram~5428 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N34
+dffeas \datamem|ram~2926 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2926_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2926 .is_wysiwyg = "true";
+defparam \datamem|ram~2926 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y11_N21
+cyclonev_lcell_comb \datamem|ram~2894feeder (
+// Equation(s):
+// \datamem|ram~2894feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2894feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2894feeder .extended_lut = "off";
+defparam \datamem|ram~2894feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2894feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N22
+dffeas \datamem|ram~2894 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2894feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2894_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2894 .is_wysiwyg = "true";
+defparam \datamem|ram~2894 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N48
+cyclonev_lcell_comb \datamem|ram~2910feeder (
+// Equation(s):
+// \datamem|ram~2910feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2910feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2910feeder .extended_lut = "off";
+defparam \datamem|ram~2910feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2910feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N49
+dffeas \datamem|ram~2910 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2910feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2910_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2910 .is_wysiwyg = "true";
+defparam \datamem|ram~2910 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N50
+dffeas \datamem|ram~2942 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2942_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2942 .is_wysiwyg = "true";
+defparam \datamem|ram~2942 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y18_N48
+cyclonev_lcell_comb \datamem|ram~5429 (
+// Equation(s):
+// \datamem|ram~5429_combout = ( \datamem|ram~2942_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2910_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2942_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2910_q ) ) ) ) # ( \datamem|ram~2942_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2894_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2926_q )) ) ) ) # ( !\datamem|ram~2942_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2894_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2926_q )) ) ) )
+
+ .dataa(!\datamem|ram~2926_q ),
+ .datab(!\datamem|ram~2894_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2910_q ),
+ .datae(!\datamem|ram~2942_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5429_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5429 .extended_lut = "off";
+defparam \datamem|ram~5429 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5429 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N33
+cyclonev_lcell_comb \datamem|ram~3038feeder (
+// Equation(s):
+// \datamem|ram~3038feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3038feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3038feeder .extended_lut = "off";
+defparam \datamem|ram~3038feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3038feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N34
+dffeas \datamem|ram~3038 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3038feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3038_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3038 .is_wysiwyg = "true";
+defparam \datamem|ram~3038 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y20_N33
+cyclonev_lcell_comb \datamem|ram~3022feeder (
+// Equation(s):
+// \datamem|ram~3022feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3022feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3022feeder .extended_lut = "off";
+defparam \datamem|ram~3022feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3022feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N34
+dffeas \datamem|ram~3022 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3022feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3022_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3022 .is_wysiwyg = "true";
+defparam \datamem|ram~3022 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N31
+dffeas \datamem|ram~3054 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3054_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3054 .is_wysiwyg = "true";
+defparam \datamem|ram~3054 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y21_N2
+dffeas \datamem|ram~3070 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3070_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3070 .is_wysiwyg = "true";
+defparam \datamem|ram~3070 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y21_N0
+cyclonev_lcell_comb \datamem|ram~5431 (
+// Equation(s):
+// \datamem|ram~5431_combout = ( \datamem|ram~3070_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3038_q ) ) ) ) # ( !\datamem|ram~3070_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3038_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3070_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3022_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3054_q ))) ) ) ) # ( !\datamem|ram~3070_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3022_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3054_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3038_q ),
+ .datab(!\datamem|ram~3022_q ),
+ .datac(!\datamem|ram~3054_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3070_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5431_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5431 .extended_lut = "off";
+defparam \datamem|ram~5431 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5431 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N24
+cyclonev_lcell_comb \datamem|ram~5432 (
+// Equation(s):
+// \datamem|ram~5432_combout = ( \datamem|ram~5429_combout & ( \datamem|ram~5431_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5428_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5430_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~5429_combout & ( \datamem|ram~5431_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5428_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5430_combout )))) #
+// (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) ) ) ) # ( \datamem|ram~5429_combout & ( !\datamem|ram~5431_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5428_combout ))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~5430_combout )))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) ) ) ) # ( !\datamem|ram~5429_combout & ( !\datamem|ram~5431_combout & ( (!\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5428_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5430_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5430_combout ),
+ .datad(!\datamem|ram~5428_combout ),
+ .datae(!\datamem|ram~5429_combout ),
+ .dataf(!\datamem|ram~5431_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5432_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5432 .extended_lut = "off";
+defparam \datamem|ram~5432 .lut_mask = 64'h028A46CE139B57DF;
+defparam \datamem|ram~5432 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N18
+cyclonev_lcell_comb \datamem|ram~5433 (
+// Equation(s):
+// \datamem|ram~5433_combout = ( \datamem|ram~5427_combout & ( \datamem|ram~5432_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5417_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5422_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~5427_combout & ( \datamem|ram~5432_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5417_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5422_combout ))))) # (\alu_unit|Mux9~4_combout &
+// (\alu_unit|Mux10~6_combout )) ) ) ) # ( \datamem|ram~5427_combout & ( !\datamem|ram~5432_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5417_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5422_combout
+// ))))) # (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout )) ) ) ) # ( !\datamem|ram~5427_combout & ( !\datamem|ram~5432_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5417_combout )) #
+// (\alu_unit|Mux10~6_combout & ((\datamem|ram~5422_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~5417_combout ),
+ .datad(!\datamem|ram~5422_combout ),
+ .datae(!\datamem|ram~5427_combout ),
+ .dataf(!\datamem|ram~5432_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5433_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5433 .extended_lut = "off";
+defparam \datamem|ram~5433 .lut_mask = 64'h082A4C6E193B5D7F;
+defparam \datamem|ram~5433 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y10_N31
+dffeas \datamem|ram~94 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~94_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~94 .is_wysiwyg = "true";
+defparam \datamem|ram~94 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y4_N36
+cyclonev_lcell_comb \datamem|ram~606feeder (
+// Equation(s):
+// \datamem|ram~606feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~606feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~606feeder .extended_lut = "off";
+defparam \datamem|ram~606feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~606feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y4_N37
+dffeas \datamem|ram~606 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~606feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~606_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~606 .is_wysiwyg = "true";
+defparam \datamem|ram~606 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y19_N48
+cyclonev_lcell_comb \datamem|ram~862feeder (
+// Equation(s):
+// \datamem|ram~862feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~862feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~862feeder .extended_lut = "off";
+defparam \datamem|ram~862feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~862feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y19_N49
+dffeas \datamem|ram~862 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~862feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~862_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~862 .is_wysiwyg = "true";
+defparam \datamem|ram~862 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y17_N26
+dffeas \datamem|ram~350 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~350_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~350 .is_wysiwyg = "true";
+defparam \datamem|ram~350 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y17_N24
+cyclonev_lcell_comb \datamem|ram~5377 (
+// Equation(s):
+// \datamem|ram~5377_combout = ( \datamem|ram~350_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~862_q ) ) ) ) # ( !\datamem|ram~350_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout & \datamem|ram~862_q )
+// ) ) ) # ( \datamem|ram~350_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~94_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~606_q ))) ) ) ) # ( !\datamem|ram~350_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~94_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~606_q ))) ) ) )
+
+ .dataa(!\datamem|ram~94_q ),
+ .datab(!\datamem|ram~606_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~862_q ),
+ .datae(!\datamem|ram~350_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5377_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5377 .extended_lut = "off";
+defparam \datamem|ram~5377 .lut_mask = 64'h53535353000FF0FF;
+defparam \datamem|ram~5377 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N47
+dffeas \datamem|ram~30 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~30_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~30 .is_wysiwyg = "true";
+defparam \datamem|ram~30 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N49
+dffeas \datamem|ram~542 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~542_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~542 .is_wysiwyg = "true";
+defparam \datamem|ram~542 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N31
+dffeas \datamem|ram~798 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~798_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~798 .is_wysiwyg = "true";
+defparam \datamem|ram~798 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y17_N14
+dffeas \datamem|ram~286 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~286_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~286 .is_wysiwyg = "true";
+defparam \datamem|ram~286 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y17_N12
+cyclonev_lcell_comb \datamem|ram~5376 (
+// Equation(s):
+// \datamem|ram~5376_combout = ( \datamem|ram~286_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~798_q ) ) ) ) # ( !\datamem|ram~286_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout & \datamem|ram~798_q )
+// ) ) ) # ( \datamem|ram~286_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~30_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~542_q ))) ) ) ) # ( !\datamem|ram~286_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~30_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~542_q ))) ) ) )
+
+ .dataa(!\datamem|ram~30_q ),
+ .datab(!\datamem|ram~542_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~798_q ),
+ .datae(!\datamem|ram~286_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5376_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5376 .extended_lut = "off";
+defparam \datamem|ram~5376 .lut_mask = 64'h53535353000FF0FF;
+defparam \datamem|ram~5376 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N51
+cyclonev_lcell_comb \datamem|ram~734feeder (
+// Equation(s):
+// \datamem|ram~734feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~734feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~734feeder .extended_lut = "off";
+defparam \datamem|ram~734feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~734feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N53
+dffeas \datamem|ram~734 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~734feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~734_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~734 .is_wysiwyg = "true";
+defparam \datamem|ram~734 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N18
+cyclonev_lcell_comb \datamem|ram~222feeder (
+// Equation(s):
+// \datamem|ram~222feeder_combout = \reg_file|reg_read_data_2[14]~14_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~222feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~222feeder .extended_lut = "off";
+defparam \datamem|ram~222feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~222feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N19
+dffeas \datamem|ram~222 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~222feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~222_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~222 .is_wysiwyg = "true";
+defparam \datamem|ram~222 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y22_N45
+cyclonev_lcell_comb \datamem|ram~478feeder (
+// Equation(s):
+// \datamem|ram~478feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~478feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~478feeder .extended_lut = "off";
+defparam \datamem|ram~478feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~478feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y22_N46
+dffeas \datamem|ram~478 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~478feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~478_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~478 .is_wysiwyg = "true";
+defparam \datamem|ram~478 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N56
+dffeas \datamem|ram~990 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~990_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~990 .is_wysiwyg = "true";
+defparam \datamem|ram~990 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N54
+cyclonev_lcell_comb \datamem|ram~5379 (
+// Equation(s):
+// \datamem|ram~5379_combout = ( \datamem|ram~990_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~478_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~990_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & \datamem|ram~478_q )
+// ) ) ) # ( \datamem|ram~990_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~222_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~734_q )) ) ) ) # ( !\datamem|ram~990_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~222_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~734_q )) ) ) )
+
+ .dataa(!\datamem|ram~734_q ),
+ .datab(!\datamem|ram~222_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~478_q ),
+ .datae(!\datamem|ram~990_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5379_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5379 .extended_lut = "off";
+defparam \datamem|ram~5379 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5379 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y18_N21
+cyclonev_lcell_comb \datamem|ram~670feeder (
+// Equation(s):
+// \datamem|ram~670feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~670feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~670feeder .extended_lut = "off";
+defparam \datamem|ram~670feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~670feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y18_N22
+dffeas \datamem|ram~670 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~670feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~670_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~670 .is_wysiwyg = "true";
+defparam \datamem|ram~670 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N14
+dffeas \datamem|ram~158 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~158_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~158 .is_wysiwyg = "true";
+defparam \datamem|ram~158 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N58
+dffeas \datamem|ram~414 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~414_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~414 .is_wysiwyg = "true";
+defparam \datamem|ram~414 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y17_N44
+dffeas \datamem|ram~926 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~926_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~926 .is_wysiwyg = "true";
+defparam \datamem|ram~926 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y17_N42
+cyclonev_lcell_comb \datamem|ram~5378 (
+// Equation(s):
+// \datamem|ram~5378_combout = ( \datamem|ram~926_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~414_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~926_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & \datamem|ram~414_q )
+// ) ) ) # ( \datamem|ram~926_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~158_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~670_q )) ) ) ) # ( !\datamem|ram~926_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~158_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~670_q )) ) ) )
+
+ .dataa(!\datamem|ram~670_q ),
+ .datab(!\datamem|ram~158_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~414_q ),
+ .datae(!\datamem|ram~926_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5378_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5378 .extended_lut = "off";
+defparam \datamem|ram~5378 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5378 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y17_N18
+cyclonev_lcell_comb \datamem|ram~5380 (
+// Equation(s):
+// \datamem|ram~5380_combout = ( \datamem|ram~5379_combout & ( \datamem|ram~5378_combout & ( ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~5376_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~5377_combout ))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~5379_combout & ( \datamem|ram~5378_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~5376_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~5377_combout
+// ))) ) ) ) # ( \datamem|ram~5379_combout & ( !\datamem|ram~5378_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~5376_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~5377_combout )) #
+// (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~5379_combout & ( !\datamem|ram~5378_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~5376_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~5377_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5377_combout ),
+ .datad(!\datamem|ram~5376_combout ),
+ .datae(!\datamem|ram~5379_combout ),
+ .dataf(!\datamem|ram~5378_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5380_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5380 .extended_lut = "off";
+defparam \datamem|ram~5380 .lut_mask = 64'h048C159D26AE37BF;
+defparam \datamem|ram~5380 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N27
+cyclonev_lcell_comb \datamem|ram~382feeder (
+// Equation(s):
+// \datamem|ram~382feeder_combout = \reg_file|reg_read_data_2[14]~14_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~382feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~382feeder .extended_lut = "off";
+defparam \datamem|ram~382feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~382feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N28
+dffeas \datamem|ram~382 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~382feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~382_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~382 .is_wysiwyg = "true";
+defparam \datamem|ram~382 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N22
+dffeas \datamem|ram~446 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~446_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~446 .is_wysiwyg = "true";
+defparam \datamem|ram~446 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N34
+dffeas \datamem|ram~318 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~318_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~318 .is_wysiwyg = "true";
+defparam \datamem|ram~318 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y5_N26
+dffeas \datamem|ram~510 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~510_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~510 .is_wysiwyg = "true";
+defparam \datamem|ram~510 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N24
+cyclonev_lcell_comb \datamem|ram~5387 (
+// Equation(s):
+// \datamem|ram~5387_combout = ( \datamem|ram~510_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~446_q ) ) ) ) # ( !\datamem|ram~510_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~446_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~510_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~318_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~382_q )) ) ) ) # ( !\datamem|ram~510_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~318_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~382_q )) ) ) )
+
+ .dataa(!\datamem|ram~382_q ),
+ .datab(!\datamem|ram~446_q ),
+ .datac(!\datamem|ram~318_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~510_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5387_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5387 .extended_lut = "off";
+defparam \datamem|ram~5387 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5387 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N5
+dffeas \datamem|ram~958 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~958_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~958 .is_wysiwyg = "true";
+defparam \datamem|ram~958 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N49
+dffeas \datamem|ram~830 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~830_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~830 .is_wysiwyg = "true";
+defparam \datamem|ram~830 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N48
+cyclonev_lcell_comb \datamem|ram~894feeder (
+// Equation(s):
+// \datamem|ram~894feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~894feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~894feeder .extended_lut = "off";
+defparam \datamem|ram~894feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~894feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N49
+dffeas \datamem|ram~894 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~894feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~894_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~894 .is_wysiwyg = "true";
+defparam \datamem|ram~894 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N20
+dffeas \datamem|ram~1022 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1022_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1022 .is_wysiwyg = "true";
+defparam \datamem|ram~1022 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y5_N18
+cyclonev_lcell_comb \datamem|ram~5389 (
+// Equation(s):
+// \datamem|ram~5389_combout = ( \datamem|ram~1022_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~958_q ) ) ) ) # ( !\datamem|ram~1022_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~958_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1022_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~830_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~894_q ))) ) ) ) # ( !\datamem|ram~1022_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~830_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~894_q ))) ) ) )
+
+ .dataa(!\datamem|ram~958_q ),
+ .datab(!\datamem|ram~830_q ),
+ .datac(!\datamem|ram~894_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1022_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5389_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5389 .extended_lut = "off";
+defparam \datamem|ram~5389 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5389 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N28
+dffeas \datamem|ram~126 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~126_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~126 .is_wysiwyg = "true";
+defparam \datamem|ram~126 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N45
+cyclonev_lcell_comb \datamem|ram~62feeder (
+// Equation(s):
+// \datamem|ram~62feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~62feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~62feeder .extended_lut = "off";
+defparam \datamem|ram~62feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~62feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N46
+dffeas \datamem|ram~62 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~62feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~62_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~62 .is_wysiwyg = "true";
+defparam \datamem|ram~62 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N50
+dffeas \datamem|ram~190 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~190_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~190 .is_wysiwyg = "true";
+defparam \datamem|ram~190 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y5_N38
+dffeas \datamem|ram~254 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~254_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~254 .is_wysiwyg = "true";
+defparam \datamem|ram~254 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N36
+cyclonev_lcell_comb \datamem|ram~5386 (
+// Equation(s):
+// \datamem|ram~5386_combout = ( \datamem|ram~254_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~190_q ) ) ) ) # ( !\datamem|ram~254_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~190_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~254_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~62_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~126_q )) ) ) ) # ( !\datamem|ram~254_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~62_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~126_q )) ) ) )
+
+ .dataa(!\datamem|ram~126_q ),
+ .datab(!\datamem|ram~62_q ),
+ .datac(!\datamem|ram~190_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~254_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5386_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5386 .extended_lut = "off";
+defparam \datamem|ram~5386 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5386 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N13
+dffeas \datamem|ram~702 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~702_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~702 .is_wysiwyg = "true";
+defparam \datamem|ram~702 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N10
+dffeas \datamem|ram~638 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~638_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~638 .is_wysiwyg = "true";
+defparam \datamem|ram~638 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N58
+dffeas \datamem|ram~574 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~574_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~574 .is_wysiwyg = "true";
+defparam \datamem|ram~574 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y5_N8
+dffeas \datamem|ram~766 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~766_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~766 .is_wysiwyg = "true";
+defparam \datamem|ram~766 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N6
+cyclonev_lcell_comb \datamem|ram~5388 (
+// Equation(s):
+// \datamem|ram~5388_combout = ( \datamem|ram~766_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~702_q ) ) ) ) # ( !\datamem|ram~766_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~702_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~766_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~574_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~638_q )) ) ) ) # ( !\datamem|ram~766_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~574_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~638_q )) ) ) )
+
+ .dataa(!\datamem|ram~702_q ),
+ .datab(!\datamem|ram~638_q ),
+ .datac(!\datamem|ram~574_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~766_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5388_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5388 .extended_lut = "off";
+defparam \datamem|ram~5388 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5388 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y5_N12
+cyclonev_lcell_comb \datamem|ram~5390 (
+// Equation(s):
+// \datamem|ram~5390_combout = ( \datamem|ram~5386_combout & ( \datamem|ram~5388_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5387_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5389_combout )))) ) ) )
+// # ( !\datamem|ram~5386_combout & ( \datamem|ram~5388_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5387_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5389_combout ))))) ) ) ) # ( \datamem|ram~5386_combout & ( !\datamem|ram~5388_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5387_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5389_combout ))))) ) ) ) # ( !\datamem|ram~5386_combout & ( !\datamem|ram~5388_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5387_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5389_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5387_combout ),
+ .datad(!\datamem|ram~5389_combout ),
+ .datae(!\datamem|ram~5386_combout ),
+ .dataf(!\datamem|ram~5388_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5390_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5390 .extended_lut = "off";
+defparam \datamem|ram~5390 .lut_mask = 64'h04158C9D2637AEBF;
+defparam \datamem|ram~5390 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y15_N48
+cyclonev_lcell_comb \datamem|ram~238feeder (
+// Equation(s):
+// \datamem|ram~238feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~238feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~238feeder .extended_lut = "off";
+defparam \datamem|ram~238feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~238feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N50
+dffeas \datamem|ram~238 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~238feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~238_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~238 .is_wysiwyg = "true";
+defparam \datamem|ram~238 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N52
+dffeas \datamem|ram~750 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~750_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~750 .is_wysiwyg = "true";
+defparam \datamem|ram~750 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N35
+dffeas \datamem|ram~494 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~494_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~494 .is_wysiwyg = "true";
+defparam \datamem|ram~494 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N56
+dffeas \datamem|ram~1006 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1006_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1006 .is_wysiwyg = "true";
+defparam \datamem|ram~1006 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y15_N54
+cyclonev_lcell_comb \datamem|ram~5384 (
+// Equation(s):
+// \datamem|ram~5384_combout = ( \datamem|ram~1006_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~494_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~1006_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & \datamem|ram~494_q
+// ) ) ) ) # ( \datamem|ram~1006_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~238_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~750_q ))) ) ) ) # ( !\datamem|ram~1006_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~238_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~750_q ))) ) ) )
+
+ .dataa(!\datamem|ram~238_q ),
+ .datab(!\datamem|ram~750_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~494_q ),
+ .datae(!\datamem|ram~1006_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5384_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5384 .extended_lut = "off";
+defparam \datamem|ram~5384 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5384 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N52
+dffeas \datamem|ram~558 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~558_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~558 .is_wysiwyg = "true";
+defparam \datamem|ram~558 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N10
+dffeas \datamem|ram~814 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~814_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~814 .is_wysiwyg = "true";
+defparam \datamem|ram~814 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y18_N53
+dffeas \datamem|ram~302 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~302_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~302 .is_wysiwyg = "true";
+defparam \datamem|ram~302 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N28
+dffeas \datamem|ram~46 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~46_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~46 .is_wysiwyg = "true";
+defparam \datamem|ram~46 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y15_N36
+cyclonev_lcell_comb \datamem|ram~5381 (
+// Equation(s):
+// \datamem|ram~5381_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~814_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~302_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~558_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~46_q ) ) )
+
+ .dataa(!\datamem|ram~558_q ),
+ .datab(!\datamem|ram~814_q ),
+ .datac(!\datamem|ram~302_q ),
+ .datad(!\datamem|ram~46_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5381_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5381 .extended_lut = "off";
+defparam \datamem|ram~5381 .lut_mask = 64'h00FF55550F0F3333;
+defparam \datamem|ram~5381 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N58
+dffeas \datamem|ram~686 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~686_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~686 .is_wysiwyg = "true";
+defparam \datamem|ram~686 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N40
+dffeas \datamem|ram~430 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~430_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~430 .is_wysiwyg = "true";
+defparam \datamem|ram~430 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y18_N24
+cyclonev_lcell_comb \datamem|ram~942feeder (
+// Equation(s):
+// \datamem|ram~942feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~942feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~942feeder .extended_lut = "off";
+defparam \datamem|ram~942feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~942feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y18_N25
+dffeas \datamem|ram~942 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~942feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~942_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~942 .is_wysiwyg = "true";
+defparam \datamem|ram~942 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N33
+cyclonev_lcell_comb \datamem|ram~174feeder (
+// Equation(s):
+// \datamem|ram~174feeder_combout = \reg_file|reg_read_data_2[14]~14_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~174feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~174feeder .extended_lut = "off";
+defparam \datamem|ram~174feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~174feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N35
+dffeas \datamem|ram~174 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~174feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~174_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~174 .is_wysiwyg = "true";
+defparam \datamem|ram~174 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N12
+cyclonev_lcell_comb \datamem|ram~5383 (
+// Equation(s):
+// \datamem|ram~5383_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~942_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~430_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~686_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~174_q ) ) )
+
+ .dataa(!\datamem|ram~686_q ),
+ .datab(!\datamem|ram~430_q ),
+ .datac(!\datamem|ram~942_q ),
+ .datad(!\datamem|ram~174_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5383_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5383 .extended_lut = "off";
+defparam \datamem|ram~5383 .lut_mask = 64'h00FF555533330F0F;
+defparam \datamem|ram~5383 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N26
+dffeas \datamem|ram~366 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~366_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~366 .is_wysiwyg = "true";
+defparam \datamem|ram~366 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N19
+dffeas \datamem|ram~622 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~622_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~622 .is_wysiwyg = "true";
+defparam \datamem|ram~622 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y6_N9
+cyclonev_lcell_comb \datamem|ram~110feeder (
+// Equation(s):
+// \datamem|ram~110feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~110feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~110feeder .extended_lut = "off";
+defparam \datamem|ram~110feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~110feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y6_N11
+dffeas \datamem|ram~110 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~110feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~110_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~110 .is_wysiwyg = "true";
+defparam \datamem|ram~110 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N2
+dffeas \datamem|ram~878 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~878_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~878 .is_wysiwyg = "true";
+defparam \datamem|ram~878 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y13_N0
+cyclonev_lcell_comb \datamem|ram~5382 (
+// Equation(s):
+// \datamem|ram~5382_combout = ( \datamem|ram~878_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~366_q ) ) ) ) # ( !\datamem|ram~878_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~366_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~878_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~110_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~622_q )) ) ) ) # ( !\datamem|ram~878_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~110_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~622_q )) ) ) )
+
+ .dataa(!\datamem|ram~366_q ),
+ .datab(!\datamem|ram~622_q ),
+ .datac(!\datamem|ram~110_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~878_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5382_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5382 .extended_lut = "off";
+defparam \datamem|ram~5382 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5382 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y15_N42
+cyclonev_lcell_comb \datamem|ram~5385 (
+// Equation(s):
+// \datamem|ram~5385_combout = ( \datamem|ram~5383_combout & ( \datamem|ram~5382_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~5381_combout )))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~5384_combout ))) ) ) ) # ( !\datamem|ram~5383_combout & ( \datamem|ram~5382_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~5381_combout )))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~5384_combout & ((\alu_unit|Mux12~2_combout )))) ) ) ) # ( \datamem|ram~5383_combout & ( !\datamem|ram~5382_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~5381_combout & !\alu_unit|Mux12~2_combout )))) #
+// (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~5384_combout ))) ) ) ) # ( !\datamem|ram~5383_combout & ( !\datamem|ram~5382_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~5381_combout &
+// !\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5384_combout & ((\alu_unit|Mux12~2_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5384_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5381_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~5383_combout ),
+ .dataf(!\datamem|ram~5382_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5385_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5385 .extended_lut = "off";
+defparam \datamem|ram~5385 .lut_mask = 64'h0C113F110CDD3FDD;
+defparam \datamem|ram~5385 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N58
+dffeas \datamem|ram~910 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~910_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~910 .is_wysiwyg = "true";
+defparam \datamem|ram~910 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N17
+dffeas \datamem|ram~142 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~142_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~142 .is_wysiwyg = "true";
+defparam \datamem|ram~142 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N19
+dffeas \datamem|ram~398 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~398_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~398 .is_wysiwyg = "true";
+defparam \datamem|ram~398 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N22
+dffeas \datamem|ram~654 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~654_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~654 .is_wysiwyg = "true";
+defparam \datamem|ram~654 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N48
+cyclonev_lcell_comb \datamem|ram~5373 (
+// Equation(s):
+// \datamem|ram~5373_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~910_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~398_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~654_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~142_q ) ) )
+
+ .dataa(!\datamem|ram~910_q ),
+ .datab(!\datamem|ram~142_q ),
+ .datac(!\datamem|ram~398_q ),
+ .datad(!\datamem|ram~654_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5373_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5373 .extended_lut = "off";
+defparam \datamem|ram~5373 .lut_mask = 64'h333300FF0F0F5555;
+defparam \datamem|ram~5373 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y6_N22
+dffeas \datamem|ram~78 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~78_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~78 .is_wysiwyg = "true";
+defparam \datamem|ram~78 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y5_N31
+dffeas \datamem|ram~846 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~846_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~846 .is_wysiwyg = "true";
+defparam \datamem|ram~846 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y7_N15
+cyclonev_lcell_comb \datamem|ram~590feeder (
+// Equation(s):
+// \datamem|ram~590feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~590feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~590feeder .extended_lut = "off";
+defparam \datamem|ram~590feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~590feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y7_N16
+dffeas \datamem|ram~590 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~590feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~590_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~590 .is_wysiwyg = "true";
+defparam \datamem|ram~590 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y7_N32
+dffeas \datamem|ram~334 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~334_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~334 .is_wysiwyg = "true";
+defparam \datamem|ram~334 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y7_N30
+cyclonev_lcell_comb \datamem|ram~5372 (
+// Equation(s):
+// \datamem|ram~5372_combout = ( \datamem|ram~334_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~846_q ) ) ) ) # ( !\datamem|ram~334_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~846_q & \alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~334_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~78_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~590_q ))) ) ) ) # ( !\datamem|ram~334_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~78_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~590_q ))) ) ) )
+
+ .dataa(!\datamem|ram~78_q ),
+ .datab(!\datamem|ram~846_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~590_q ),
+ .datae(!\datamem|ram~334_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5372_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5372 .extended_lut = "off";
+defparam \datamem|ram~5372 .lut_mask = 64'h505F505F0303F3F3;
+defparam \datamem|ram~5372 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y9_N50
+dffeas \datamem|ram~462 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~462_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~462 .is_wysiwyg = "true";
+defparam \datamem|ram~462 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y5_N12
+cyclonev_lcell_comb \datamem|ram~206feeder (
+// Equation(s):
+// \datamem|ram~206feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~206feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~206feeder .extended_lut = "off";
+defparam \datamem|ram~206feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~206feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y5_N14
+dffeas \datamem|ram~206 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~206feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~206_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~206 .is_wysiwyg = "true";
+defparam \datamem|ram~206 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y9_N44
+dffeas \datamem|ram~718 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~718_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~718 .is_wysiwyg = "true";
+defparam \datamem|ram~718 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y9_N2
+dffeas \datamem|ram~974 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~974_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~974 .is_wysiwyg = "true";
+defparam \datamem|ram~974 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y9_N0
+cyclonev_lcell_comb \datamem|ram~5374 (
+// Equation(s):
+// \datamem|ram~5374_combout = ( \datamem|ram~974_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~462_q ) ) ) ) # ( !\datamem|ram~974_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~462_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~974_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~206_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~718_q ))) ) ) ) # ( !\datamem|ram~974_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~206_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~718_q ))) ) ) )
+
+ .dataa(!\datamem|ram~462_q ),
+ .datab(!\datamem|ram~206_q ),
+ .datac(!\datamem|ram~718_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~974_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5374_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5374 .extended_lut = "off";
+defparam \datamem|ram~5374 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5374 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y9_N20
+dffeas \datamem|ram~14 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~14_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~14 .is_wysiwyg = "true";
+defparam \datamem|ram~14 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y9_N58
+dffeas \datamem|ram~526 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~526_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~526 .is_wysiwyg = "true";
+defparam \datamem|ram~526 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N11
+dffeas \datamem|ram~782 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~782_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~782 .is_wysiwyg = "true";
+defparam \datamem|ram~782 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y9_N32
+dffeas \datamem|ram~270 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~270_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~270 .is_wysiwyg = "true";
+defparam \datamem|ram~270 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N30
+cyclonev_lcell_comb \datamem|ram~5371 (
+// Equation(s):
+// \datamem|ram~5371_combout = ( \datamem|ram~270_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~526_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~782_q ))) ) ) ) # ( !\datamem|ram~270_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~526_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~782_q ))) ) ) ) # ( \datamem|ram~270_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~14_q ) ) ) ) # (
+// !\datamem|ram~270_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~14_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~14_q ),
+ .datab(!\datamem|ram~526_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~782_q ),
+ .datae(!\datamem|ram~270_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5371_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5371 .extended_lut = "off";
+defparam \datamem|ram~5371 .lut_mask = 64'h50505F5F303F303F;
+defparam \datamem|ram~5371 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y7_N54
+cyclonev_lcell_comb \datamem|ram~5375 (
+// Equation(s):
+// \datamem|ram~5375_combout = ( \datamem|ram~5374_combout & ( \datamem|ram~5371_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~5373_combout ))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) #
+// (\datamem|ram~5372_combout )))) ) ) ) # ( !\datamem|ram~5374_combout & ( \datamem|ram~5371_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~5373_combout ))) # (\alu_unit|Mux12~2_combout &
+// (((\datamem|ram~5372_combout & !\alu_unit|Mux11~4_combout )))) ) ) ) # ( \datamem|ram~5374_combout & ( !\datamem|ram~5371_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~5373_combout & ((\alu_unit|Mux11~4_combout )))) #
+// (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) # (\datamem|ram~5372_combout )))) ) ) ) # ( !\datamem|ram~5374_combout & ( !\datamem|ram~5371_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~5373_combout &
+// ((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~5372_combout & !\alu_unit|Mux11~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5373_combout ),
+ .datab(!\datamem|ram~5372_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~5374_combout ),
+ .dataf(!\datamem|ram~5371_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5375_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5375 .extended_lut = "off";
+defparam \datamem|ram~5375 .lut_mask = 64'h0350035FF350F35F;
+defparam \datamem|ram~5375 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N42
+cyclonev_lcell_comb \datamem|ram~5391 (
+// Equation(s):
+// \datamem|ram~5391_combout = ( \datamem|ram~5385_combout & ( \datamem|ram~5375_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5380_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~5390_combout )))) ) )
+// ) # ( !\datamem|ram~5385_combout & ( \datamem|ram~5375_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~5380_combout ))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~5390_combout & \alu_unit|Mux14~6_combout
+// )))) ) ) ) # ( \datamem|ram~5385_combout & ( !\datamem|ram~5375_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~5380_combout & ((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~5390_combout )))) ) ) ) # ( !\datamem|ram~5385_combout & ( !\datamem|ram~5375_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~5380_combout )) # (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~5390_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5380_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5390_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~5385_combout ),
+ .dataf(!\datamem|ram~5375_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5391_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5391 .extended_lut = "off";
+defparam \datamem|ram~5391 .lut_mask = 64'h00473347CC47FF47;
+defparam \datamem|ram~5391 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N46
+dffeas \datamem|ram~3438 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3438_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3438 .is_wysiwyg = "true";
+defparam \datamem|ram~3438 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y17_N45
+cyclonev_lcell_comb \datamem|ram~3182feeder (
+// Equation(s):
+// \datamem|ram~3182feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3182feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3182feeder .extended_lut = "off";
+defparam \datamem|ram~3182feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3182feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N46
+dffeas \datamem|ram~3182 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3182feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3182_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3182 .is_wysiwyg = "true";
+defparam \datamem|ram~3182 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y19_N44
+dffeas \datamem|ram~3694 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3694_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3694 .is_wysiwyg = "true";
+defparam \datamem|ram~3694 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y19_N38
+dffeas \datamem|ram~3950 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3950_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3950 .is_wysiwyg = "true";
+defparam \datamem|ram~3950 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y19_N36
+cyclonev_lcell_comb \datamem|ram~5445 (
+// Equation(s):
+// \datamem|ram~5445_combout = ( \datamem|ram~3950_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3694_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~3950_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~3694_q ) ) ) ) # ( \datamem|ram~3950_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3182_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3438_q )) ) ) ) # ( !\datamem|ram~3950_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3182_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3438_q )) ) ) )
+
+ .dataa(!\datamem|ram~3438_q ),
+ .datab(!\datamem|ram~3182_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3694_q ),
+ .datae(!\datamem|ram~3950_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5445_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5445 .extended_lut = "off";
+defparam \datamem|ram~5445 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5445 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N56
+dffeas \datamem|ram~3246 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3246_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3246 .is_wysiwyg = "true";
+defparam \datamem|ram~3246 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y19_N51
+cyclonev_lcell_comb \datamem|ram~3502feeder (
+// Equation(s):
+// \datamem|ram~3502feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3502feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3502feeder .extended_lut = "off";
+defparam \datamem|ram~3502feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3502feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N52
+dffeas \datamem|ram~3502 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3502feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3502_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3502 .is_wysiwyg = "true";
+defparam \datamem|ram~3502 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N2
+dffeas \datamem|ram~3758 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3758_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3758 .is_wysiwyg = "true";
+defparam \datamem|ram~3758 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N26
+dffeas \datamem|ram~4014 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4014_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4014 .is_wysiwyg = "true";
+defparam \datamem|ram~4014 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N24
+cyclonev_lcell_comb \datamem|ram~5446 (
+// Equation(s):
+// \datamem|ram~5446_combout = ( \datamem|ram~4014_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3758_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~4014_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~3758_q ) ) ) ) # ( \datamem|ram~4014_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3246_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3502_q ))) ) ) ) # ( !\datamem|ram~4014_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3246_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3502_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3246_q ),
+ .datab(!\datamem|ram~3502_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3758_q ),
+ .datae(!\datamem|ram~4014_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5446_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5446 .extended_lut = "off";
+defparam \datamem|ram~5446 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5446 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N2
+dffeas \datamem|ram~3374 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3374_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3374 .is_wysiwyg = "true";
+defparam \datamem|ram~3374 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N49
+dffeas \datamem|ram~3630 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3630_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3630 .is_wysiwyg = "true";
+defparam \datamem|ram~3630 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y8_N9
+cyclonev_lcell_comb \datamem|ram~3118feeder (
+// Equation(s):
+// \datamem|ram~3118feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3118feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3118feeder .extended_lut = "off";
+defparam \datamem|ram~3118feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3118feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N10
+dffeas \datamem|ram~3118 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3118feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3118_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3118 .is_wysiwyg = "true";
+defparam \datamem|ram~3118 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N8
+dffeas \datamem|ram~3886 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3886_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3886 .is_wysiwyg = "true";
+defparam \datamem|ram~3886 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y16_N6
+cyclonev_lcell_comb \datamem|ram~5444 (
+// Equation(s):
+// \datamem|ram~5444_combout = ( \datamem|ram~3886_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3630_q ) ) ) ) # ( !\datamem|ram~3886_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3630_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3886_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3118_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3374_q )) ) ) ) # ( !\datamem|ram~3886_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3118_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3374_q )) ) ) )
+
+ .dataa(!\datamem|ram~3374_q ),
+ .datab(!\datamem|ram~3630_q ),
+ .datac(!\datamem|ram~3118_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3886_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5444_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5444 .extended_lut = "off";
+defparam \datamem|ram~5444 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5444 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N40
+dffeas \datamem|ram~3822 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3822_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3822 .is_wysiwyg = "true";
+defparam \datamem|ram~3822 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y19_N42
+cyclonev_lcell_comb \datamem|ram~3310feeder (
+// Equation(s):
+// \datamem|ram~3310feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3310feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3310feeder .extended_lut = "off";
+defparam \datamem|ram~3310feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3310feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y19_N43
+dffeas \datamem|ram~3310 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3310feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3310_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3310 .is_wysiwyg = "true";
+defparam \datamem|ram~3310 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N6
+cyclonev_lcell_comb \datamem|ram~3566feeder (
+// Equation(s):
+// \datamem|ram~3566feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3566feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3566feeder .extended_lut = "off";
+defparam \datamem|ram~3566feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3566feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N7
+dffeas \datamem|ram~3566 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3566feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3566_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3566 .is_wysiwyg = "true";
+defparam \datamem|ram~3566 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N48
+cyclonev_lcell_comb \datamem|ram~4078feeder (
+// Equation(s):
+// \datamem|ram~4078feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4078feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4078feeder .extended_lut = "off";
+defparam \datamem|ram~4078feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4078feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N49
+dffeas \datamem|ram~4078 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4078feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4078_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4078 .is_wysiwyg = "true";
+defparam \datamem|ram~4078 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N0
+cyclonev_lcell_comb \datamem|ram~5447 (
+// Equation(s):
+// \datamem|ram~5447_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~4078_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~3822_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~3566_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~3310_q ) ) )
+
+ .dataa(!\datamem|ram~3822_q ),
+ .datab(!\datamem|ram~3310_q ),
+ .datac(!\datamem|ram~3566_q ),
+ .datad(!\datamem|ram~4078_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5447_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5447 .extended_lut = "off";
+defparam \datamem|ram~5447 .lut_mask = 64'h33330F0F555500FF;
+defparam \datamem|ram~5447 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N18
+cyclonev_lcell_comb \datamem|ram~5448 (
+// Equation(s):
+// \datamem|ram~5448_combout = ( \datamem|ram~5444_combout & ( \datamem|ram~5447_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) # (\datamem|ram~5446_combout )))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )) #
+// (\datamem|ram~5445_combout ))) ) ) ) # ( !\datamem|ram~5444_combout & ( \datamem|ram~5447_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout & \datamem|ram~5446_combout )))) # (\alu_unit|Mux12~2_combout &
+// (((\alu_unit|Mux11~4_combout )) # (\datamem|ram~5445_combout ))) ) ) ) # ( \datamem|ram~5444_combout & ( !\datamem|ram~5447_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) # (\datamem|ram~5446_combout )))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~5445_combout & (!\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~5444_combout & ( !\datamem|ram~5447_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout & \datamem|ram~5446_combout
+// )))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~5445_combout & (!\alu_unit|Mux11~4_combout ))) ) ) )
+
+ .dataa(!\datamem|ram~5445_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~5446_combout ),
+ .datae(!\datamem|ram~5444_combout ),
+ .dataf(!\datamem|ram~5447_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5448_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5448 .extended_lut = "off";
+defparam \datamem|ram~5448 .lut_mask = 64'h101CD0DC131FD3DF;
+defparam \datamem|ram~5448 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N50
+dffeas \datamem|ram~3790 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3790_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3790 .is_wysiwyg = "true";
+defparam \datamem|ram~3790 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N49
+dffeas \datamem|ram~3278 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3278_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3278 .is_wysiwyg = "true";
+defparam \datamem|ram~3278 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y19_N33
+cyclonev_lcell_comb \datamem|ram~3534feeder (
+// Equation(s):
+// \datamem|ram~3534feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3534feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3534feeder .extended_lut = "off";
+defparam \datamem|ram~3534feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3534feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y19_N34
+dffeas \datamem|ram~3534 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3534feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3534_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3534 .is_wysiwyg = "true";
+defparam \datamem|ram~3534 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y19_N32
+dffeas \datamem|ram~4046 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4046_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4046 .is_wysiwyg = "true";
+defparam \datamem|ram~4046 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y19_N30
+cyclonev_lcell_comb \datamem|ram~5437 (
+// Equation(s):
+// \datamem|ram~5437_combout = ( \datamem|ram~4046_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3534_q ) ) ) ) # ( !\datamem|ram~4046_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3534_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~4046_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3278_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3790_q )) ) ) ) # ( !\datamem|ram~4046_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3278_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3790_q )) ) ) )
+
+ .dataa(!\datamem|ram~3790_q ),
+ .datab(!\datamem|ram~3278_q ),
+ .datac(!\datamem|ram~3534_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4046_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5437_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5437 .extended_lut = "off";
+defparam \datamem|ram~5437 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5437 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N22
+dffeas \datamem|ram~3086 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3086_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3086 .is_wysiwyg = "true";
+defparam \datamem|ram~3086 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N33
+cyclonev_lcell_comb \datamem|ram~3342feeder (
+// Equation(s):
+// \datamem|ram~3342feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3342feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3342feeder .extended_lut = "off";
+defparam \datamem|ram~3342feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3342feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N34
+dffeas \datamem|ram~3342 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3342feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3342_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3342 .is_wysiwyg = "true";
+defparam \datamem|ram~3342 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N12
+cyclonev_lcell_comb \datamem|ram~3598feeder (
+// Equation(s):
+// \datamem|ram~3598feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3598feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3598feeder .extended_lut = "off";
+defparam \datamem|ram~3598feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3598feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N13
+dffeas \datamem|ram~3598 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3598feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3598_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3598 .is_wysiwyg = "true";
+defparam \datamem|ram~3598 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N56
+dffeas \datamem|ram~3854 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3854_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3854 .is_wysiwyg = "true";
+defparam \datamem|ram~3854 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y21_N54
+cyclonev_lcell_comb \datamem|ram~5434 (
+// Equation(s):
+// \datamem|ram~5434_combout = ( \datamem|ram~3854_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3342_q ) ) ) ) # ( !\datamem|ram~3854_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3342_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3854_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3086_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3598_q ))) ) ) ) # ( !\datamem|ram~3854_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3086_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3598_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3086_q ),
+ .datab(!\datamem|ram~3342_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3598_q ),
+ .datae(!\datamem|ram~3854_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5434_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5434 .extended_lut = "off";
+defparam \datamem|ram~5434 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5434 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N34
+dffeas \datamem|ram~3726 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3726_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3726 .is_wysiwyg = "true";
+defparam \datamem|ram~3726 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N8
+dffeas \datamem|ram~3214 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3214_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3214 .is_wysiwyg = "true";
+defparam \datamem|ram~3214 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N56
+dffeas \datamem|ram~3470 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3470_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3470 .is_wysiwyg = "true";
+defparam \datamem|ram~3470 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N26
+dffeas \datamem|ram~3982 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3982_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3982 .is_wysiwyg = "true";
+defparam \datamem|ram~3982 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N24
+cyclonev_lcell_comb \datamem|ram~5436 (
+// Equation(s):
+// \datamem|ram~5436_combout = ( \datamem|ram~3982_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3470_q ) ) ) ) # ( !\datamem|ram~3982_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3470_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3982_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3214_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3726_q )) ) ) ) # ( !\datamem|ram~3982_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3214_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3726_q )) ) ) )
+
+ .dataa(!\datamem|ram~3726_q ),
+ .datab(!\datamem|ram~3214_q ),
+ .datac(!\datamem|ram~3470_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~3982_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5436_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5436 .extended_lut = "off";
+defparam \datamem|ram~5436 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5436 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N20
+dffeas \datamem|ram~3150 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3150_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3150 .is_wysiwyg = "true";
+defparam \datamem|ram~3150 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N32
+dffeas \datamem|ram~3406 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3406_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3406 .is_wysiwyg = "true";
+defparam \datamem|ram~3406 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N59
+dffeas \datamem|ram~3662 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3662_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3662 .is_wysiwyg = "true";
+defparam \datamem|ram~3662 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N26
+dffeas \datamem|ram~3918 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3918_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3918 .is_wysiwyg = "true";
+defparam \datamem|ram~3918 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y17_N24
+cyclonev_lcell_comb \datamem|ram~5435 (
+// Equation(s):
+// \datamem|ram~5435_combout = ( \datamem|ram~3918_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3406_q ) ) ) ) # ( !\datamem|ram~3918_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3406_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3918_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3150_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3662_q ))) ) ) ) # ( !\datamem|ram~3918_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3150_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3662_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3150_q ),
+ .datab(!\datamem|ram~3406_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3662_q ),
+ .datae(!\datamem|ram~3918_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5435_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5435 .extended_lut = "off";
+defparam \datamem|ram~5435 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5435 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N30
+cyclonev_lcell_comb \datamem|ram~5438 (
+// Equation(s):
+// \datamem|ram~5438_combout = ( \datamem|ram~5436_combout & ( \datamem|ram~5435_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) # (\datamem|ram~5434_combout )))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) #
+// (\datamem|ram~5437_combout ))) ) ) ) # ( !\datamem|ram~5436_combout & ( \datamem|ram~5435_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~5434_combout & !\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout &
+// (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~5437_combout ))) ) ) ) # ( \datamem|ram~5436_combout & ( !\datamem|ram~5435_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) # (\datamem|ram~5434_combout )))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~5437_combout & ((\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~5436_combout & ( !\datamem|ram~5435_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~5434_combout &
+// !\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~5437_combout & ((\alu_unit|Mux11~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5437_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~5434_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~5436_combout ),
+ .dataf(!\datamem|ram~5435_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5438_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5438 .extended_lut = "off";
+defparam \datamem|ram~5438 .lut_mask = 64'h0C110CDD3F113FDD;
+defparam \datamem|ram~5438 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y7_N15
+cyclonev_lcell_comb \datamem|ram~3134feeder (
+// Equation(s):
+// \datamem|ram~3134feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3134feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3134feeder .extended_lut = "off";
+defparam \datamem|ram~3134feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3134feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y7_N16
+dffeas \datamem|ram~3134 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3134feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3134_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3134 .is_wysiwyg = "true";
+defparam \datamem|ram~3134 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y20_N6
+cyclonev_lcell_comb \datamem|ram~3262feeder (
+// Equation(s):
+// \datamem|ram~3262feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3262feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3262feeder .extended_lut = "off";
+defparam \datamem|ram~3262feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3262feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y20_N7
+dffeas \datamem|ram~3262 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3262feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3262_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3262 .is_wysiwyg = "true";
+defparam \datamem|ram~3262 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y13_N52
+dffeas \datamem|ram~3198 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3198_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3198 .is_wysiwyg = "true";
+defparam \datamem|ram~3198 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y13_N2
+dffeas \datamem|ram~3326 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3326_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3326 .is_wysiwyg = "true";
+defparam \datamem|ram~3326 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N0
+cyclonev_lcell_comb \datamem|ram~5449 (
+// Equation(s):
+// \datamem|ram~5449_combout = ( \datamem|ram~3326_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3262_q ) ) ) ) # ( !\datamem|ram~3326_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3262_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3326_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3134_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3198_q ))) ) ) ) # ( !\datamem|ram~3326_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3134_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3198_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3134_q ),
+ .datab(!\datamem|ram~3262_q ),
+ .datac(!\datamem|ram~3198_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3326_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5449_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5449 .extended_lut = "off";
+defparam \datamem|ram~5449 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5449 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N43
+dffeas \datamem|ram~3518 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3518_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3518 .is_wysiwyg = "true";
+defparam \datamem|ram~3518 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N43
+dffeas \datamem|ram~3454 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3454_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3454 .is_wysiwyg = "true";
+defparam \datamem|ram~3454 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N14
+dffeas \datamem|ram~3390 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3390_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3390 .is_wysiwyg = "true";
+defparam \datamem|ram~3390 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y13_N32
+dffeas \datamem|ram~3582 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3582_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3582 .is_wysiwyg = "true";
+defparam \datamem|ram~3582 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N30
+cyclonev_lcell_comb \datamem|ram~5450 (
+// Equation(s):
+// \datamem|ram~5450_combout = ( \datamem|ram~3582_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3518_q ) ) ) ) # ( !\datamem|ram~3582_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3518_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3582_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3390_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3454_q )) ) ) ) # ( !\datamem|ram~3582_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3390_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3454_q )) ) ) )
+
+ .dataa(!\datamem|ram~3518_q ),
+ .datab(!\datamem|ram~3454_q ),
+ .datac(!\datamem|ram~3390_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3582_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5450_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5450 .extended_lut = "off";
+defparam \datamem|ram~5450 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5450 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N22
+dffeas \datamem|ram~3710 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3710_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3710 .is_wysiwyg = "true";
+defparam \datamem|ram~3710 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N46
+dffeas \datamem|ram~3774 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3774_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3774 .is_wysiwyg = "true";
+defparam \datamem|ram~3774 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N25
+dffeas \datamem|ram~3646 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3646_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3646 .is_wysiwyg = "true";
+defparam \datamem|ram~3646 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y13_N38
+dffeas \datamem|ram~3838 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3838_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3838 .is_wysiwyg = "true";
+defparam \datamem|ram~3838 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N36
+cyclonev_lcell_comb \datamem|ram~5451 (
+// Equation(s):
+// \datamem|ram~5451_combout = ( \datamem|ram~3838_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3774_q ) ) ) ) # ( !\datamem|ram~3838_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3774_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3838_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3646_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3710_q )) ) ) ) # ( !\datamem|ram~3838_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3646_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3710_q )) ) ) )
+
+ .dataa(!\datamem|ram~3710_q ),
+ .datab(!\datamem|ram~3774_q ),
+ .datac(!\datamem|ram~3646_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3838_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5451_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5451 .extended_lut = "off";
+defparam \datamem|ram~5451 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5451 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y16_N53
+dffeas \datamem|ram~4094 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4094_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4094 .is_wysiwyg = "true";
+defparam \datamem|ram~4094 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y12_N17
+dffeas \datamem|ram~3966 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3966_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3966 .is_wysiwyg = "true";
+defparam \datamem|ram~3966 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N10
+dffeas \datamem|ram~3902 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3902_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3902 .is_wysiwyg = "true";
+defparam \datamem|ram~3902 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y12_N44
+dffeas \datamem|ram~4030 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4030_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4030 .is_wysiwyg = "true";
+defparam \datamem|ram~4030 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N54
+cyclonev_lcell_comb \datamem|ram~5452 (
+// Equation(s):
+// \datamem|ram~5452_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~4094_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~4030_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~3966_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~3902_q ) ) )
+
+ .dataa(!\datamem|ram~4094_q ),
+ .datab(!\datamem|ram~3966_q ),
+ .datac(!\datamem|ram~3902_q ),
+ .datad(!\datamem|ram~4030_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5452_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5452 .extended_lut = "off";
+defparam \datamem|ram~5452 .lut_mask = 64'h0F0F333300FF5555;
+defparam \datamem|ram~5452 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N18
+cyclonev_lcell_comb \datamem|ram~5453 (
+// Equation(s):
+// \datamem|ram~5453_combout = ( \datamem|ram~5451_combout & ( \datamem|ram~5452_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5449_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5450_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~5451_combout & ( \datamem|ram~5452_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~5449_combout ))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~5450_combout )) # (\alu_unit|Mux9~4_combout )))
+// ) ) ) # ( \datamem|ram~5451_combout & ( !\datamem|ram~5452_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~5449_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5450_combout )))) ) ) ) # ( !\datamem|ram~5451_combout & ( !\datamem|ram~5452_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5449_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~5450_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5449_combout ),
+ .datad(!\datamem|ram~5450_combout ),
+ .datae(!\datamem|ram~5451_combout ),
+ .dataf(!\datamem|ram~5452_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5453_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5453 .extended_lut = "off";
+defparam \datamem|ram~5453 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~5453 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N26
+dffeas \datamem|ram~3486 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3486_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3486 .is_wysiwyg = "true";
+defparam \datamem|ram~3486 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N32
+dffeas \datamem|ram~3742 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3742_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3742 .is_wysiwyg = "true";
+defparam \datamem|ram~3742 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N14
+dffeas \datamem|ram~3230 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3230_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3230 .is_wysiwyg = "true";
+defparam \datamem|ram~3230 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N14
+dffeas \datamem|ram~3998 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3998_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3998 .is_wysiwyg = "true";
+defparam \datamem|ram~3998 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N12
+cyclonev_lcell_comb \datamem|ram~5441 (
+// Equation(s):
+// \datamem|ram~5441_combout = ( \datamem|ram~3998_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3742_q ) ) ) ) # ( !\datamem|ram~3998_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3742_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3998_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3230_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3486_q )) ) ) ) # ( !\datamem|ram~3998_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3230_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3486_q )) ) ) )
+
+ .dataa(!\datamem|ram~3486_q ),
+ .datab(!\datamem|ram~3742_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3230_q ),
+ .datae(!\datamem|ram~3998_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5441_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5441 .extended_lut = "off";
+defparam \datamem|ram~5441 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5441 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N56
+dffeas \datamem|ram~3422 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3422_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3422 .is_wysiwyg = "true";
+defparam \datamem|ram~3422 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y19_N24
+cyclonev_lcell_comb \datamem|ram~3678feeder (
+// Equation(s):
+// \datamem|ram~3678feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3678feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3678feeder .extended_lut = "off";
+defparam \datamem|ram~3678feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3678feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y19_N25
+dffeas \datamem|ram~3678 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3678feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3678_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3678 .is_wysiwyg = "true";
+defparam \datamem|ram~3678 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N4
+dffeas \datamem|ram~3166 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3166_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3166 .is_wysiwyg = "true";
+defparam \datamem|ram~3166 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N20
+dffeas \datamem|ram~3934 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3934_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3934 .is_wysiwyg = "true";
+defparam \datamem|ram~3934 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y15_N18
+cyclonev_lcell_comb \datamem|ram~5440 (
+// Equation(s):
+// \datamem|ram~5440_combout = ( \datamem|ram~3934_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3678_q ) ) ) ) # ( !\datamem|ram~3934_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3678_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3934_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3166_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3422_q )) ) ) ) # ( !\datamem|ram~3934_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3166_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3422_q )) ) ) )
+
+ .dataa(!\datamem|ram~3422_q ),
+ .datab(!\datamem|ram~3678_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3166_q ),
+ .datae(!\datamem|ram~3934_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5440_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5440 .extended_lut = "off";
+defparam \datamem|ram~5440 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5440 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N46
+dffeas \datamem|ram~3550 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3550_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3550 .is_wysiwyg = "true";
+defparam \datamem|ram~3550 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N24
+cyclonev_lcell_comb \datamem|ram~3806feeder (
+// Equation(s):
+// \datamem|ram~3806feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3806feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3806feeder .extended_lut = "off";
+defparam \datamem|ram~3806feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3806feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N25
+dffeas \datamem|ram~3806 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3806feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3806_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3806 .is_wysiwyg = "true";
+defparam \datamem|ram~3806 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N52
+dffeas \datamem|ram~3294 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3294_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3294 .is_wysiwyg = "true";
+defparam \datamem|ram~3294 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N20
+dffeas \datamem|ram~4062 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4062_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4062 .is_wysiwyg = "true";
+defparam \datamem|ram~4062 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N18
+cyclonev_lcell_comb \datamem|ram~5442 (
+// Equation(s):
+// \datamem|ram~5442_combout = ( \datamem|ram~4062_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3806_q ) ) ) ) # ( !\datamem|ram~4062_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3806_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4062_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3294_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3550_q )) ) ) ) # ( !\datamem|ram~4062_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3294_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3550_q )) ) ) )
+
+ .dataa(!\datamem|ram~3550_q ),
+ .datab(!\datamem|ram~3806_q ),
+ .datac(!\datamem|ram~3294_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4062_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5442_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5442 .extended_lut = "off";
+defparam \datamem|ram~5442 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5442 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N13
+dffeas \datamem|ram~3358 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3358_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3358 .is_wysiwyg = "true";
+defparam \datamem|ram~3358 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y20_N42
+cyclonev_lcell_comb \datamem|ram~3614feeder (
+// Equation(s):
+// \datamem|ram~3614feeder_combout = \reg_file|reg_read_data_2[14]~14_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3614feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3614feeder .extended_lut = "off";
+defparam \datamem|ram~3614feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3614feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N43
+dffeas \datamem|ram~3614 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3614feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3614_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3614 .is_wysiwyg = "true";
+defparam \datamem|ram~3614 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N28
+dffeas \datamem|ram~3102 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3102_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3102 .is_wysiwyg = "true";
+defparam \datamem|ram~3102 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N56
+dffeas \datamem|ram~3870 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3870_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3870 .is_wysiwyg = "true";
+defparam \datamem|ram~3870 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y20_N54
+cyclonev_lcell_comb \datamem|ram~5439 (
+// Equation(s):
+// \datamem|ram~5439_combout = ( \datamem|ram~3870_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3614_q ) ) ) ) # ( !\datamem|ram~3870_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3614_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3870_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3102_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3358_q )) ) ) ) # ( !\datamem|ram~3870_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3102_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3358_q )) ) ) )
+
+ .dataa(!\datamem|ram~3358_q ),
+ .datab(!\datamem|ram~3614_q ),
+ .datac(!\datamem|ram~3102_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3870_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5439_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5439 .extended_lut = "off";
+defparam \datamem|ram~5439 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5439 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N48
+cyclonev_lcell_comb \datamem|ram~5443 (
+// Equation(s):
+// \datamem|ram~5443_combout = ( \datamem|ram~5442_combout & ( \datamem|ram~5439_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~5441_combout ))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~5440_combout ) #
+// (\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~5442_combout & ( \datamem|ram~5439_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~5441_combout ))) # (\alu_unit|Mux12~2_combout &
+// (((!\alu_unit|Mux11~4_combout & \datamem|ram~5440_combout )))) ) ) ) # ( \datamem|ram~5442_combout & ( !\datamem|ram~5439_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~5441_combout & (\alu_unit|Mux11~4_combout ))) #
+// (\alu_unit|Mux12~2_combout & (((\datamem|ram~5440_combout ) # (\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~5442_combout & ( !\datamem|ram~5439_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~5441_combout &
+// (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout & \datamem|ram~5440_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~5441_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~5440_combout ),
+ .datae(!\datamem|ram~5442_combout ),
+ .dataf(!\datamem|ram~5439_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5443_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5443 .extended_lut = "off";
+defparam \datamem|ram~5443 .lut_mask = 64'h02520757A2F2A7F7;
+defparam \datamem|ram~5443 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N6
+cyclonev_lcell_comb \datamem|ram~5454 (
+// Equation(s):
+// \datamem|ram~5454_combout = ( \datamem|ram~5453_combout & ( \datamem|ram~5443_combout & ( ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~5438_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~5448_combout ))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~5453_combout & ( \datamem|ram~5443_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~5438_combout )) # (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout & (\datamem|ram~5448_combout
+// ))) ) ) ) # ( \datamem|ram~5453_combout & ( !\datamem|ram~5443_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout & ((\datamem|ram~5438_combout )))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~5448_combout )) #
+// (\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~5453_combout & ( !\datamem|ram~5443_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~5438_combout ))) # (\alu_unit|Mux13~4_combout &
+// (\datamem|ram~5448_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~5448_combout ),
+ .datad(!\datamem|ram~5438_combout ),
+ .datae(!\datamem|ram~5453_combout ),
+ .dataf(!\datamem|ram~5443_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5454_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5454 .extended_lut = "off";
+defparam \datamem|ram~5454 .lut_mask = 64'h048C159D26AE37BF;
+defparam \datamem|ram~5454 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N29
+dffeas \datamem|ram~1502 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1502_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1502 .is_wysiwyg = "true";
+defparam \datamem|ram~1502 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N59
+dffeas \datamem|ram~1518 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1518_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1518 .is_wysiwyg = "true";
+defparam \datamem|ram~1518 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N5
+dffeas \datamem|ram~1486 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1486_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1486 .is_wysiwyg = "true";
+defparam \datamem|ram~1486 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N32
+dffeas \datamem|ram~1534 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1534_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1534 .is_wysiwyg = "true";
+defparam \datamem|ram~1534 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N30
+cyclonev_lcell_comb \datamem|ram~5408 (
+// Equation(s):
+// \datamem|ram~5408_combout = ( \datamem|ram~1534_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1518_q ) ) ) ) # ( !\datamem|ram~1534_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1518_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1534_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1486_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1502_q )) ) ) ) # ( !\datamem|ram~1534_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1486_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1502_q )) ) ) )
+
+ .dataa(!\datamem|ram~1502_q ),
+ .datab(!\datamem|ram~1518_q ),
+ .datac(!\datamem|ram~1486_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1534_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5408_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5408 .extended_lut = "off";
+defparam \datamem|ram~5408 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5408 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y14_N16
+dffeas \datamem|ram~2030 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2030_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2030 .is_wysiwyg = "true";
+defparam \datamem|ram~2030 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N12
+cyclonev_lcell_comb \datamem|ram~2014feeder (
+// Equation(s):
+// \datamem|ram~2014feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2014feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2014feeder .extended_lut = "off";
+defparam \datamem|ram~2014feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2014feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N14
+dffeas \datamem|ram~2014 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2014feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2014_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2014 .is_wysiwyg = "true";
+defparam \datamem|ram~2014 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y12_N37
+dffeas \datamem|ram~1998 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1998_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1998 .is_wysiwyg = "true";
+defparam \datamem|ram~1998 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y12_N32
+dffeas \datamem|ram~2046 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2046_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2046 .is_wysiwyg = "true";
+defparam \datamem|ram~2046 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N30
+cyclonev_lcell_comb \datamem|ram~5410 (
+// Equation(s):
+// \datamem|ram~5410_combout = ( \datamem|ram~2046_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2014_q ) ) ) ) # ( !\datamem|ram~2046_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2014_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2046_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1998_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2030_q )) ) ) ) # ( !\datamem|ram~2046_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1998_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2030_q )) ) ) )
+
+ .dataa(!\datamem|ram~2030_q ),
+ .datab(!\datamem|ram~2014_q ),
+ .datac(!\datamem|ram~1998_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2046_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5410_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5410 .extended_lut = "off";
+defparam \datamem|ram~5410 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5410 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N28
+dffeas \datamem|ram~1742 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1742_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1742 .is_wysiwyg = "true";
+defparam \datamem|ram~1742 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N23
+dffeas \datamem|ram~1758 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1758_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1758 .is_wysiwyg = "true";
+defparam \datamem|ram~1758 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y18_N17
+dffeas \datamem|ram~1774 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1774_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1774 .is_wysiwyg = "true";
+defparam \datamem|ram~1774 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y18_N20
+dffeas \datamem|ram~1790 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1790_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1790 .is_wysiwyg = "true";
+defparam \datamem|ram~1790 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y18_N18
+cyclonev_lcell_comb \datamem|ram~5409 (
+// Equation(s):
+// \datamem|ram~5409_combout = ( \datamem|ram~1790_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1758_q ) ) ) ) # ( !\datamem|ram~1790_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1758_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1790_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1742_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1774_q ))) ) ) ) # ( !\datamem|ram~1790_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1742_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1774_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1742_q ),
+ .datab(!\datamem|ram~1758_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1774_q ),
+ .datae(!\datamem|ram~1790_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5409_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5409 .extended_lut = "off";
+defparam \datamem|ram~5409 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5409 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y19_N39
+cyclonev_lcell_comb \datamem|ram~1246feeder (
+// Equation(s):
+// \datamem|ram~1246feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1246feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1246feeder .extended_lut = "off";
+defparam \datamem|ram~1246feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1246feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N40
+dffeas \datamem|ram~1246 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1246feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1246_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1246 .is_wysiwyg = "true";
+defparam \datamem|ram~1246 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N10
+dffeas \datamem|ram~1262 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1262_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1262 .is_wysiwyg = "true";
+defparam \datamem|ram~1262 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N39
+cyclonev_lcell_comb \datamem|ram~1230feeder (
+// Equation(s):
+// \datamem|ram~1230feeder_combout = \reg_file|reg_read_data_2[14]~14_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1230feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1230feeder .extended_lut = "off";
+defparam \datamem|ram~1230feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1230feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N40
+dffeas \datamem|ram~1230 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1230feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1230_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1230 .is_wysiwyg = "true";
+defparam \datamem|ram~1230 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y18_N44
+dffeas \datamem|ram~1278 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1278_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1278 .is_wysiwyg = "true";
+defparam \datamem|ram~1278 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y18_N42
+cyclonev_lcell_comb \datamem|ram~5407 (
+// Equation(s):
+// \datamem|ram~5407_combout = ( \datamem|ram~1278_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1246_q ) ) ) ) # ( !\datamem|ram~1278_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1246_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1278_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1230_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1262_q )) ) ) ) # ( !\datamem|ram~1278_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1230_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1262_q )) ) ) )
+
+ .dataa(!\datamem|ram~1246_q ),
+ .datab(!\datamem|ram~1262_q ),
+ .datac(!\datamem|ram~1230_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1278_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5407_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5407 .extended_lut = "off";
+defparam \datamem|ram~5407 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5407 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N36
+cyclonev_lcell_comb \datamem|ram~5411 (
+// Equation(s):
+// \datamem|ram~5411_combout = ( \datamem|ram~5409_combout & ( \datamem|ram~5407_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5408_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5410_combout )))) ) ) )
+// # ( !\datamem|ram~5409_combout & ( \datamem|ram~5407_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5408_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5410_combout ))))) ) ) ) # ( \datamem|ram~5409_combout & ( !\datamem|ram~5407_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5408_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5410_combout ))))) ) ) ) # ( !\datamem|ram~5409_combout & ( !\datamem|ram~5407_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5408_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5410_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5408_combout ),
+ .datad(!\datamem|ram~5410_combout ),
+ .datae(!\datamem|ram~5409_combout ),
+ .dataf(!\datamem|ram~5407_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5411_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5411 .extended_lut = "off";
+defparam \datamem|ram~5411 .lut_mask = 64'h041526378C9DAEBF;
+defparam \datamem|ram~5411 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N37
+dffeas \datamem|ram~1870 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1870_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1870 .is_wysiwyg = "true";
+defparam \datamem|ram~1870 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N1
+dffeas \datamem|ram~1902 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1902_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1902 .is_wysiwyg = "true";
+defparam \datamem|ram~1902 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N31
+dffeas \datamem|ram~1886 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1886_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1886 .is_wysiwyg = "true";
+defparam \datamem|ram~1886 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N44
+dffeas \datamem|ram~1918 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1918_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1918 .is_wysiwyg = "true";
+defparam \datamem|ram~1918 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N42
+cyclonev_lcell_comb \datamem|ram~5400 (
+// Equation(s):
+// \datamem|ram~5400_combout = ( \datamem|ram~1918_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1902_q ) ) ) ) # ( !\datamem|ram~1918_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1902_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1918_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1870_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1886_q ))) ) ) ) # ( !\datamem|ram~1918_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1870_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1886_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1870_q ),
+ .datab(!\datamem|ram~1902_q ),
+ .datac(!\datamem|ram~1886_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1918_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5400_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5400 .extended_lut = "off";
+defparam \datamem|ram~5400 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5400 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N49
+dffeas \datamem|ram~1630 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1630_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1630 .is_wysiwyg = "true";
+defparam \datamem|ram~1630 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N12
+cyclonev_lcell_comb \datamem|ram~1614feeder (
+// Equation(s):
+// \datamem|ram~1614feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1614feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1614feeder .extended_lut = "off";
+defparam \datamem|ram~1614feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1614feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N14
+dffeas \datamem|ram~1614 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1614feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1614_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1614 .is_wysiwyg = "true";
+defparam \datamem|ram~1614 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N18
+cyclonev_lcell_comb \datamem|ram~1646feeder (
+// Equation(s):
+// \datamem|ram~1646feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1646feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1646feeder .extended_lut = "off";
+defparam \datamem|ram~1646feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1646feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N20
+dffeas \datamem|ram~1646 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1646feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1646_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1646 .is_wysiwyg = "true";
+defparam \datamem|ram~1646 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N44
+dffeas \datamem|ram~1662 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1662_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1662 .is_wysiwyg = "true";
+defparam \datamem|ram~1662 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N42
+cyclonev_lcell_comb \datamem|ram~5399 (
+// Equation(s):
+// \datamem|ram~5399_combout = ( \datamem|ram~1662_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1630_q ) ) ) ) # ( !\datamem|ram~1662_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1630_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1662_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1614_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1646_q ))) ) ) ) # ( !\datamem|ram~1662_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1614_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1646_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1630_q ),
+ .datab(!\datamem|ram~1614_q ),
+ .datac(!\datamem|ram~1646_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1662_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5399_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5399 .extended_lut = "off";
+defparam \datamem|ram~5399 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5399 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N22
+dffeas \datamem|ram~1102 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1102_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1102 .is_wysiwyg = "true";
+defparam \datamem|ram~1102 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y17_N6
+cyclonev_lcell_comb \datamem|ram~1134feeder (
+// Equation(s):
+// \datamem|ram~1134feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1134feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1134feeder .extended_lut = "off";
+defparam \datamem|ram~1134feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1134feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y17_N7
+dffeas \datamem|ram~1134 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1134feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1134_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1134 .is_wysiwyg = "true";
+defparam \datamem|ram~1134 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N42
+cyclonev_lcell_comb \datamem|ram~1150feeder (
+// Equation(s):
+// \datamem|ram~1150feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1150feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1150feeder .extended_lut = "off";
+defparam \datamem|ram~1150feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1150feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N44
+dffeas \datamem|ram~1150 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1150feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1150_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1150 .is_wysiwyg = "true";
+defparam \datamem|ram~1150 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y17_N26
+dffeas \datamem|ram~1118 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1118_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1118 .is_wysiwyg = "true";
+defparam \datamem|ram~1118 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y17_N24
+cyclonev_lcell_comb \datamem|ram~5397 (
+// Equation(s):
+// \datamem|ram~5397_combout = ( \datamem|ram~1118_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1150_q ) ) ) ) # ( !\datamem|ram~1118_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1150_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1118_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1102_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1134_q ))) ) ) ) # ( !\datamem|ram~1118_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1102_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1134_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1102_q ),
+ .datab(!\datamem|ram~1134_q ),
+ .datac(!\datamem|ram~1150_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1118_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5397_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5397 .extended_lut = "off";
+defparam \datamem|ram~5397 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~5397 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N22
+dffeas \datamem|ram~1358 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1358_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1358 .is_wysiwyg = "true";
+defparam \datamem|ram~1358 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N48
+cyclonev_lcell_comb \datamem|ram~1390feeder (
+// Equation(s):
+// \datamem|ram~1390feeder_combout = \reg_file|reg_read_data_2[14]~14_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1390feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1390feeder .extended_lut = "off";
+defparam \datamem|ram~1390feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1390feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N49
+dffeas \datamem|ram~1390 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1390feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1390_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1390 .is_wysiwyg = "true";
+defparam \datamem|ram~1390 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N18
+cyclonev_lcell_comb \datamem|ram~1406feeder (
+// Equation(s):
+// \datamem|ram~1406feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1406feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1406feeder .extended_lut = "off";
+defparam \datamem|ram~1406feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1406feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N19
+dffeas \datamem|ram~1406 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1406feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1406_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1406 .is_wysiwyg = "true";
+defparam \datamem|ram~1406 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N29
+dffeas \datamem|ram~1374 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1374_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1374 .is_wysiwyg = "true";
+defparam \datamem|ram~1374 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N27
+cyclonev_lcell_comb \datamem|ram~5398 (
+// Equation(s):
+// \datamem|ram~5398_combout = ( \datamem|ram~1374_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1390_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1406_q ))) ) ) ) # ( !\datamem|ram~1374_q & (
+// \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1390_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1406_q ))) ) ) ) # ( \datamem|ram~1374_q & ( !\alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~1358_q ) ) ) ) # ( !\datamem|ram~1374_q & ( !\alu_unit|Mux13~4_combout & ( (\datamem|ram~1358_q & !\alu_unit|Mux14~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1358_q ),
+ .datab(!\datamem|ram~1390_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1406_q ),
+ .datae(!\datamem|ram~1374_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5398_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5398 .extended_lut = "off";
+defparam \datamem|ram~5398 .lut_mask = 64'h50505F5F303F303F;
+defparam \datamem|ram~5398 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N12
+cyclonev_lcell_comb \datamem|ram~5401 (
+// Equation(s):
+// \datamem|ram~5401_combout = ( \datamem|ram~5397_combout & ( \datamem|ram~5398_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5399_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5400_combout ))) ) )
+// ) # ( !\datamem|ram~5397_combout & ( \datamem|ram~5398_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout & ((\datamem|ram~5399_combout )))) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout ) # ((\datamem|ram~5400_combout
+// )))) ) ) ) # ( \datamem|ram~5397_combout & ( !\datamem|ram~5398_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout ) # ((\datamem|ram~5399_combout )))) # (\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5400_combout ))) ) ) ) # ( !\datamem|ram~5397_combout & ( !\datamem|ram~5398_combout & ( (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5399_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~5400_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5400_combout ),
+ .datad(!\datamem|ram~5399_combout ),
+ .datae(!\datamem|ram~5397_combout ),
+ .dataf(!\datamem|ram~5398_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5401_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5401 .extended_lut = "off";
+defparam \datamem|ram~5401 .lut_mask = 64'h012389AB4567CDEF;
+defparam \datamem|ram~5401 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N26
+dffeas \datamem|ram~1294 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1294_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1294 .is_wysiwyg = "true";
+defparam \datamem|ram~1294 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y18_N18
+cyclonev_lcell_comb \datamem|ram~1342feeder (
+// Equation(s):
+// \datamem|ram~1342feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1342feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1342feeder .extended_lut = "off";
+defparam \datamem|ram~1342feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1342feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y18_N19
+dffeas \datamem|ram~1342 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1342feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1342_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1342 .is_wysiwyg = "true";
+defparam \datamem|ram~1342 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N20
+dffeas \datamem|ram~1326 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1326_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1326 .is_wysiwyg = "true";
+defparam \datamem|ram~1326 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N56
+dffeas \datamem|ram~1310 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1310_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1310 .is_wysiwyg = "true";
+defparam \datamem|ram~1310 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y16_N54
+cyclonev_lcell_comb \datamem|ram~5393 (
+// Equation(s):
+// \datamem|ram~5393_combout = ( \datamem|ram~1310_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1342_q ) ) ) ) # ( !\datamem|ram~1310_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1342_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1310_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1294_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1326_q ))) ) ) ) # ( !\datamem|ram~1310_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1294_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1326_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1294_q ),
+ .datab(!\datamem|ram~1342_q ),
+ .datac(!\datamem|ram~1326_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1310_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5393_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5393 .extended_lut = "off";
+defparam \datamem|ram~5393 .lut_mask = 64'h550F550F0033FF33;
+defparam \datamem|ram~5393 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N24
+cyclonev_lcell_comb \datamem|ram~1598feeder (
+// Equation(s):
+// \datamem|ram~1598feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1598feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1598feeder .extended_lut = "off";
+defparam \datamem|ram~1598feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1598feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N26
+dffeas \datamem|ram~1598 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1598feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1598_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1598 .is_wysiwyg = "true";
+defparam \datamem|ram~1598 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N6
+cyclonev_lcell_comb \datamem|ram~1582feeder (
+// Equation(s):
+// \datamem|ram~1582feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1582feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1582feeder .extended_lut = "off";
+defparam \datamem|ram~1582feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1582feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N7
+dffeas \datamem|ram~1582 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1582feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1582_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1582 .is_wysiwyg = "true";
+defparam \datamem|ram~1582 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X46_Y9_N42
+cyclonev_lcell_comb \datamem|ram~1550feeder (
+// Equation(s):
+// \datamem|ram~1550feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1550feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1550feeder .extended_lut = "off";
+defparam \datamem|ram~1550feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1550feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X46_Y9_N44
+dffeas \datamem|ram~1550 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1550feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1550_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1550 .is_wysiwyg = "true";
+defparam \datamem|ram~1550 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N56
+dffeas \datamem|ram~1566 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1566_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1566 .is_wysiwyg = "true";
+defparam \datamem|ram~1566 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N3
+cyclonev_lcell_comb \datamem|ram~5394 (
+// Equation(s):
+// \datamem|ram~5394_combout = ( \datamem|ram~1566_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1598_q ) ) ) ) # ( !\datamem|ram~1566_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1598_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1566_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1550_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1582_q )) ) ) ) # ( !\datamem|ram~1566_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1550_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1582_q )) ) ) )
+
+ .dataa(!\datamem|ram~1598_q ),
+ .datab(!\datamem|ram~1582_q ),
+ .datac(!\datamem|ram~1550_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1566_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5394_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5394 .extended_lut = "off";
+defparam \datamem|ram~5394 .lut_mask = 64'h0F330F330055FF55;
+defparam \datamem|ram~5394 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N41
+dffeas \datamem|ram~1806 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1806_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1806 .is_wysiwyg = "true";
+defparam \datamem|ram~1806 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N14
+dffeas \datamem|ram~1838 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1838_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1838 .is_wysiwyg = "true";
+defparam \datamem|ram~1838 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N52
+dffeas \datamem|ram~1822 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1822_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1822 .is_wysiwyg = "true";
+defparam \datamem|ram~1822 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N20
+dffeas \datamem|ram~1854 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1854_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1854 .is_wysiwyg = "true";
+defparam \datamem|ram~1854 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N18
+cyclonev_lcell_comb \datamem|ram~5395 (
+// Equation(s):
+// \datamem|ram~5395_combout = ( \datamem|ram~1854_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1822_q ) ) ) ) # ( !\datamem|ram~1854_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1822_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1854_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1806_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1838_q ))) ) ) ) # ( !\datamem|ram~1854_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1806_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1838_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1806_q ),
+ .datab(!\datamem|ram~1838_q ),
+ .datac(!\datamem|ram~1822_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1854_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5395_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5395 .extended_lut = "off";
+defparam \datamem|ram~5395 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5395 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y4_N50
+dffeas \datamem|ram~1070 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1070_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1070 .is_wysiwyg = "true";
+defparam \datamem|ram~1070 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y4_N19
+dffeas \datamem|ram~1038 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1038_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1038 .is_wysiwyg = "true";
+defparam \datamem|ram~1038 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y11_N57
+cyclonev_lcell_comb \datamem|ram~1086feeder (
+// Equation(s):
+// \datamem|ram~1086feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1086feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1086feeder .extended_lut = "off";
+defparam \datamem|ram~1086feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1086feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N58
+dffeas \datamem|ram~1086 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1086feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1086_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1086 .is_wysiwyg = "true";
+defparam \datamem|ram~1086 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y7_N44
+dffeas \datamem|ram~1054 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1054_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1054 .is_wysiwyg = "true";
+defparam \datamem|ram~1054 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y7_N42
+cyclonev_lcell_comb \datamem|ram~5392 (
+// Equation(s):
+// \datamem|ram~5392_combout = ( \datamem|ram~1054_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1070_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1086_q ))) ) ) ) # ( !\datamem|ram~1054_q & (
+// \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1070_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1086_q ))) ) ) ) # ( \datamem|ram~1054_q & ( !\alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~1038_q ) ) ) ) # ( !\datamem|ram~1054_q & ( !\alu_unit|Mux13~4_combout & ( (\datamem|ram~1038_q & !\alu_unit|Mux14~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1070_q ),
+ .datab(!\datamem|ram~1038_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1086_q ),
+ .datae(!\datamem|ram~1054_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5392_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5392 .extended_lut = "off";
+defparam \datamem|ram~5392 .lut_mask = 64'h30303F3F505F505F;
+defparam \datamem|ram~5392 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N54
+cyclonev_lcell_comb \datamem|ram~5396 (
+// Equation(s):
+// \datamem|ram~5396_combout = ( \datamem|ram~5395_combout & ( \datamem|ram~5392_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~5393_combout ))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~5394_combout ) #
+// (\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~5395_combout & ( \datamem|ram~5392_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~5393_combout ))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout & \datamem|ram~5394_combout )))) ) ) ) # ( \datamem|ram~5395_combout & ( !\datamem|ram~5392_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~5393_combout & (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout
+// & (((\datamem|ram~5394_combout ) # (\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~5395_combout & ( !\datamem|ram~5392_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~5393_combout & (\alu_unit|Mux10~6_combout ))) #
+// (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout & \datamem|ram~5394_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5393_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~5394_combout ),
+ .datae(!\datamem|ram~5395_combout ),
+ .dataf(!\datamem|ram~5392_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5396_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5396 .extended_lut = "off";
+defparam \datamem|ram~5396 .lut_mask = 64'h04340737C4F4C7F7;
+defparam \datamem|ram~5396 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N43
+dffeas \datamem|ram~1438 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1438_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1438 .is_wysiwyg = "true";
+defparam \datamem|ram~1438 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N13
+dffeas \datamem|ram~1182 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1182_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1182 .is_wysiwyg = "true";
+defparam \datamem|ram~1182 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N49
+dffeas \datamem|ram~1694 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1694_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1694 .is_wysiwyg = "true";
+defparam \datamem|ram~1694 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y17_N8
+dffeas \datamem|ram~1950 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1950_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1950 .is_wysiwyg = "true";
+defparam \datamem|ram~1950 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y17_N6
+cyclonev_lcell_comb \datamem|ram~5403 (
+// Equation(s):
+// \datamem|ram~5403_combout = ( \datamem|ram~1950_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1438_q ) ) ) ) # ( !\datamem|ram~1950_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1438_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1950_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1182_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1694_q ))) ) ) ) # ( !\datamem|ram~1950_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1182_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1694_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1438_q ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~1182_q ),
+ .datad(!\datamem|ram~1694_q ),
+ .datae(!\datamem|ram~1950_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5403_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5403 .extended_lut = "off";
+defparam \datamem|ram~5403 .lut_mask = 64'h0C3F0C3F44447777;
+defparam \datamem|ram~5403 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N51
+cyclonev_lcell_comb \datamem|ram~1678feeder (
+// Equation(s):
+// \datamem|ram~1678feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1678feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1678feeder .extended_lut = "off";
+defparam \datamem|ram~1678feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1678feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N52
+dffeas \datamem|ram~1678 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1678feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1678_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1678 .is_wysiwyg = "true";
+defparam \datamem|ram~1678 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N21
+cyclonev_lcell_comb \datamem|ram~1166feeder (
+// Equation(s):
+// \datamem|ram~1166feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1166feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1166feeder .extended_lut = "off";
+defparam \datamem|ram~1166feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1166feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N23
+dffeas \datamem|ram~1166 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1166feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1166_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1166 .is_wysiwyg = "true";
+defparam \datamem|ram~1166 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N11
+dffeas \datamem|ram~1422 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1422_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1422 .is_wysiwyg = "true";
+defparam \datamem|ram~1422 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y17_N2
+dffeas \datamem|ram~1934 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1934_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1934 .is_wysiwyg = "true";
+defparam \datamem|ram~1934 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y17_N0
+cyclonev_lcell_comb \datamem|ram~5402 (
+// Equation(s):
+// \datamem|ram~5402_combout = ( \datamem|ram~1934_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1678_q ) ) ) ) # ( !\datamem|ram~1934_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1678_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1934_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1166_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1422_q ))) ) ) ) # ( !\datamem|ram~1934_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1166_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1422_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1678_q ),
+ .datab(!\datamem|ram~1166_q ),
+ .datac(!\datamem|ram~1422_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1934_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5402_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5402 .extended_lut = "off";
+defparam \datamem|ram~5402 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5402 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N21
+cyclonev_lcell_comb \datamem|ram~1198feeder (
+// Equation(s):
+// \datamem|ram~1198feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1198feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1198feeder .extended_lut = "off";
+defparam \datamem|ram~1198feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1198feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N22
+dffeas \datamem|ram~1198 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1198feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1198_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1198 .is_wysiwyg = "true";
+defparam \datamem|ram~1198 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N43
+dffeas \datamem|ram~1454 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1454_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1454 .is_wysiwyg = "true";
+defparam \datamem|ram~1454 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N3
+cyclonev_lcell_comb \datamem|ram~1710feeder (
+// Equation(s):
+// \datamem|ram~1710feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1710feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1710feeder .extended_lut = "off";
+defparam \datamem|ram~1710feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1710feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N4
+dffeas \datamem|ram~1710 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1710feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1710_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1710 .is_wysiwyg = "true";
+defparam \datamem|ram~1710 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y17_N44
+dffeas \datamem|ram~1966 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1966_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1966 .is_wysiwyg = "true";
+defparam \datamem|ram~1966 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y17_N42
+cyclonev_lcell_comb \datamem|ram~5404 (
+// Equation(s):
+// \datamem|ram~5404_combout = ( \datamem|ram~1966_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1454_q ) ) ) ) # ( !\datamem|ram~1966_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1454_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1966_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1198_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1710_q ))) ) ) ) # ( !\datamem|ram~1966_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1198_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1710_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1198_q ),
+ .datab(!\datamem|ram~1454_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1710_q ),
+ .datae(!\datamem|ram~1966_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5404_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5404 .extended_lut = "off";
+defparam \datamem|ram~5404 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5404 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N31
+dffeas \datamem|ram~1214 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1214_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1214 .is_wysiwyg = "true";
+defparam \datamem|ram~1214 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y13_N12
+cyclonev_lcell_comb \datamem|ram~1726feeder (
+// Equation(s):
+// \datamem|ram~1726feeder_combout = ( \reg_file|reg_read_data_2[14]~14_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1726feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1726feeder .extended_lut = "off";
+defparam \datamem|ram~1726feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1726feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N13
+dffeas \datamem|ram~1726 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1726feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1726_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1726 .is_wysiwyg = "true";
+defparam \datamem|ram~1726 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N50
+dffeas \datamem|ram~1470 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1470_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1470 .is_wysiwyg = "true";
+defparam \datamem|ram~1470 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N26
+dffeas \datamem|ram~1982 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[14]~14_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1982_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1982 .is_wysiwyg = "true";
+defparam \datamem|ram~1982 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y16_N24
+cyclonev_lcell_comb \datamem|ram~5405 (
+// Equation(s):
+// \datamem|ram~5405_combout = ( \datamem|ram~1982_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1470_q ) ) ) ) # ( !\datamem|ram~1982_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1470_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1982_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1214_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1726_q ))) ) ) ) # ( !\datamem|ram~1982_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1214_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1726_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1214_q ),
+ .datab(!\datamem|ram~1726_q ),
+ .datac(!\datamem|ram~1470_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1982_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5405_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5405 .extended_lut = "off";
+defparam \datamem|ram~5405 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5405 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y17_N12
+cyclonev_lcell_comb \datamem|ram~5406 (
+// Equation(s):
+// \datamem|ram~5406_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~5405_combout & ( (\datamem|ram~5404_combout ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~5405_combout & ( (!\alu_unit|Mux14~6_combout
+// & ((\datamem|ram~5402_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5403_combout )) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~5405_combout & ( (!\alu_unit|Mux14~6_combout & \datamem|ram~5404_combout ) ) ) ) # (
+// !\alu_unit|Mux13~4_combout & ( !\datamem|ram~5405_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~5402_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5403_combout )) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~5403_combout ),
+ .datac(!\datamem|ram~5402_combout ),
+ .datad(!\datamem|ram~5404_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~5405_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5406_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5406 .extended_lut = "off";
+defparam \datamem|ram~5406 .lut_mask = 64'h1B1B00AA1B1B55FF;
+defparam \datamem|ram~5406 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N24
+cyclonev_lcell_comb \datamem|ram~5412 (
+// Equation(s):
+// \datamem|ram~5412_combout = ( \datamem|ram~5396_combout & ( \datamem|ram~5406_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5401_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5411_combout ))) ) )
+// ) # ( !\datamem|ram~5396_combout & ( \datamem|ram~5406_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5401_combout ))) # (\alu_unit|Mux11~4_combout
+// & (\datamem|ram~5411_combout )))) ) ) ) # ( \datamem|ram~5396_combout & ( !\datamem|ram~5406_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~5401_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5411_combout )))) ) ) ) # ( !\datamem|ram~5396_combout & ( !\datamem|ram~5406_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~5401_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5411_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5411_combout ),
+ .datad(!\datamem|ram~5401_combout ),
+ .datae(!\datamem|ram~5396_combout ),
+ .dataf(!\datamem|ram~5406_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5412_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5412 .extended_lut = "off";
+defparam \datamem|ram~5412 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~5412 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y17_N0
+cyclonev_lcell_comb \datamem|ram~5455 (
+// Equation(s):
+// \datamem|ram~5455_combout = ( \datamem|ram~5454_combout & ( \datamem|ram~5412_combout & ( ((!\alu_unit|Mux7~2_combout & ((\datamem|ram~5391_combout ))) # (\alu_unit|Mux7~2_combout & (\datamem|ram~5433_combout ))) # (\alu_unit|Mux8~2_combout ) ) ) ) #
+// ( !\datamem|ram~5454_combout & ( \datamem|ram~5412_combout & ( (!\alu_unit|Mux7~2_combout & (((\datamem|ram~5391_combout ) # (\alu_unit|Mux8~2_combout )))) # (\alu_unit|Mux7~2_combout & (\datamem|ram~5433_combout & (!\alu_unit|Mux8~2_combout ))) ) )
+// ) # ( \datamem|ram~5454_combout & ( !\datamem|ram~5412_combout & ( (!\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout & \datamem|ram~5391_combout )))) # (\alu_unit|Mux7~2_combout & (((\alu_unit|Mux8~2_combout )) # (\datamem|ram~5433_combout
+// ))) ) ) ) # ( !\datamem|ram~5454_combout & ( !\datamem|ram~5412_combout & ( (!\alu_unit|Mux8~2_combout & ((!\alu_unit|Mux7~2_combout & ((\datamem|ram~5391_combout ))) # (\alu_unit|Mux7~2_combout & (\datamem|ram~5433_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux7~2_combout ),
+ .datab(!\datamem|ram~5433_combout ),
+ .datac(!\alu_unit|Mux8~2_combout ),
+ .datad(!\datamem|ram~5391_combout ),
+ .datae(!\datamem|ram~5454_combout ),
+ .dataf(!\datamem|ram~5412_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5455_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5455 .extended_lut = "off";
+defparam \datamem|ram~5455 .lut_mask = 64'h10B015B51ABA1FBF;
+defparam \datamem|ram~5455 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N9
+cyclonev_lcell_comb \reg_write_data[14]~17 (
+// Equation(s):
+// \reg_write_data[14]~17_combout = ( \reg_write_data[1]~0_combout & ( \datamem|ram~5455_combout & ( (\reg_write_data[1]~1_combout ) # (\Add0~53_sumout ) ) ) ) # ( !\reg_write_data[1]~0_combout & ( \datamem|ram~5455_combout & ( \alu_unit|Mux1~4_combout
+// ) ) ) # ( \reg_write_data[1]~0_combout & ( !\datamem|ram~5455_combout & ( (\Add0~53_sumout & !\reg_write_data[1]~1_combout ) ) ) ) # ( !\reg_write_data[1]~0_combout & ( !\datamem|ram~5455_combout & ( \alu_unit|Mux1~4_combout ) ) )
+
+ .dataa(!\Add0~53_sumout ),
+ .datab(gnd),
+ .datac(!\reg_write_data[1]~1_combout ),
+ .datad(!\alu_unit|Mux1~4_combout ),
+ .datae(!\reg_write_data[1]~0_combout ),
+ .dataf(!\datamem|ram~5455_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[14]~17_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[14]~17 .extended_lut = "off";
+defparam \reg_write_data[14]~17 .lut_mask = 64'h00FF505000FF5F5F;
+defparam \reg_write_data[14]~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N46
+dffeas \reg_file|reg_array[7][14] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[14]~17_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][14]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][14] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][14] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y15_N37
+dffeas \reg_file|reg_array[4][14] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[14]~17_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][14]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][14] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][14] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N39
+cyclonev_lcell_comb \reg_file|reg_read_data_1[14]~28 (
+// Equation(s):
+// \reg_file|reg_read_data_1[14]~28_combout = ( \instrucion_memory|rom~12_combout & ( \instrucion_memory|rom~11_combout & ( \reg_file|reg_array[7][14]~q ) ) ) # ( !\instrucion_memory|rom~12_combout & ( \instrucion_memory|rom~11_combout & (
+// \reg_file|reg_array[3][14]~q ) ) ) # ( \instrucion_memory|rom~12_combout & ( !\instrucion_memory|rom~11_combout & ( \reg_file|reg_array[4][14]~q ) ) ) # ( !\instrucion_memory|rom~12_combout & ( !\instrucion_memory|rom~11_combout & (
+// \reg_file|reg_array[0][14]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[7][14]~q ),
+ .datab(!\reg_file|reg_array[0][14]~q ),
+ .datac(!\reg_file|reg_array[4][14]~q ),
+ .datad(!\reg_file|reg_array[3][14]~q ),
+ .datae(!\instrucion_memory|rom~12_combout ),
+ .dataf(!\instrucion_memory|rom~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[14]~28_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[14]~28 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[14]~28 .lut_mask = 64'h33330F0F00FF5555;
+defparam \reg_file|reg_read_data_1[14]~28 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N12
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[1]~5 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[1]~5_combout = ( \instrucion_memory|rom~20_combout & ( \control_unit|WideOr0~0_combout & ( \reg_file|reg_read_data_1[15]~24_combout ) ) ) # ( !\instrucion_memory|rom~20_combout & ( \control_unit|WideOr0~0_combout & (
+// \reg_file|reg_read_data_1[14]~28_combout ) ) ) # ( \instrucion_memory|rom~20_combout & ( !\control_unit|WideOr0~0_combout & ( (!\reg_file|Equal1~0_combout & ((!\reg_file|reg_read_data_2[0]~1_combout & (\reg_file|reg_read_data_1[14]~28_combout )) #
+// (\reg_file|reg_read_data_2[0]~1_combout & ((\reg_file|reg_read_data_1[15]~24_combout ))))) # (\reg_file|Equal1~0_combout & (\reg_file|reg_read_data_1[14]~28_combout )) ) ) ) # ( !\instrucion_memory|rom~20_combout & ( !\control_unit|WideOr0~0_combout &
+// ( (!\reg_file|Equal1~0_combout & ((!\reg_file|reg_read_data_2[0]~1_combout & (\reg_file|reg_read_data_1[14]~28_combout )) # (\reg_file|reg_read_data_2[0]~1_combout & ((\reg_file|reg_read_data_1[15]~24_combout ))))) # (\reg_file|Equal1~0_combout &
+// (\reg_file|reg_read_data_1[14]~28_combout )) ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(!\reg_file|reg_read_data_1[14]~28_combout ),
+ .datac(!\reg_file|reg_read_data_1[15]~24_combout ),
+ .datad(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datae(!\instrucion_memory|rom~20_combout ),
+ .dataf(!\control_unit|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[1]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[1]~5 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[1]~5 .lut_mask = 64'h331B331B33330F0F;
+defparam \alu_unit|shifter_right|st2[1]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N21
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[1]~6 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[1]~6_combout = ( \alu_unit|shifter_right|st2[1]~5_combout & ( \alu_unit|shifter_left|st2[1]~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\alu_unit|shifter_left|st2[1]~0_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|shifter_right|st2[1]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[1]~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[1]~6 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[1]~6 .lut_mask = 64'h0000000000FF00FF;
+defparam \alu_unit|shifter_right|st2[1]~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N24
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[9]~4 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[9]~4_combout = ( \reg_file|reg_read_data_1[6]~19_combout & ( \read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[7]~21_combout ))) # (\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[9]~9_combout )) ) ) ) # ( !\reg_file|reg_read_data_1[6]~19_combout & ( \read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[7]~21_combout ))) # (\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[9]~9_combout )) ) ) ) # ( \reg_file|reg_read_data_1[6]~19_combout & ( !\read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[8]~23_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[6]~19_combout
+// & ( !\read_data2[0]~1_combout & ( (\read_data2[1]~0_combout & \reg_file|reg_read_data_1[8]~23_combout ) ) ) )
+
+ .dataa(!\read_data2[1]~0_combout ),
+ .datab(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .datac(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datad(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datae(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .dataf(!\read_data2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[9]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[9]~4 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[9]~4 .lut_mask = 64'h1111BBBB05AF05AF;
+defparam \alu_unit|shifter_right|st2[9]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N57
+cyclonev_lcell_comb \alu_unit|Mux9~2 (
+// Equation(s):
+// \alu_unit|Mux9~2_combout = ( \read_data2[2]~3_combout & ( \alu_unit|shifter_right|st2[9]~4_combout & ( (\alu_unit|Mux10~0_combout & \alu_unit|shifter_left|st2[6]~7_combout ) ) ) ) # ( !\read_data2[2]~3_combout & (
+// \alu_unit|shifter_right|st2[9]~4_combout & ( (!\alu_unit|Mux10~0_combout & ((!\read_data2[3]~2_combout ) # ((\alu_unit|shifter_right|st2[1]~6_combout )))) # (\alu_unit|Mux10~0_combout & (((\alu_unit|shifter_left|st2[6]~7_combout )))) ) ) ) # (
+// \read_data2[2]~3_combout & ( !\alu_unit|shifter_right|st2[9]~4_combout & ( (\alu_unit|Mux10~0_combout & \alu_unit|shifter_left|st2[6]~7_combout ) ) ) ) # ( !\read_data2[2]~3_combout & ( !\alu_unit|shifter_right|st2[9]~4_combout & (
+// (!\alu_unit|Mux10~0_combout & (\read_data2[3]~2_combout & ((\alu_unit|shifter_right|st2[1]~6_combout )))) # (\alu_unit|Mux10~0_combout & (((\alu_unit|shifter_left|st2[6]~7_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~0_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\alu_unit|shifter_left|st2[6]~7_combout ),
+ .datad(!\alu_unit|shifter_right|st2[1]~6_combout ),
+ .datae(!\read_data2[2]~3_combout ),
+ .dataf(!\alu_unit|shifter_right|st2[9]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux9~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux9~2 .extended_lut = "off";
+defparam \alu_unit|Mux9~2 .lut_mask = 64'h052705058DAF0505;
+defparam \alu_unit|Mux9~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N57
+cyclonev_lcell_comb \alu_unit|Mux11~5 (
+// Equation(s):
+// \alu_unit|Mux11~5_combout = ( \ALU_Control_unit|WideOr2~0_combout & ( (\read_data2[2]~3_combout & (!\read_data2[3]~2_combout & \ALU_Control_unit|WideOr1~0_combout )) ) ) # ( !\ALU_Control_unit|WideOr2~0_combout & ( (\read_data2[2]~3_combout &
+// !\read_data2[3]~2_combout ) ) )
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(gnd),
+ .datac(!\read_data2[3]~2_combout ),
+ .datad(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datae(gnd),
+ .dataf(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux11~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux11~5 .extended_lut = "off";
+defparam \alu_unit|Mux11~5 .lut_mask = 64'h5050505000500050;
+defparam \alu_unit|Mux11~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N6
+cyclonev_lcell_comb \alu_unit|Mux9~1 (
+// Equation(s):
+// \alu_unit|Mux9~1_combout = (\alu_unit|Mux11~5_combout & \alu_unit|shifter_right|st2[5]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\alu_unit|Mux11~5_combout ),
+ .datad(!\alu_unit|shifter_right|st2[5]~3_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux9~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux9~1 .extended_lut = "off";
+defparam \alu_unit|Mux9~1 .lut_mask = 64'h000F000F000F000F;
+defparam \alu_unit|Mux9~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N12
+cyclonev_lcell_comb \alu_unit|Mux9~6 (
+// Equation(s):
+// \alu_unit|Mux9~6_combout = ( \alu_unit|Mux9~1_combout & ( (!\alu_unit|Mux11~1_combout & ((!\alu_unit|Mux11~3_combout ) # (!\alu_unit|shifter_left|st2[2]~2_combout ))) ) ) # ( !\alu_unit|Mux9~1_combout & ( (!\alu_unit|Mux11~1_combout &
+// ((!\alu_unit|Mux11~3_combout ) # ((!\alu_unit|shifter_left|st2[2]~2_combout )))) # (\alu_unit|Mux11~1_combout & (!\alu_unit|Mux9~2_combout & ((!\alu_unit|Mux11~3_combout ) # (!\alu_unit|shifter_left|st2[2]~2_combout )))) ) )
+
+ .dataa(!\alu_unit|Mux11~1_combout ),
+ .datab(!\alu_unit|Mux11~3_combout ),
+ .datac(!\alu_unit|shifter_left|st2[2]~2_combout ),
+ .datad(!\alu_unit|Mux9~2_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux9~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux9~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux9~6 .extended_lut = "off";
+defparam \alu_unit|Mux9~6 .lut_mask = 64'hFCA8FCA8A8A8A8A8;
+defparam \alu_unit|Mux9~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N33
+cyclonev_lcell_comb \alu_unit|Mux9~0 (
+// Equation(s):
+// \alu_unit|Mux9~0_combout = ( \reg_file|reg_read_data_1[6]~19_combout & ( \alu_unit|Add1~21_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout ) # ((!\ALU_Control_unit|WideOr1~0_combout & ((\alu_unit|Add0~21_sumout ))) #
+// (\ALU_Control_unit|WideOr1~0_combout & (\read_data2[6]~6_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[6]~19_combout & ( \alu_unit|Add1~21_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout ) #
+// (\alu_unit|Add0~21_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout & (\read_data2[6]~6_combout & ((!\ALU_Control_unit|WideOr2~0_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[6]~19_combout & ( !\alu_unit|Add1~21_sumout & (
+// (!\ALU_Control_unit|WideOr1~0_combout & (((\alu_unit|Add0~21_sumout & \ALU_Control_unit|WideOr2~0_combout )))) # (\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout )) # (\read_data2[6]~6_combout ))) ) ) ) # (
+// !\reg_file|reg_read_data_1[6]~19_combout & ( !\alu_unit|Add1~21_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((\alu_unit|Add0~21_sumout & \ALU_Control_unit|WideOr2~0_combout )))) # (\ALU_Control_unit|WideOr1~0_combout &
+// (\read_data2[6]~6_combout & ((!\ALU_Control_unit|WideOr2~0_combout )))) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datab(!\read_data2[6]~6_combout ),
+ .datac(!\alu_unit|Add0~21_sumout ),
+ .datad(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .dataf(!\alu_unit|Add1~21_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux9~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux9~0 .extended_lut = "off";
+defparam \alu_unit|Mux9~0 .lut_mask = 64'h110A551BBB0AFF1B;
+defparam \alu_unit|Mux9~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N39
+cyclonev_lcell_comb \alu_unit|Mux9~4 (
+// Equation(s):
+// \alu_unit|Mux9~4_combout = ( \alu_unit|Mult0~14 & ( (!\ALU_Control_unit|WideOr0~0_combout & (((\alu_unit|Mux9~0_combout )))) # (\ALU_Control_unit|WideOr0~0_combout & ((!\alu_unit|Mux10~1_combout ) # ((!\alu_unit|Mux9~6_combout )))) ) ) # (
+// !\alu_unit|Mult0~14 & ( (!\ALU_Control_unit|WideOr0~0_combout & ((\alu_unit|Mux9~0_combout ))) # (\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux9~6_combout )) ) )
+
+ .dataa(!\alu_unit|Mux10~1_combout ),
+ .datab(!\alu_unit|Mux9~6_combout ),
+ .datac(!\alu_unit|Mux9~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mult0~14 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux9~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux9~4 .extended_lut = "off";
+defparam \alu_unit|Mux9~4 .lut_mask = 64'h0FCC0FCC0FEE0FEE;
+defparam \alu_unit|Mux9~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N43
+dffeas \datamem|ram~1334 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1334_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1334 .is_wysiwyg = "true";
+defparam \datamem|ram~1334 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N34
+dffeas \datamem|ram~1526 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1526_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1526 .is_wysiwyg = "true";
+defparam \datamem|ram~1526 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N37
+dffeas \datamem|ram~1462 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1462_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1462 .is_wysiwyg = "true";
+defparam \datamem|ram~1462 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y6_N39
+cyclonev_lcell_comb \datamem|ram~1398feeder (
+// Equation(s):
+// \datamem|ram~1398feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1398feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1398feeder .extended_lut = "off";
+defparam \datamem|ram~1398feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1398feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y6_N40
+dffeas \datamem|ram~1398 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1398feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1398_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1398 .is_wysiwyg = "true";
+defparam \datamem|ram~1398 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y13_N12
+cyclonev_lcell_comb \datamem|ram~4983 (
+// Equation(s):
+// \datamem|ram~4983_combout = ( \datamem|ram~1398_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1462_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1526_q )) ) ) ) # ( !\datamem|ram~1398_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1462_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1526_q )) ) ) ) # ( \datamem|ram~1398_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1334_q ) ) ) ) # ( !\datamem|ram~1398_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1334_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1334_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~1526_q ),
+ .datad(!\datamem|ram~1462_q ),
+ .datae(!\datamem|ram~1398_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4983_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4983 .extended_lut = "off";
+defparam \datamem|ram~4983 .lut_mask = 64'h4444777703CF03CF;
+defparam \datamem|ram~4983 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N37
+dffeas \datamem|ram~1590 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1590_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1590 .is_wysiwyg = "true";
+defparam \datamem|ram~1590 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N48
+cyclonev_lcell_comb \datamem|ram~1654feeder (
+// Equation(s):
+// \datamem|ram~1654feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1654feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1654feeder .extended_lut = "off";
+defparam \datamem|ram~1654feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1654feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N49
+dffeas \datamem|ram~1654 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1654feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1654_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1654 .is_wysiwyg = "true";
+defparam \datamem|ram~1654 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N26
+dffeas \datamem|ram~1718 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1718_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1718 .is_wysiwyg = "true";
+defparam \datamem|ram~1718 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N32
+dffeas \datamem|ram~1782 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1782_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1782 .is_wysiwyg = "true";
+defparam \datamem|ram~1782 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N30
+cyclonev_lcell_comb \datamem|ram~4984 (
+// Equation(s):
+// \datamem|ram~4984_combout = ( \datamem|ram~1782_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1718_q ) ) ) ) # ( !\datamem|ram~1782_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1718_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1782_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1590_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1654_q ))) ) ) ) # ( !\datamem|ram~1782_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1590_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1654_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1590_q ),
+ .datab(!\datamem|ram~1654_q ),
+ .datac(!\datamem|ram~1718_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1782_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4984_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4984 .extended_lut = "off";
+defparam \datamem|ram~4984 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4984 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N51
+cyclonev_lcell_comb \datamem|ram~1078feeder (
+// Equation(s):
+// \datamem|ram~1078feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1078feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1078feeder .extended_lut = "off";
+defparam \datamem|ram~1078feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1078feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N53
+dffeas \datamem|ram~1078 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1078feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1078_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1078 .is_wysiwyg = "true";
+defparam \datamem|ram~1078 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N58
+dffeas \datamem|ram~1142 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1142_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1142 .is_wysiwyg = "true";
+defparam \datamem|ram~1142 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N9
+cyclonev_lcell_comb \datamem|ram~1206feeder (
+// Equation(s):
+// \datamem|ram~1206feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1206feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1206feeder .extended_lut = "off";
+defparam \datamem|ram~1206feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1206feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N11
+dffeas \datamem|ram~1206 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1206feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1206_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1206 .is_wysiwyg = "true";
+defparam \datamem|ram~1206 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N38
+dffeas \datamem|ram~1270 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1270_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1270 .is_wysiwyg = "true";
+defparam \datamem|ram~1270 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y11_N36
+cyclonev_lcell_comb \datamem|ram~4982 (
+// Equation(s):
+// \datamem|ram~4982_combout = ( \datamem|ram~1270_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1206_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1270_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1206_q ) ) ) ) # ( \datamem|ram~1270_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1078_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1142_q ))) ) ) ) # ( !\datamem|ram~1270_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1078_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1142_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1078_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~1142_q ),
+ .datad(!\datamem|ram~1206_q ),
+ .datae(!\datamem|ram~1270_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4982_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4982 .extended_lut = "off";
+defparam \datamem|ram~4982 .lut_mask = 64'h4747474700CC33FF;
+defparam \datamem|ram~4982 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N38
+dffeas \datamem|ram~1846 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1846_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1846 .is_wysiwyg = "true";
+defparam \datamem|ram~1846 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y9_N25
+dffeas \datamem|ram~1974 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1974_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1974 .is_wysiwyg = "true";
+defparam \datamem|ram~1974 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N49
+dffeas \datamem|ram~1910 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1910_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1910 .is_wysiwyg = "true";
+defparam \datamem|ram~1910 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N56
+dffeas \datamem|ram~2038 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2038_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2038 .is_wysiwyg = "true";
+defparam \datamem|ram~2038 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y16_N54
+cyclonev_lcell_comb \datamem|ram~4985 (
+// Equation(s):
+// \datamem|ram~4985_combout = ( \datamem|ram~2038_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1974_q ) ) ) ) # ( !\datamem|ram~2038_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1974_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2038_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1846_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1910_q ))) ) ) ) # ( !\datamem|ram~2038_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1846_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1910_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1846_q ),
+ .datab(!\datamem|ram~1974_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1910_q ),
+ .datae(!\datamem|ram~2038_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4985_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4985 .extended_lut = "off";
+defparam \datamem|ram~4985 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4985 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y13_N39
+cyclonev_lcell_comb \datamem|ram~4986 (
+// Equation(s):
+// \datamem|ram~4986_combout = ( \datamem|ram~4982_combout & ( \datamem|ram~4985_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout ) # ((\datamem|ram~4984_combout )))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4983_combout )) #
+// (\alu_unit|Mux9~4_combout ))) ) ) ) # ( !\datamem|ram~4982_combout & ( \datamem|ram~4985_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout & ((\datamem|ram~4984_combout )))) # (\alu_unit|Mux10~6_combout &
+// (((\datamem|ram~4983_combout )) # (\alu_unit|Mux9~4_combout ))) ) ) ) # ( \datamem|ram~4982_combout & ( !\datamem|ram~4985_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout ) # ((\datamem|ram~4984_combout )))) #
+// (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~4983_combout ))) ) ) ) # ( !\datamem|ram~4982_combout & ( !\datamem|ram~4985_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout & ((\datamem|ram~4984_combout
+// )))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~4983_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4983_combout ),
+ .datad(!\datamem|ram~4984_combout ),
+ .datae(!\datamem|ram~4982_combout ),
+ .dataf(!\datamem|ram~4985_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4986_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4986 .extended_lut = "off";
+defparam \datamem|ram~4986 .lut_mask = 64'h04268CAE15379DBF;
+defparam \datamem|ram~4986 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y14_N54
+cyclonev_lcell_comb \datamem|ram~1542feeder (
+// Equation(s):
+// \datamem|ram~1542feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1542feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1542feeder .extended_lut = "off";
+defparam \datamem|ram~1542feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1542feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N56
+dffeas \datamem|ram~1542 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1542feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1542_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1542 .is_wysiwyg = "true";
+defparam \datamem|ram~1542 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y14_N48
+cyclonev_lcell_comb \datamem|ram~1798feeder (
+// Equation(s):
+// \datamem|ram~1798feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1798feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1798feeder .extended_lut = "off";
+defparam \datamem|ram~1798feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1798feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N49
+dffeas \datamem|ram~1798 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1798feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1798_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1798 .is_wysiwyg = "true";
+defparam \datamem|ram~1798 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y10_N18
+cyclonev_lcell_comb \datamem|ram~1030feeder (
+// Equation(s):
+// \datamem|ram~1030feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1030feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1030feeder .extended_lut = "off";
+defparam \datamem|ram~1030feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1030feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N19
+dffeas \datamem|ram~1030 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1030feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1030_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1030 .is_wysiwyg = "true";
+defparam \datamem|ram~1030 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y13_N26
+dffeas \datamem|ram~1286 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1286_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1286 .is_wysiwyg = "true";
+defparam \datamem|ram~1286 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y13_N24
+cyclonev_lcell_comb \datamem|ram~4967 (
+// Equation(s):
+// \datamem|ram~4967_combout = ( \datamem|ram~1286_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1798_q ) ) ) ) # ( !\datamem|ram~1286_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1798_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1286_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1030_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1542_q )) ) ) ) # ( !\datamem|ram~1286_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1030_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1542_q )) ) ) )
+
+ .dataa(!\datamem|ram~1542_q ),
+ .datab(!\datamem|ram~1798_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1030_q ),
+ .datae(!\datamem|ram~1286_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4967_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4967 .extended_lut = "off";
+defparam \datamem|ram~4967 .lut_mask = 64'h05F505F50303F3F3;
+defparam \datamem|ram~4967 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y13_N16
+dffeas \datamem|ram~1222 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1222_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1222 .is_wysiwyg = "true";
+defparam \datamem|ram~1222 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N9
+cyclonev_lcell_comb \datamem|ram~1734feeder (
+// Equation(s):
+// \datamem|ram~1734feeder_combout = \reg_file|reg_read_data_2[6]~6_combout
+
+ .dataa(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1734feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1734feeder .extended_lut = "off";
+defparam \datamem|ram~1734feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1734feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N10
+dffeas \datamem|ram~1734 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1734feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1734_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1734 .is_wysiwyg = "true";
+defparam \datamem|ram~1734 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N39
+cyclonev_lcell_comb \datamem|ram~1478feeder (
+// Equation(s):
+// \datamem|ram~1478feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1478feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1478feeder .extended_lut = "off";
+defparam \datamem|ram~1478feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1478feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N41
+dffeas \datamem|ram~1478 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1478feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1478_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1478 .is_wysiwyg = "true";
+defparam \datamem|ram~1478 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y13_N14
+dffeas \datamem|ram~1990 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1990_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1990 .is_wysiwyg = "true";
+defparam \datamem|ram~1990 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y13_N12
+cyclonev_lcell_comb \datamem|ram~4970 (
+// Equation(s):
+// \datamem|ram~4970_combout = ( \datamem|ram~1990_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1478_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~1990_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~1478_q ) ) ) ) # ( \datamem|ram~1990_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1222_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1734_q ))) ) ) ) # ( !\datamem|ram~1990_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1222_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1734_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1222_q ),
+ .datab(!\datamem|ram~1734_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1478_q ),
+ .datae(!\datamem|ram~1990_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4970_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4970 .extended_lut = "off";
+defparam \datamem|ram~4970 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4970 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y10_N45
+cyclonev_lcell_comb \datamem|ram~1094feeder (
+// Equation(s):
+// \datamem|ram~1094feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1094feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1094feeder .extended_lut = "off";
+defparam \datamem|ram~1094feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1094feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N47
+dffeas \datamem|ram~1094 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1094feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1094_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1094 .is_wysiwyg = "true";
+defparam \datamem|ram~1094 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N19
+dffeas \datamem|ram~1862 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1862_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1862 .is_wysiwyg = "true";
+defparam \datamem|ram~1862 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N1
+dffeas \datamem|ram~1606 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1606_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1606 .is_wysiwyg = "true";
+defparam \datamem|ram~1606 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N56
+dffeas \datamem|ram~1350 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1350_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1350 .is_wysiwyg = "true";
+defparam \datamem|ram~1350 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N54
+cyclonev_lcell_comb \datamem|ram~4968 (
+// Equation(s):
+// \datamem|ram~4968_combout = ( \datamem|ram~1350_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1862_q ) ) ) ) # ( !\datamem|ram~1350_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1862_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1350_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1094_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1606_q ))) ) ) ) # ( !\datamem|ram~1350_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1094_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1606_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1094_q ),
+ .datab(!\datamem|ram~1862_q ),
+ .datac(!\datamem|ram~1606_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1350_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4968_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4968 .extended_lut = "off";
+defparam \datamem|ram~4968 .lut_mask = 64'h550F550F0033FF33;
+defparam \datamem|ram~4968 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N8
+dffeas \datamem|ram~1414 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1414_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1414 .is_wysiwyg = "true";
+defparam \datamem|ram~1414 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N16
+dffeas \datamem|ram~1158 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1158_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1158 .is_wysiwyg = "true";
+defparam \datamem|ram~1158 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N48
+cyclonev_lcell_comb \datamem|ram~1670feeder (
+// Equation(s):
+// \datamem|ram~1670feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1670feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1670feeder .extended_lut = "off";
+defparam \datamem|ram~1670feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1670feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N49
+dffeas \datamem|ram~1670 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1670feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1670_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1670 .is_wysiwyg = "true";
+defparam \datamem|ram~1670 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y13_N44
+dffeas \datamem|ram~1926 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1926_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1926 .is_wysiwyg = "true";
+defparam \datamem|ram~1926 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y13_N42
+cyclonev_lcell_comb \datamem|ram~4969 (
+// Equation(s):
+// \datamem|ram~4969_combout = ( \datamem|ram~1926_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1414_q ) ) ) ) # ( !\datamem|ram~1926_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1414_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1926_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1158_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1670_q ))) ) ) ) # ( !\datamem|ram~1926_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1158_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1670_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1414_q ),
+ .datab(!\datamem|ram~1158_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1670_q ),
+ .datae(!\datamem|ram~1926_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4969_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4969 .extended_lut = "off";
+defparam \datamem|ram~4969 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4969 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y13_N54
+cyclonev_lcell_comb \datamem|ram~4971 (
+// Equation(s):
+// \datamem|ram~4971_combout = ( \datamem|ram~4968_combout & ( \datamem|ram~4969_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4967_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~4970_combout )))) ) ) ) # ( !\datamem|ram~4968_combout & ( \datamem|ram~4969_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4967_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\alu_unit|Mux11~4_combout & ((\datamem|ram~4970_combout )))) ) ) ) # ( \datamem|ram~4968_combout & ( !\datamem|ram~4969_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4967_combout ))) #
+// (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4970_combout )))) ) ) ) # ( !\datamem|ram~4968_combout & ( !\datamem|ram~4969_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4967_combout ))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~4970_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4967_combout ),
+ .datad(!\datamem|ram~4970_combout ),
+ .datae(!\datamem|ram~4968_combout ),
+ .dataf(!\datamem|ram~4969_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4971_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4971 .extended_lut = "off";
+defparam \datamem|ram~4971 .lut_mask = 64'h08194C5D2A3B6E7F;
+defparam \datamem|ram~4971 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y14_N54
+cyclonev_lcell_comb \datamem|ram~1750feeder (
+// Equation(s):
+// \datamem|ram~1750feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1750feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1750feeder .extended_lut = "off";
+defparam \datamem|ram~1750feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1750feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N55
+dffeas \datamem|ram~1750 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1750feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1750_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1750 .is_wysiwyg = "true";
+defparam \datamem|ram~1750 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y15_N0
+cyclonev_lcell_comb \datamem|ram~1238feeder (
+// Equation(s):
+// \datamem|ram~1238feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1238feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1238feeder .extended_lut = "off";
+defparam \datamem|ram~1238feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1238feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N1
+dffeas \datamem|ram~1238 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1238feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1238_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1238 .is_wysiwyg = "true";
+defparam \datamem|ram~1238 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y15_N54
+cyclonev_lcell_comb \datamem|ram~1494feeder (
+// Equation(s):
+// \datamem|ram~1494feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1494feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1494feeder .extended_lut = "off";
+defparam \datamem|ram~1494feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1494feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N55
+dffeas \datamem|ram~1494 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1494feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1494_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1494 .is_wysiwyg = "true";
+defparam \datamem|ram~1494 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N38
+dffeas \datamem|ram~2006 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2006_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2006 .is_wysiwyg = "true";
+defparam \datamem|ram~2006 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N36
+cyclonev_lcell_comb \datamem|ram~4975 (
+// Equation(s):
+// \datamem|ram~4975_combout = ( \datamem|ram~2006_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1750_q ) ) ) ) # ( !\datamem|ram~2006_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1750_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2006_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1238_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1494_q ))) ) ) ) # ( !\datamem|ram~2006_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1238_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1494_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1750_q ),
+ .datab(!\datamem|ram~1238_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1494_q ),
+ .datae(!\datamem|ram~2006_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4975_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4975 .extended_lut = "off";
+defparam \datamem|ram~4975 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4975 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y11_N53
+dffeas \datamem|ram~1622 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1622_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1622 .is_wysiwyg = "true";
+defparam \datamem|ram~1622 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y14_N21
+cyclonev_lcell_comb \datamem|ram~1110feeder (
+// Equation(s):
+// \datamem|ram~1110feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1110feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1110feeder .extended_lut = "off";
+defparam \datamem|ram~1110feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1110feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N22
+dffeas \datamem|ram~1110 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1110feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1110_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1110 .is_wysiwyg = "true";
+defparam \datamem|ram~1110 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N28
+dffeas \datamem|ram~1878 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1878_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1878 .is_wysiwyg = "true";
+defparam \datamem|ram~1878 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y11_N56
+dffeas \datamem|ram~1366 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1366_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1366 .is_wysiwyg = "true";
+defparam \datamem|ram~1366 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y11_N54
+cyclonev_lcell_comb \datamem|ram~4973 (
+// Equation(s):
+// \datamem|ram~4973_combout = ( \datamem|ram~1366_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1878_q ) ) ) ) # ( !\datamem|ram~1366_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1878_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1366_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1110_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1622_q )) ) ) ) # ( !\datamem|ram~1366_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1110_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1622_q )) ) ) )
+
+ .dataa(!\datamem|ram~1622_q ),
+ .datab(!\datamem|ram~1110_q ),
+ .datac(!\datamem|ram~1878_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1366_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4973_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4973 .extended_lut = "off";
+defparam \datamem|ram~4973 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~4973 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N48
+cyclonev_lcell_comb \datamem|ram~1046feeder (
+// Equation(s):
+// \datamem|ram~1046feeder_combout = \reg_file|reg_read_data_2[6]~6_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1046feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1046feeder .extended_lut = "off";
+defparam \datamem|ram~1046feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1046feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N50
+dffeas \datamem|ram~1046 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1046feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1046_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1046 .is_wysiwyg = "true";
+defparam \datamem|ram~1046 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N12
+cyclonev_lcell_comb \datamem|ram~1814feeder (
+// Equation(s):
+// \datamem|ram~1814feeder_combout = \reg_file|reg_read_data_2[6]~6_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1814feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1814feeder .extended_lut = "off";
+defparam \datamem|ram~1814feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1814feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N14
+dffeas \datamem|ram~1814 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1814feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1814_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1814 .is_wysiwyg = "true";
+defparam \datamem|ram~1814 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N15
+cyclonev_lcell_comb \datamem|ram~1558feeder (
+// Equation(s):
+// \datamem|ram~1558feeder_combout = \reg_file|reg_read_data_2[6]~6_combout
+
+ .dataa(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1558feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1558feeder .extended_lut = "off";
+defparam \datamem|ram~1558feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1558feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N16
+dffeas \datamem|ram~1558 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1558feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1558_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1558 .is_wysiwyg = "true";
+defparam \datamem|ram~1558 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N38
+dffeas \datamem|ram~1302 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1302_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1302 .is_wysiwyg = "true";
+defparam \datamem|ram~1302 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N36
+cyclonev_lcell_comb \datamem|ram~4972 (
+// Equation(s):
+// \datamem|ram~4972_combout = ( \datamem|ram~1302_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1814_q ) ) ) ) # ( !\datamem|ram~1302_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1814_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1302_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1046_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1558_q ))) ) ) ) # ( !\datamem|ram~1302_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1046_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1558_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1046_q ),
+ .datab(!\datamem|ram~1814_q ),
+ .datac(!\datamem|ram~1558_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1302_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4972_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4972 .extended_lut = "off";
+defparam \datamem|ram~4972 .lut_mask = 64'h550F550F0033FF33;
+defparam \datamem|ram~4972 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y17_N0
+cyclonev_lcell_comb \datamem|ram~1430feeder (
+// Equation(s):
+// \datamem|ram~1430feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1430feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1430feeder .extended_lut = "off";
+defparam \datamem|ram~1430feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1430feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N2
+dffeas \datamem|ram~1430 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1430feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1430_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1430 .is_wysiwyg = "true";
+defparam \datamem|ram~1430 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y17_N12
+cyclonev_lcell_comb \datamem|ram~1174feeder (
+// Equation(s):
+// \datamem|ram~1174feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1174feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1174feeder .extended_lut = "off";
+defparam \datamem|ram~1174feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1174feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N14
+dffeas \datamem|ram~1174 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1174feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1174_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1174 .is_wysiwyg = "true";
+defparam \datamem|ram~1174 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N13
+dffeas \datamem|ram~1686 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1686_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1686 .is_wysiwyg = "true";
+defparam \datamem|ram~1686 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N26
+dffeas \datamem|ram~1942 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1942_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1942 .is_wysiwyg = "true";
+defparam \datamem|ram~1942 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y17_N24
+cyclonev_lcell_comb \datamem|ram~4974 (
+// Equation(s):
+// \datamem|ram~4974_combout = ( \datamem|ram~1942_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1686_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~1942_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~1686_q ) ) ) ) # ( \datamem|ram~1942_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1174_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1430_q )) ) ) ) # ( !\datamem|ram~1942_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1174_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1430_q )) ) ) )
+
+ .dataa(!\datamem|ram~1430_q ),
+ .datab(!\datamem|ram~1174_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1686_q ),
+ .datae(!\datamem|ram~1942_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4974_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4974 .extended_lut = "off";
+defparam \datamem|ram~4974 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4974 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N18
+cyclonev_lcell_comb \datamem|ram~4976 (
+// Equation(s):
+// \datamem|ram~4976_combout = ( \datamem|ram~4972_combout & ( \datamem|ram~4974_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4973_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4975_combout ))) ) )
+// ) # ( !\datamem|ram~4972_combout & ( \datamem|ram~4974_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4973_combout ))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~4975_combout )))) ) ) ) # ( \datamem|ram~4972_combout & ( !\datamem|ram~4974_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4973_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4975_combout )))) ) ) ) # ( !\datamem|ram~4972_combout & ( !\datamem|ram~4974_combout & ( (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4973_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4975_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4975_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4973_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4972_combout ),
+ .dataf(!\datamem|ram~4974_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4976_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4976 .extended_lut = "off";
+defparam \datamem|ram~4976 .lut_mask = 64'h0311CF1103DDCFDD;
+defparam \datamem|ram~4976 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N51
+cyclonev_lcell_comb \datamem|ram~1510feeder (
+// Equation(s):
+// \datamem|ram~1510feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1510feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1510feeder .extended_lut = "off";
+defparam \datamem|ram~1510feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1510feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N53
+dffeas \datamem|ram~1510 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1510feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1510_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1510 .is_wysiwyg = "true";
+defparam \datamem|ram~1510 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y14_N36
+cyclonev_lcell_comb \datamem|ram~1254feeder (
+// Equation(s):
+// \datamem|ram~1254feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1254feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1254feeder .extended_lut = "off";
+defparam \datamem|ram~1254feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1254feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N37
+dffeas \datamem|ram~1254 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1254feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1254_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1254 .is_wysiwyg = "true";
+defparam \datamem|ram~1254 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y18_N58
+dffeas \datamem|ram~1766 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1766_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1766 .is_wysiwyg = "true";
+defparam \datamem|ram~1766 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y14_N32
+dffeas \datamem|ram~2022 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2022_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2022 .is_wysiwyg = "true";
+defparam \datamem|ram~2022 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y14_N30
+cyclonev_lcell_comb \datamem|ram~4980 (
+// Equation(s):
+// \datamem|ram~4980_combout = ( \datamem|ram~2022_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1766_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~2022_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~1766_q ) ) ) ) # ( \datamem|ram~2022_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1254_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1510_q )) ) ) ) # ( !\datamem|ram~2022_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1254_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1510_q )) ) ) )
+
+ .dataa(!\datamem|ram~1510_q ),
+ .datab(!\datamem|ram~1254_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1766_q ),
+ .datae(!\datamem|ram~2022_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4980_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4980 .extended_lut = "off";
+defparam \datamem|ram~4980 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4980 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y23_N39
+cyclonev_lcell_comb \datamem|ram~1446feeder (
+// Equation(s):
+// \datamem|ram~1446feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1446feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1446feeder .extended_lut = "off";
+defparam \datamem|ram~1446feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1446feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N40
+dffeas \datamem|ram~1446 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1446feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1446_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1446 .is_wysiwyg = "true";
+defparam \datamem|ram~1446 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N26
+dffeas \datamem|ram~1702 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1702_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1702 .is_wysiwyg = "true";
+defparam \datamem|ram~1702 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N56
+dffeas \datamem|ram~1190 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1190_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1190 .is_wysiwyg = "true";
+defparam \datamem|ram~1190 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y14_N2
+dffeas \datamem|ram~1958 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1958_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1958 .is_wysiwyg = "true";
+defparam \datamem|ram~1958 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y14_N0
+cyclonev_lcell_comb \datamem|ram~4979 (
+// Equation(s):
+// \datamem|ram~4979_combout = ( \datamem|ram~1958_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1702_q ) ) ) ) # ( !\datamem|ram~1958_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1702_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1958_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1190_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1446_q )) ) ) ) # ( !\datamem|ram~1958_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1190_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1446_q )) ) ) )
+
+ .dataa(!\datamem|ram~1446_q ),
+ .datab(!\datamem|ram~1702_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1190_q ),
+ .datae(!\datamem|ram~1958_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4979_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4979 .extended_lut = "off";
+defparam \datamem|ram~4979 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4979 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N58
+dffeas \datamem|ram~1382 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1382_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1382 .is_wysiwyg = "true";
+defparam \datamem|ram~1382 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N31
+dffeas \datamem|ram~1638 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1638_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1638 .is_wysiwyg = "true";
+defparam \datamem|ram~1638 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y14_N51
+cyclonev_lcell_comb \datamem|ram~1126feeder (
+// Equation(s):
+// \datamem|ram~1126feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1126feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1126feeder .extended_lut = "off";
+defparam \datamem|ram~1126feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1126feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N52
+dffeas \datamem|ram~1126 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1126feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1126_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1126 .is_wysiwyg = "true";
+defparam \datamem|ram~1126 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N50
+dffeas \datamem|ram~1894 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1894_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1894 .is_wysiwyg = "true";
+defparam \datamem|ram~1894 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y12_N48
+cyclonev_lcell_comb \datamem|ram~4978 (
+// Equation(s):
+// \datamem|ram~4978_combout = ( \datamem|ram~1894_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1638_q ) ) ) ) # ( !\datamem|ram~1894_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1638_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1894_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1126_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1382_q )) ) ) ) # ( !\datamem|ram~1894_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1126_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1382_q )) ) ) )
+
+ .dataa(!\datamem|ram~1382_q ),
+ .datab(!\datamem|ram~1638_q ),
+ .datac(!\datamem|ram~1126_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1894_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4978_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4978 .extended_lut = "off";
+defparam \datamem|ram~4978 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4978 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N57
+cyclonev_lcell_comb \datamem|ram~1062feeder (
+// Equation(s):
+// \datamem|ram~1062feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1062feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1062feeder .extended_lut = "off";
+defparam \datamem|ram~1062feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1062feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N58
+dffeas \datamem|ram~1062 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1062feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1062_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1062 .is_wysiwyg = "true";
+defparam \datamem|ram~1062 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N28
+dffeas \datamem|ram~1574 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1574_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1574 .is_wysiwyg = "true";
+defparam \datamem|ram~1574 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N39
+cyclonev_lcell_comb \datamem|ram~1318feeder (
+// Equation(s):
+// \datamem|ram~1318feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1318feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1318feeder .extended_lut = "off";
+defparam \datamem|ram~1318feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1318feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N40
+dffeas \datamem|ram~1318 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1318feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1318_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1318 .is_wysiwyg = "true";
+defparam \datamem|ram~1318 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y14_N20
+dffeas \datamem|ram~1830 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1830_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1830 .is_wysiwyg = "true";
+defparam \datamem|ram~1830 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y14_N18
+cyclonev_lcell_comb \datamem|ram~4977 (
+// Equation(s):
+// \datamem|ram~4977_combout = ( \datamem|ram~1830_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1574_q ) ) ) ) # ( !\datamem|ram~1830_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1574_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1830_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1062_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1318_q ))) ) ) ) # ( !\datamem|ram~1830_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1062_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1318_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1062_q ),
+ .datab(!\datamem|ram~1574_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1318_q ),
+ .datae(!\datamem|ram~1830_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4977_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4977 .extended_lut = "off";
+defparam \datamem|ram~4977 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4977 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y14_N12
+cyclonev_lcell_comb \datamem|ram~4981 (
+// Equation(s):
+// \datamem|ram~4981_combout = ( \datamem|ram~4978_combout & ( \datamem|ram~4977_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4979_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4980_combout ))) ) )
+// ) # ( !\datamem|ram~4978_combout & ( \datamem|ram~4977_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) # (\datamem|ram~4979_combout )))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4980_combout & ((\alu_unit|Mux11~4_combout
+// )))) ) ) ) # ( \datamem|ram~4978_combout & ( !\datamem|ram~4977_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4979_combout & \alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) #
+// (\datamem|ram~4980_combout ))) ) ) ) # ( !\datamem|ram~4978_combout & ( !\datamem|ram~4977_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4979_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4980_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~4980_combout ),
+ .datac(!\datamem|ram~4979_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4978_combout ),
+ .dataf(!\datamem|ram~4977_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4981_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4981 .extended_lut = "off";
+defparam \datamem|ram~4981 .lut_mask = 64'h001B551BAA1BFF1B;
+defparam \datamem|ram~4981 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N6
+cyclonev_lcell_comb \datamem|ram~4987 (
+// Equation(s):
+// \datamem|ram~4987_combout = ( \datamem|ram~4976_combout & ( \datamem|ram~4981_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4971_combout )) # (\alu_unit|Mux13~4_combout ))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) #
+// ((\datamem|ram~4986_combout )))) ) ) ) # ( !\datamem|ram~4976_combout & ( \datamem|ram~4981_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4971_combout )) # (\alu_unit|Mux13~4_combout ))) # (\alu_unit|Mux14~6_combout &
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4986_combout ))) ) ) ) # ( \datamem|ram~4976_combout & ( !\datamem|ram~4981_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4971_combout )))) #
+// (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4986_combout )))) ) ) ) # ( !\datamem|ram~4976_combout & ( !\datamem|ram~4981_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4971_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~4986_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4986_combout ),
+ .datad(!\datamem|ram~4971_combout ),
+ .datae(!\datamem|ram~4976_combout ),
+ .dataf(!\datamem|ram~4981_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4987_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4987 .extended_lut = "off";
+defparam \datamem|ram~4987 .lut_mask = 64'h018945CD23AB67EF;
+defparam \datamem|ram~4987 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y22_N24
+cyclonev_lcell_comb \datamem|ram~3222feeder (
+// Equation(s):
+// \datamem|ram~3222feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3222feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3222feeder .extended_lut = "off";
+defparam \datamem|ram~3222feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3222feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y22_N26
+dffeas \datamem|ram~3222 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3222feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3222_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3222 .is_wysiwyg = "true";
+defparam \datamem|ram~3222 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y22_N9
+cyclonev_lcell_comb \datamem|ram~3158feeder (
+// Equation(s):
+// \datamem|ram~3158feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3158feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3158feeder .extended_lut = "off";
+defparam \datamem|ram~3158feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3158feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y22_N11
+dffeas \datamem|ram~3158 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3158feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3158_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3158 .is_wysiwyg = "true";
+defparam \datamem|ram~3158 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N52
+dffeas \datamem|ram~3094 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3094_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3094 .is_wysiwyg = "true";
+defparam \datamem|ram~3094 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y22_N44
+dffeas \datamem|ram~3286 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3286_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3286 .is_wysiwyg = "true";
+defparam \datamem|ram~3286 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y22_N42
+cyclonev_lcell_comb \datamem|ram~5010 (
+// Equation(s):
+// \datamem|ram~5010_combout = ( \datamem|ram~3286_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3222_q ) ) ) ) # ( !\datamem|ram~3286_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3222_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3286_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3094_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3158_q )) ) ) ) # ( !\datamem|ram~3286_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3094_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3158_q )) ) ) )
+
+ .dataa(!\datamem|ram~3222_q ),
+ .datab(!\datamem|ram~3158_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3094_q ),
+ .datae(!\datamem|ram~3286_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5010_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5010 .extended_lut = "off";
+defparam \datamem|ram~5010 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5010 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N30
+cyclonev_lcell_comb \datamem|ram~3110feeder (
+// Equation(s):
+// \datamem|ram~3110feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3110feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3110feeder .extended_lut = "off";
+defparam \datamem|ram~3110feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3110feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N31
+dffeas \datamem|ram~3110 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3110feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3110_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3110 .is_wysiwyg = "true";
+defparam \datamem|ram~3110 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N32
+dffeas \datamem|ram~3238 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3238_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3238 .is_wysiwyg = "true";
+defparam \datamem|ram~3238 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N3
+cyclonev_lcell_comb \datamem|ram~3174feeder (
+// Equation(s):
+// \datamem|ram~3174feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3174feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3174feeder .extended_lut = "off";
+defparam \datamem|ram~3174feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3174feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N4
+dffeas \datamem|ram~3174 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3174feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3174_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3174 .is_wysiwyg = "true";
+defparam \datamem|ram~3174 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N8
+dffeas \datamem|ram~3302 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3302_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3302 .is_wysiwyg = "true";
+defparam \datamem|ram~3302 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y22_N6
+cyclonev_lcell_comb \datamem|ram~5011 (
+// Equation(s):
+// \datamem|ram~5011_combout = ( \datamem|ram~3302_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3238_q ) ) ) ) # ( !\datamem|ram~3302_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3238_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3302_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3110_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3174_q ))) ) ) ) # ( !\datamem|ram~3302_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3110_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3174_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3110_q ),
+ .datab(!\datamem|ram~3238_q ),
+ .datac(!\datamem|ram~3174_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3302_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5011_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5011 .extended_lut = "off";
+defparam \datamem|ram~5011 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5011 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N30
+cyclonev_lcell_comb \datamem|ram~3078feeder (
+// Equation(s):
+// \datamem|ram~3078feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3078feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3078feeder .extended_lut = "off";
+defparam \datamem|ram~3078feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3078feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N31
+dffeas \datamem|ram~3078 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3078feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3078_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3078 .is_wysiwyg = "true";
+defparam \datamem|ram~3078 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N36
+cyclonev_lcell_comb \datamem|ram~3142feeder (
+// Equation(s):
+// \datamem|ram~3142feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3142feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3142feeder .extended_lut = "off";
+defparam \datamem|ram~3142feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3142feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N37
+dffeas \datamem|ram~3142 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3142feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3142_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3142 .is_wysiwyg = "true";
+defparam \datamem|ram~3142 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N18
+cyclonev_lcell_comb \datamem|ram~3206feeder (
+// Equation(s):
+// \datamem|ram~3206feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3206feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3206feeder .extended_lut = "off";
+defparam \datamem|ram~3206feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3206feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N19
+dffeas \datamem|ram~3206 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3206feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3206_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3206 .is_wysiwyg = "true";
+defparam \datamem|ram~3206 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N26
+dffeas \datamem|ram~3270 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3270_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3270 .is_wysiwyg = "true";
+defparam \datamem|ram~3270 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y20_N24
+cyclonev_lcell_comb \datamem|ram~5009 (
+// Equation(s):
+// \datamem|ram~5009_combout = ( \datamem|ram~3270_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3206_q ) ) ) ) # ( !\datamem|ram~3270_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3206_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3270_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3078_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3142_q ))) ) ) ) # ( !\datamem|ram~3270_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3078_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3142_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3078_q ),
+ .datab(!\datamem|ram~3142_q ),
+ .datac(!\datamem|ram~3206_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3270_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5009_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5009 .extended_lut = "off";
+defparam \datamem|ram~5009 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5009 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N25
+dffeas \datamem|ram~3126 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3126_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3126 .is_wysiwyg = "true";
+defparam \datamem|ram~3126 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N37
+dffeas \datamem|ram~3254 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3254_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3254 .is_wysiwyg = "true";
+defparam \datamem|ram~3254 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N10
+dffeas \datamem|ram~3190 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3190_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3190 .is_wysiwyg = "true";
+defparam \datamem|ram~3190 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N20
+dffeas \datamem|ram~3318 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3318_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3318 .is_wysiwyg = "true";
+defparam \datamem|ram~3318 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y20_N18
+cyclonev_lcell_comb \datamem|ram~5012 (
+// Equation(s):
+// \datamem|ram~5012_combout = ( \datamem|ram~3318_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3254_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3318_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3254_q ) ) ) ) # ( \datamem|ram~3318_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3126_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3190_q ))) ) ) ) # ( !\datamem|ram~3318_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3126_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3190_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3126_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3254_q ),
+ .datad(!\datamem|ram~3190_q ),
+ .datae(!\datamem|ram~3318_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5012_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5012 .extended_lut = "off";
+defparam \datamem|ram~5012 .lut_mask = 64'h447744770C0C3F3F;
+defparam \datamem|ram~5012 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N36
+cyclonev_lcell_comb \datamem|ram~5013 (
+// Equation(s):
+// \datamem|ram~5013_combout = ( \datamem|ram~5009_combout & ( \datamem|ram~5012_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5011_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~5010_combout )) #
+// (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5009_combout & ( \datamem|ram~5012_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~5011_combout )))) # (\alu_unit|Mux14~6_combout &
+// (((\datamem|ram~5010_combout )) # (\alu_unit|Mux13~4_combout ))) ) ) ) # ( \datamem|ram~5009_combout & ( !\datamem|ram~5012_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5011_combout )))) #
+// (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~5010_combout ))) ) ) ) # ( !\datamem|ram~5009_combout & ( !\datamem|ram~5012_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~5011_combout
+// )))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~5010_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5010_combout ),
+ .datad(!\datamem|ram~5011_combout ),
+ .datae(!\datamem|ram~5009_combout ),
+ .dataf(!\datamem|ram~5012_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5013_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5013 .extended_lut = "off";
+defparam \datamem|ram~5013 .lut_mask = 64'h04268CAE15379DBF;
+defparam \datamem|ram~5013 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N36
+cyclonev_lcell_comb \datamem|ram~3414feeder (
+// Equation(s):
+// \datamem|ram~3414feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3414feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3414feeder .extended_lut = "off";
+defparam \datamem|ram~3414feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3414feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N37
+dffeas \datamem|ram~3414 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3414feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3414_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3414 .is_wysiwyg = "true";
+defparam \datamem|ram~3414 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y13_N24
+cyclonev_lcell_comb \datamem|ram~3478feeder (
+// Equation(s):
+// \datamem|ram~3478feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3478feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3478feeder .extended_lut = "off";
+defparam \datamem|ram~3478feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3478feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y13_N25
+dffeas \datamem|ram~3478 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3478feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3478_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3478 .is_wysiwyg = "true";
+defparam \datamem|ram~3478 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N48
+cyclonev_lcell_comb \datamem|ram~3350feeder (
+// Equation(s):
+// \datamem|ram~3350feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3350feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3350feeder .extended_lut = "off";
+defparam \datamem|ram~3350feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3350feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N50
+dffeas \datamem|ram~3350 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3350feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3350_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3350 .is_wysiwyg = "true";
+defparam \datamem|ram~3350 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X78_Y13_N23
+dffeas \datamem|ram~3542 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3542_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3542 .is_wysiwyg = "true";
+defparam \datamem|ram~3542 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y13_N21
+cyclonev_lcell_comb \datamem|ram~5015 (
+// Equation(s):
+// \datamem|ram~5015_combout = ( \datamem|ram~3542_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3478_q ) ) ) ) # ( !\datamem|ram~3542_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3478_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3542_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3350_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3414_q )) ) ) ) # ( !\datamem|ram~3542_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3350_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3414_q )) ) ) )
+
+ .dataa(!\datamem|ram~3414_q ),
+ .datab(!\datamem|ram~3478_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3350_q ),
+ .datae(!\datamem|ram~3542_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5015_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5015 .extended_lut = "off";
+defparam \datamem|ram~5015 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5015 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N51
+cyclonev_lcell_comb \datamem|ram~3430feeder (
+// Equation(s):
+// \datamem|ram~3430feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3430feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3430feeder .extended_lut = "off";
+defparam \datamem|ram~3430feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3430feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N53
+dffeas \datamem|ram~3430 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3430feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3430_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3430 .is_wysiwyg = "true";
+defparam \datamem|ram~3430 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N11
+dffeas \datamem|ram~3494 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3494_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3494 .is_wysiwyg = "true";
+defparam \datamem|ram~3494 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N37
+dffeas \datamem|ram~3366 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3366_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3366 .is_wysiwyg = "true";
+defparam \datamem|ram~3366 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N38
+dffeas \datamem|ram~3558 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3558_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3558 .is_wysiwyg = "true";
+defparam \datamem|ram~3558 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N36
+cyclonev_lcell_comb \datamem|ram~5016 (
+// Equation(s):
+// \datamem|ram~5016_combout = ( \datamem|ram~3558_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3494_q ) ) ) ) # ( !\datamem|ram~3558_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3494_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3558_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3366_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3430_q )) ) ) ) # ( !\datamem|ram~3558_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3366_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3430_q )) ) ) )
+
+ .dataa(!\datamem|ram~3430_q ),
+ .datab(!\datamem|ram~3494_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3366_q ),
+ .datae(!\datamem|ram~3558_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5016_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5016 .extended_lut = "off";
+defparam \datamem|ram~5016 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5016 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N9
+cyclonev_lcell_comb \datamem|ram~3462feeder (
+// Equation(s):
+// \datamem|ram~3462feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3462feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3462feeder .extended_lut = "off";
+defparam \datamem|ram~3462feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3462feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N10
+dffeas \datamem|ram~3462 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3462feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3462_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3462 .is_wysiwyg = "true";
+defparam \datamem|ram~3462 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N57
+cyclonev_lcell_comb \datamem|ram~3398feeder (
+// Equation(s):
+// \datamem|ram~3398feeder_combout = \reg_file|reg_read_data_2[6]~6_combout
+
+ .dataa(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3398feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3398feeder .extended_lut = "off";
+defparam \datamem|ram~3398feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3398feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N58
+dffeas \datamem|ram~3398 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3398feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3398_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3398 .is_wysiwyg = "true";
+defparam \datamem|ram~3398 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N25
+dffeas \datamem|ram~3334 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3334_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3334 .is_wysiwyg = "true";
+defparam \datamem|ram~3334 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N32
+dffeas \datamem|ram~3526 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3526_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3526 .is_wysiwyg = "true";
+defparam \datamem|ram~3526 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y5_N30
+cyclonev_lcell_comb \datamem|ram~5014 (
+// Equation(s):
+// \datamem|ram~5014_combout = ( \datamem|ram~3526_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3462_q ) ) ) ) # ( !\datamem|ram~3526_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3462_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3526_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3334_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3398_q )) ) ) ) # ( !\datamem|ram~3526_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3334_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3398_q )) ) ) )
+
+ .dataa(!\datamem|ram~3462_q ),
+ .datab(!\datamem|ram~3398_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3334_q ),
+ .datae(!\datamem|ram~3526_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5014_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5014 .extended_lut = "off";
+defparam \datamem|ram~5014 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5014 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N24
+cyclonev_lcell_comb \datamem|ram~3446feeder (
+// Equation(s):
+// \datamem|ram~3446feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3446feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3446feeder .extended_lut = "off";
+defparam \datamem|ram~3446feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3446feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N26
+dffeas \datamem|ram~3446 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3446feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3446_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3446 .is_wysiwyg = "true";
+defparam \datamem|ram~3446 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N55
+dffeas \datamem|ram~3382 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3382_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3382 .is_wysiwyg = "true";
+defparam \datamem|ram~3382 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N6
+cyclonev_lcell_comb \datamem|ram~3510feeder (
+// Equation(s):
+// \datamem|ram~3510feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3510feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3510feeder .extended_lut = "off";
+defparam \datamem|ram~3510feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3510feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N8
+dffeas \datamem|ram~3510 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3510feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3510_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3510 .is_wysiwyg = "true";
+defparam \datamem|ram~3510 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N14
+dffeas \datamem|ram~3574 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3574_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3574 .is_wysiwyg = "true";
+defparam \datamem|ram~3574 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N12
+cyclonev_lcell_comb \datamem|ram~5017 (
+// Equation(s):
+// \datamem|ram~5017_combout = ( \datamem|ram~3574_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3510_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3574_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3510_q ) ) ) ) # ( \datamem|ram~3574_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3382_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3446_q )) ) ) ) # ( !\datamem|ram~3574_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3382_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3446_q )) ) ) )
+
+ .dataa(!\datamem|ram~3446_q ),
+ .datab(!\datamem|ram~3382_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3510_q ),
+ .datae(!\datamem|ram~3574_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5017_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5017 .extended_lut = "off";
+defparam \datamem|ram~5017 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5017 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N24
+cyclonev_lcell_comb \datamem|ram~5018 (
+// Equation(s):
+// \datamem|ram~5018_combout = ( \datamem|ram~5014_combout & ( \datamem|ram~5017_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5016_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~5015_combout )) #
+// (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5014_combout & ( \datamem|ram~5017_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~5016_combout )))) # (\alu_unit|Mux14~6_combout &
+// (((\datamem|ram~5015_combout )) # (\alu_unit|Mux13~4_combout ))) ) ) ) # ( \datamem|ram~5014_combout & ( !\datamem|ram~5017_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5016_combout )))) #
+// (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~5015_combout ))) ) ) ) # ( !\datamem|ram~5014_combout & ( !\datamem|ram~5017_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~5016_combout
+// )))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~5015_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5015_combout ),
+ .datad(!\datamem|ram~5016_combout ),
+ .datae(!\datamem|ram~5014_combout ),
+ .dataf(!\datamem|ram~5017_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5018_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5018 .extended_lut = "off";
+defparam \datamem|ram~5018 .lut_mask = 64'h04268CAE15379DBF;
+defparam \datamem|ram~5018 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y17_N46
+dffeas \datamem|ram~3878 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3878_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3878 .is_wysiwyg = "true";
+defparam \datamem|ram~3878 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N24
+cyclonev_lcell_comb \datamem|ram~3846feeder (
+// Equation(s):
+// \datamem|ram~3846feeder_combout = \reg_file|reg_read_data_2[6]~6_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3846feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3846feeder .extended_lut = "off";
+defparam \datamem|ram~3846feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3846feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N26
+dffeas \datamem|ram~3846 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3846feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3846_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3846 .is_wysiwyg = "true";
+defparam \datamem|ram~3846 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N31
+dffeas \datamem|ram~3862 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3862_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3862 .is_wysiwyg = "true";
+defparam \datamem|ram~3862 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N50
+dffeas \datamem|ram~3894 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3894_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3894 .is_wysiwyg = "true";
+defparam \datamem|ram~3894 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y15_N48
+cyclonev_lcell_comb \datamem|ram~5024 (
+// Equation(s):
+// \datamem|ram~5024_combout = ( \datamem|ram~3894_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3862_q ) ) ) ) # ( !\datamem|ram~3894_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3862_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3894_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3846_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3878_q )) ) ) ) # ( !\datamem|ram~3894_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3846_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3878_q )) ) ) )
+
+ .dataa(!\datamem|ram~3878_q ),
+ .datab(!\datamem|ram~3846_q ),
+ .datac(!\datamem|ram~3862_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3894_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5024_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5024 .extended_lut = "off";
+defparam \datamem|ram~5024 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5024 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N20
+dffeas \datamem|ram~4006 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4006_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4006 .is_wysiwyg = "true";
+defparam \datamem|ram~4006 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N31
+dffeas \datamem|ram~3974 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3974_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3974 .is_wysiwyg = "true";
+defparam \datamem|ram~3974 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N29
+dffeas \datamem|ram~3990 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3990_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3990 .is_wysiwyg = "true";
+defparam \datamem|ram~3990 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N56
+dffeas \datamem|ram~4022 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4022_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4022 .is_wysiwyg = "true";
+defparam \datamem|ram~4022 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N54
+cyclonev_lcell_comb \datamem|ram~5026 (
+// Equation(s):
+// \datamem|ram~5026_combout = ( \datamem|ram~4022_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~4006_q ) ) ) ) # ( !\datamem|ram~4022_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~4006_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~4022_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3974_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3990_q ))) ) ) ) # ( !\datamem|ram~4022_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3974_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3990_q ))) ) ) )
+
+ .dataa(!\datamem|ram~4006_q ),
+ .datab(!\datamem|ram~3974_q ),
+ .datac(!\datamem|ram~3990_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4022_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5026_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5026 .extended_lut = "off";
+defparam \datamem|ram~5026 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5026 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N57
+cyclonev_lcell_comb \datamem|ram~3942feeder (
+// Equation(s):
+// \datamem|ram~3942feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3942feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3942feeder .extended_lut = "off";
+defparam \datamem|ram~3942feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3942feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N58
+dffeas \datamem|ram~3942 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3942feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3942_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3942 .is_wysiwyg = "true";
+defparam \datamem|ram~3942 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N40
+dffeas \datamem|ram~3926 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3926_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3926 .is_wysiwyg = "true";
+defparam \datamem|ram~3926 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y16_N5
+dffeas \datamem|ram~3910 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3910_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3910 .is_wysiwyg = "true";
+defparam \datamem|ram~3910 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y16_N56
+dffeas \datamem|ram~3958 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3958_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3958 .is_wysiwyg = "true";
+defparam \datamem|ram~3958 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y16_N54
+cyclonev_lcell_comb \datamem|ram~5025 (
+// Equation(s):
+// \datamem|ram~5025_combout = ( \datamem|ram~3958_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3926_q ) ) ) ) # ( !\datamem|ram~3958_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3926_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3958_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3910_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3942_q )) ) ) ) # ( !\datamem|ram~3958_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3910_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3942_q )) ) ) )
+
+ .dataa(!\datamem|ram~3942_q ),
+ .datab(!\datamem|ram~3926_q ),
+ .datac(!\datamem|ram~3910_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3958_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5025_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5025 .extended_lut = "off";
+defparam \datamem|ram~5025 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5025 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y23_N18
+cyclonev_lcell_comb \datamem|ram~4038feeder (
+// Equation(s):
+// \datamem|ram~4038feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4038feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4038feeder .extended_lut = "off";
+defparam \datamem|ram~4038feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4038feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y23_N19
+dffeas \datamem|ram~4038 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4038feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4038_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4038 .is_wysiwyg = "true";
+defparam \datamem|ram~4038 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y17_N12
+cyclonev_lcell_comb \datamem|ram~4070feeder (
+// Equation(s):
+// \datamem|ram~4070feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4070feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4070feeder .extended_lut = "off";
+defparam \datamem|ram~4070feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4070feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y17_N13
+dffeas \datamem|ram~4070 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4070feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4070_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4070 .is_wysiwyg = "true";
+defparam \datamem|ram~4070 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N16
+dffeas \datamem|ram~4054 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4054_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4054 .is_wysiwyg = "true";
+defparam \datamem|ram~4054 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y16_N53
+dffeas \datamem|ram~4086 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4086_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4086 .is_wysiwyg = "true";
+defparam \datamem|ram~4086 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y16_N51
+cyclonev_lcell_comb \datamem|ram~5027 (
+// Equation(s):
+// \datamem|ram~5027_combout = ( \datamem|ram~4086_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~4070_q ) ) ) ) # ( !\datamem|ram~4086_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~4070_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~4086_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4038_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4054_q ))) ) ) ) # ( !\datamem|ram~4086_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4038_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4054_q ))) ) ) )
+
+ .dataa(!\datamem|ram~4038_q ),
+ .datab(!\datamem|ram~4070_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4054_q ),
+ .datae(!\datamem|ram~4086_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5027_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5027 .extended_lut = "off";
+defparam \datamem|ram~5027 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5027 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N54
+cyclonev_lcell_comb \datamem|ram~5028 (
+// Equation(s):
+// \datamem|ram~5028_combout = ( \datamem|ram~5025_combout & ( \datamem|ram~5027_combout & ( ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5024_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5026_combout )))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~5025_combout & ( \datamem|ram~5027_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5024_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5026_combout ))))) #
+// (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )))) ) ) ) # ( \datamem|ram~5025_combout & ( !\datamem|ram~5027_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5024_combout )) #
+// (\alu_unit|Mux11~4_combout & ((\datamem|ram~5026_combout ))))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~5025_combout & ( !\datamem|ram~5027_combout & ( (!\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & (\datamem|ram~5024_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~5026_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5024_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~5026_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~5025_combout ),
+ .dataf(!\datamem|ram~5027_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5028_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5028 .extended_lut = "off";
+defparam \datamem|ram~5028 .lut_mask = 64'h440C770C443F773F;
+defparam \datamem|ram~5028 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y19_N15
+cyclonev_lcell_comb \datamem|ram~3622feeder (
+// Equation(s):
+// \datamem|ram~3622feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3622feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3622feeder .extended_lut = "off";
+defparam \datamem|ram~3622feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3622feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N17
+dffeas \datamem|ram~3622 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3622feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3622_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3622 .is_wysiwyg = "true";
+defparam \datamem|ram~3622 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y9_N32
+dffeas \datamem|ram~3750 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3750_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3750 .is_wysiwyg = "true";
+defparam \datamem|ram~3750 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N25
+dffeas \datamem|ram~3686 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3686_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3686 .is_wysiwyg = "true";
+defparam \datamem|ram~3686 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N56
+dffeas \datamem|ram~3814 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3814_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3814 .is_wysiwyg = "true";
+defparam \datamem|ram~3814 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N54
+cyclonev_lcell_comb \datamem|ram~5021 (
+// Equation(s):
+// \datamem|ram~5021_combout = ( \datamem|ram~3814_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3750_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3814_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3750_q ) ) ) ) # ( \datamem|ram~3814_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3622_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3686_q ))) ) ) ) # ( !\datamem|ram~3814_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3622_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3686_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3622_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3750_q ),
+ .datad(!\datamem|ram~3686_q ),
+ .datae(!\datamem|ram~3814_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5021_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5021 .extended_lut = "off";
+defparam \datamem|ram~5021 .lut_mask = 64'h447744770C0C3F3F;
+defparam \datamem|ram~5021 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N16
+dffeas \datamem|ram~3638 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3638_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3638 .is_wysiwyg = "true";
+defparam \datamem|ram~3638 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N25
+dffeas \datamem|ram~3702 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3702_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3702 .is_wysiwyg = "true";
+defparam \datamem|ram~3702 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N3
+cyclonev_lcell_comb \datamem|ram~3766feeder (
+// Equation(s):
+// \datamem|ram~3766feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3766feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3766feeder .extended_lut = "off";
+defparam \datamem|ram~3766feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3766feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N4
+dffeas \datamem|ram~3766 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3766feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3766_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3766 .is_wysiwyg = "true";
+defparam \datamem|ram~3766 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N32
+dffeas \datamem|ram~3830 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3830_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3830 .is_wysiwyg = "true";
+defparam \datamem|ram~3830 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y6_N30
+cyclonev_lcell_comb \datamem|ram~5022 (
+// Equation(s):
+// \datamem|ram~5022_combout = ( \datamem|ram~3830_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3702_q ) ) ) ) # ( !\datamem|ram~3830_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3702_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3830_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3638_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3766_q ))) ) ) ) # ( !\datamem|ram~3830_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3638_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3766_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3638_q ),
+ .datab(!\datamem|ram~3702_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~3766_q ),
+ .datae(!\datamem|ram~3830_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5022_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5022 .extended_lut = "off";
+defparam \datamem|ram~5022 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5022 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y21_N24
+cyclonev_lcell_comb \datamem|ram~3654feeder (
+// Equation(s):
+// \datamem|ram~3654feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3654feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3654feeder .extended_lut = "off";
+defparam \datamem|ram~3654feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3654feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y21_N26
+dffeas \datamem|ram~3654 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3654feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3654_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3654 .is_wysiwyg = "true";
+defparam \datamem|ram~3654 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N33
+cyclonev_lcell_comb \datamem|ram~3590feeder (
+// Equation(s):
+// \datamem|ram~3590feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3590feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3590feeder .extended_lut = "off";
+defparam \datamem|ram~3590feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3590feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N35
+dffeas \datamem|ram~3590 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3590feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3590_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3590 .is_wysiwyg = "true";
+defparam \datamem|ram~3590 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y21_N33
+cyclonev_lcell_comb \datamem|ram~3718feeder (
+// Equation(s):
+// \datamem|ram~3718feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3718feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3718feeder .extended_lut = "off";
+defparam \datamem|ram~3718feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3718feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y21_N35
+dffeas \datamem|ram~3718 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3718feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3718_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3718 .is_wysiwyg = "true";
+defparam \datamem|ram~3718 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y21_N2
+dffeas \datamem|ram~3782 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3782_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3782 .is_wysiwyg = "true";
+defparam \datamem|ram~3782 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y21_N0
+cyclonev_lcell_comb \datamem|ram~5019 (
+// Equation(s):
+// \datamem|ram~5019_combout = ( \datamem|ram~3782_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3718_q ) ) ) ) # ( !\datamem|ram~3782_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3718_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3782_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3590_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3654_q )) ) ) ) # ( !\datamem|ram~3782_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3590_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3654_q )) ) ) )
+
+ .dataa(!\datamem|ram~3654_q ),
+ .datab(!\datamem|ram~3590_q ),
+ .datac(!\datamem|ram~3718_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3782_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5019_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5019 .extended_lut = "off";
+defparam \datamem|ram~5019 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5019 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y19_N45
+cyclonev_lcell_comb \datamem|ram~3734feeder (
+// Equation(s):
+// \datamem|ram~3734feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3734feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3734feeder .extended_lut = "off";
+defparam \datamem|ram~3734feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3734feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N46
+dffeas \datamem|ram~3734 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3734feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3734_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3734 .is_wysiwyg = "true";
+defparam \datamem|ram~3734 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N13
+dffeas \datamem|ram~3670 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3670_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3670 .is_wysiwyg = "true";
+defparam \datamem|ram~3670 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N36
+cyclonev_lcell_comb \datamem|ram~3606feeder (
+// Equation(s):
+// \datamem|ram~3606feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3606feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3606feeder .extended_lut = "off";
+defparam \datamem|ram~3606feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3606feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N37
+dffeas \datamem|ram~3606 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3606feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3606_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3606 .is_wysiwyg = "true";
+defparam \datamem|ram~3606 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N44
+dffeas \datamem|ram~3798 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3798_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3798 .is_wysiwyg = "true";
+defparam \datamem|ram~3798 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N42
+cyclonev_lcell_comb \datamem|ram~5020 (
+// Equation(s):
+// \datamem|ram~5020_combout = ( \datamem|ram~3798_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3734_q ) ) ) ) # ( !\datamem|ram~3798_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3734_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3798_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3606_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3670_q )) ) ) ) # ( !\datamem|ram~3798_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3606_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3670_q )) ) ) )
+
+ .dataa(!\datamem|ram~3734_q ),
+ .datab(!\datamem|ram~3670_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3606_q ),
+ .datae(!\datamem|ram~3798_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5020_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5020 .extended_lut = "off";
+defparam \datamem|ram~5020 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5020 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N30
+cyclonev_lcell_comb \datamem|ram~5023 (
+// Equation(s):
+// \datamem|ram~5023_combout = ( \datamem|ram~5019_combout & ( \datamem|ram~5020_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5021_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~5022_combout )))) ) )
+// ) # ( !\datamem|ram~5019_combout & ( \datamem|ram~5020_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~5021_combout ))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5022_combout
+// )))) ) ) ) # ( \datamem|ram~5019_combout & ( !\datamem|ram~5020_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5021_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~5022_combout )))) ) ) ) # ( !\datamem|ram~5019_combout & ( !\datamem|ram~5020_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5021_combout )) # (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~5022_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5021_combout ),
+ .datad(!\datamem|ram~5022_combout ),
+ .datae(!\datamem|ram~5019_combout ),
+ .dataf(!\datamem|ram~5020_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5023_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5023 .extended_lut = "off";
+defparam \datamem|ram~5023 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~5023 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N42
+cyclonev_lcell_comb \datamem|ram~5029 (
+// Equation(s):
+// \datamem|ram~5029_combout = ( \datamem|ram~5028_combout & ( \datamem|ram~5023_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5013_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5018_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~5028_combout & ( \datamem|ram~5023_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~5013_combout ))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~5018_combout & !\alu_unit|Mux9~4_combout
+// )))) ) ) ) # ( \datamem|ram~5028_combout & ( !\datamem|ram~5023_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~5013_combout & ((!\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) #
+// (\datamem|ram~5018_combout )))) ) ) ) # ( !\datamem|ram~5028_combout & ( !\datamem|ram~5023_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5013_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~5018_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5013_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~5018_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~5028_combout ),
+ .dataf(!\datamem|ram~5023_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5029_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5029 .extended_lut = "off";
+defparam \datamem|ram~5029 .lut_mask = 64'h4700473347CC47FF;
+defparam \datamem|ram~5029 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y20_N15
+cyclonev_lcell_comb \datamem|ram~3014feeder (
+// Equation(s):
+// \datamem|ram~3014feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3014feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3014feeder .extended_lut = "off";
+defparam \datamem|ram~3014feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3014feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N16
+dffeas \datamem|ram~3014 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3014feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3014_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3014 .is_wysiwyg = "true";
+defparam \datamem|ram~3014 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N0
+cyclonev_lcell_comb \datamem|ram~3030feeder (
+// Equation(s):
+// \datamem|ram~3030feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3030feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3030feeder .extended_lut = "off";
+defparam \datamem|ram~3030feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3030feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N1
+dffeas \datamem|ram~3030 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3030feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3030_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3030 .is_wysiwyg = "true";
+defparam \datamem|ram~3030 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N43
+dffeas \datamem|ram~3046 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3046_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3046 .is_wysiwyg = "true";
+defparam \datamem|ram~3046 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N11
+dffeas \datamem|ram~3062 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3062_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3062 .is_wysiwyg = "true";
+defparam \datamem|ram~3062 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N9
+cyclonev_lcell_comb \datamem|ram~5006 (
+// Equation(s):
+// \datamem|ram~5006_combout = ( \datamem|ram~3062_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3046_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~3062_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~3046_q ) ) ) ) # ( \datamem|ram~3062_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3014_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3030_q ))) ) ) ) # ( !\datamem|ram~3062_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3014_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3030_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3014_q ),
+ .datab(!\datamem|ram~3030_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3046_q ),
+ .datae(!\datamem|ram~3062_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5006_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5006 .extended_lut = "off";
+defparam \datamem|ram~5006 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5006 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N3
+cyclonev_lcell_comb \datamem|ram~2534feeder (
+// Equation(s):
+// \datamem|ram~2534feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2534feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2534feeder .extended_lut = "off";
+defparam \datamem|ram~2534feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2534feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N4
+dffeas \datamem|ram~2534 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2534feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2534_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2534 .is_wysiwyg = "true";
+defparam \datamem|ram~2534 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y21_N41
+dffeas \datamem|ram~2518 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2518_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2518 .is_wysiwyg = "true";
+defparam \datamem|ram~2518 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y21_N16
+dffeas \datamem|ram~2502 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2502_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2502 .is_wysiwyg = "true";
+defparam \datamem|ram~2502 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y21_N59
+dffeas \datamem|ram~2550 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2550_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2550 .is_wysiwyg = "true";
+defparam \datamem|ram~2550 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y21_N57
+cyclonev_lcell_comb \datamem|ram~5004 (
+// Equation(s):
+// \datamem|ram~5004_combout = ( \datamem|ram~2550_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2518_q ) ) ) ) # ( !\datamem|ram~2550_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2518_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2550_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2502_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2534_q )) ) ) ) # ( !\datamem|ram~2550_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2502_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2534_q )) ) ) )
+
+ .dataa(!\datamem|ram~2534_q ),
+ .datab(!\datamem|ram~2518_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2502_q ),
+ .datae(!\datamem|ram~2550_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5004_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5004 .extended_lut = "off";
+defparam \datamem|ram~5004 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5004 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N40
+dffeas \datamem|ram~2774 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2774_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2774 .is_wysiwyg = "true";
+defparam \datamem|ram~2774 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N7
+dffeas \datamem|ram~2790 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2790_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2790 .is_wysiwyg = "true";
+defparam \datamem|ram~2790 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N14
+dffeas \datamem|ram~2758 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2758_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2758 .is_wysiwyg = "true";
+defparam \datamem|ram~2758 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y18_N5
+dffeas \datamem|ram~2806 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2806_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2806 .is_wysiwyg = "true";
+defparam \datamem|ram~2806 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y18_N3
+cyclonev_lcell_comb \datamem|ram~5005 (
+// Equation(s):
+// \datamem|ram~5005_combout = ( \datamem|ram~2806_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2774_q ) ) ) ) # ( !\datamem|ram~2806_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2774_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2806_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2758_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2790_q )) ) ) ) # ( !\datamem|ram~2806_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2758_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2790_q )) ) ) )
+
+ .dataa(!\datamem|ram~2774_q ),
+ .datab(!\datamem|ram~2790_q ),
+ .datac(!\datamem|ram~2758_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2806_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5005_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5005 .extended_lut = "off";
+defparam \datamem|ram~5005 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5005 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N53
+dffeas \datamem|ram~2246 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2246_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2246 .is_wysiwyg = "true";
+defparam \datamem|ram~2246 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N24
+cyclonev_lcell_comb \datamem|ram~2278feeder (
+// Equation(s):
+// \datamem|ram~2278feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2278feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2278feeder .extended_lut = "off";
+defparam \datamem|ram~2278feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2278feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N26
+dffeas \datamem|ram~2278 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2278feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2278_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2278 .is_wysiwyg = "true";
+defparam \datamem|ram~2278 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N40
+dffeas \datamem|ram~2262 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2262_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2262 .is_wysiwyg = "true";
+defparam \datamem|ram~2262 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X45_Y13_N14
+dffeas \datamem|ram~2294 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2294_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2294 .is_wysiwyg = "true";
+defparam \datamem|ram~2294 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X45_Y13_N12
+cyclonev_lcell_comb \datamem|ram~5003 (
+// Equation(s):
+// \datamem|ram~5003_combout = ( \datamem|ram~2294_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2262_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2294_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2262_q ) ) ) ) # ( \datamem|ram~2294_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2246_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2278_q ))) ) ) ) # ( !\datamem|ram~2294_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2246_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2278_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2246_q ),
+ .datab(!\datamem|ram~2278_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2262_q ),
+ .datae(!\datamem|ram~2294_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5003_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5003 .extended_lut = "off";
+defparam \datamem|ram~5003 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5003 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N18
+cyclonev_lcell_comb \datamem|ram~5007 (
+// Equation(s):
+// \datamem|ram~5007_combout = ( \datamem|ram~5005_combout & ( \datamem|ram~5003_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5004_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5006_combout ))) ) ) )
+// # ( !\datamem|ram~5005_combout & ( \datamem|ram~5003_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~5004_combout )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5006_combout & ((\alu_unit|Mux10~6_combout
+// )))) ) ) ) # ( \datamem|ram~5005_combout & ( !\datamem|ram~5003_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~5004_combout & \alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) #
+// (\datamem|ram~5006_combout ))) ) ) ) # ( !\datamem|ram~5005_combout & ( !\datamem|ram~5003_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5004_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5006_combout
+// )))) ) ) )
+
+ .dataa(!\datamem|ram~5006_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5004_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~5005_combout ),
+ .dataf(!\datamem|ram~5003_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5007_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5007 .extended_lut = "off";
+defparam \datamem|ram~5007 .lut_mask = 64'h001D331DCC1DFF1D;
+defparam \datamem|ram~5007 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N16
+dffeas \datamem|ram~2838 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2838_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2838 .is_wysiwyg = "true";
+defparam \datamem|ram~2838 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N57
+cyclonev_lcell_comb \datamem|ram~2854feeder (
+// Equation(s):
+// \datamem|ram~2854feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2854feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2854feeder .extended_lut = "off";
+defparam \datamem|ram~2854feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2854feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N58
+dffeas \datamem|ram~2854 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2854feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2854_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2854 .is_wysiwyg = "true";
+defparam \datamem|ram~2854 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y18_N57
+cyclonev_lcell_comb \datamem|ram~2822feeder (
+// Equation(s):
+// \datamem|ram~2822feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2822feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2822feeder .extended_lut = "off";
+defparam \datamem|ram~2822feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2822feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y18_N58
+dffeas \datamem|ram~2822 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2822feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2822_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2822 .is_wysiwyg = "true";
+defparam \datamem|ram~2822 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y10_N20
+dffeas \datamem|ram~2870 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2870_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2870 .is_wysiwyg = "true";
+defparam \datamem|ram~2870 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N18
+cyclonev_lcell_comb \datamem|ram~4991 (
+// Equation(s):
+// \datamem|ram~4991_combout = ( \datamem|ram~2870_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2838_q ) ) ) ) # ( !\datamem|ram~2870_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2838_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2870_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2822_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2854_q )) ) ) ) # ( !\datamem|ram~2870_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2822_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2854_q )) ) ) )
+
+ .dataa(!\datamem|ram~2838_q ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~2854_q ),
+ .datad(!\datamem|ram~2822_q ),
+ .datae(!\datamem|ram~2870_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4991_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4991 .extended_lut = "off";
+defparam \datamem|ram~4991 .lut_mask = 64'h03CF03CF44447777;
+defparam \datamem|ram~4991 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y10_N43
+dffeas \datamem|ram~2358 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2358_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2358 .is_wysiwyg = "true";
+defparam \datamem|ram~2358 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N51
+cyclonev_lcell_comb \datamem|ram~2342feeder (
+// Equation(s):
+// \datamem|ram~2342feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2342feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2342feeder .extended_lut = "off";
+defparam \datamem|ram~2342feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2342feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N52
+dffeas \datamem|ram~2342 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2342feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2342_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2342 .is_wysiwyg = "true";
+defparam \datamem|ram~2342 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N9
+cyclonev_lcell_comb \datamem|ram~2326feeder (
+// Equation(s):
+// \datamem|ram~2326feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2326feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2326feeder .extended_lut = "off";
+defparam \datamem|ram~2326feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2326feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N10
+dffeas \datamem|ram~2326 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2326feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2326_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2326 .is_wysiwyg = "true";
+defparam \datamem|ram~2326 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N10
+dffeas \datamem|ram~2310 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2310_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2310 .is_wysiwyg = "true";
+defparam \datamem|ram~2310 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N42
+cyclonev_lcell_comb \datamem|ram~4989 (
+// Equation(s):
+// \datamem|ram~4989_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2358_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2326_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2342_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2310_q ) ) )
+
+ .dataa(!\datamem|ram~2358_q ),
+ .datab(!\datamem|ram~2342_q ),
+ .datac(!\datamem|ram~2326_q ),
+ .datad(!\datamem|ram~2310_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4989_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4989 .extended_lut = "off";
+defparam \datamem|ram~4989 .lut_mask = 64'h00FF33330F0F5555;
+defparam \datamem|ram~4989 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N37
+dffeas \datamem|ram~2566 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2566_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2566 .is_wysiwyg = "true";
+defparam \datamem|ram~2566 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N26
+dffeas \datamem|ram~2598 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2598_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2598 .is_wysiwyg = "true";
+defparam \datamem|ram~2598 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N49
+dffeas \datamem|ram~2582 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2582_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2582 .is_wysiwyg = "true";
+defparam \datamem|ram~2582 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y10_N2
+dffeas \datamem|ram~2614 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2614_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2614 .is_wysiwyg = "true";
+defparam \datamem|ram~2614 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N0
+cyclonev_lcell_comb \datamem|ram~4990 (
+// Equation(s):
+// \datamem|ram~4990_combout = ( \datamem|ram~2614_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2582_q ) ) ) ) # ( !\datamem|ram~2614_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2582_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2614_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2566_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2598_q ))) ) ) ) # ( !\datamem|ram~2614_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2566_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2598_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2566_q ),
+ .datab(!\datamem|ram~2598_q ),
+ .datac(!\datamem|ram~2582_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2614_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4990_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4990 .extended_lut = "off";
+defparam \datamem|ram~4990 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4990 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N50
+dffeas \datamem|ram~2054 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2054_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2054 .is_wysiwyg = "true";
+defparam \datamem|ram~2054 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N54
+cyclonev_lcell_comb \datamem|ram~2070feeder (
+// Equation(s):
+// \datamem|ram~2070feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2070feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2070feeder .extended_lut = "off";
+defparam \datamem|ram~2070feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2070feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N55
+dffeas \datamem|ram~2070 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2070feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2070_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2070 .is_wysiwyg = "true";
+defparam \datamem|ram~2070 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N49
+dffeas \datamem|ram~2086 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2086_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2086 .is_wysiwyg = "true";
+defparam \datamem|ram~2086 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N2
+dffeas \datamem|ram~2102 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2102_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2102 .is_wysiwyg = "true";
+defparam \datamem|ram~2102 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N0
+cyclonev_lcell_comb \datamem|ram~4988 (
+// Equation(s):
+// \datamem|ram~4988_combout = ( \datamem|ram~2102_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2070_q ) ) ) ) # ( !\datamem|ram~2102_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2070_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2102_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2054_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2086_q ))) ) ) ) # ( !\datamem|ram~2102_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2054_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2086_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2054_q ),
+ .datab(!\datamem|ram~2070_q ),
+ .datac(!\datamem|ram~2086_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2102_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4988_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4988 .extended_lut = "off";
+defparam \datamem|ram~4988 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4988 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N30
+cyclonev_lcell_comb \datamem|ram~4992 (
+// Equation(s):
+// \datamem|ram~4992_combout = ( \datamem|ram~4990_combout & ( \datamem|ram~4988_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4989_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4991_combout ))) ) ) )
+// # ( !\datamem|ram~4990_combout & ( \datamem|ram~4988_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4989_combout ))) # (\alu_unit|Mux9~4_combout
+// & (\datamem|ram~4991_combout )))) ) ) ) # ( \datamem|ram~4990_combout & ( !\datamem|ram~4988_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4989_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4991_combout )))) ) ) ) # ( !\datamem|ram~4990_combout & ( !\datamem|ram~4988_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4989_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4991_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4991_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4989_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4990_combout ),
+ .dataf(!\datamem|ram~4988_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4992_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4992 .extended_lut = "off";
+defparam \datamem|ram~4992 .lut_mask = 64'h031103DDCF11CFDD;
+defparam \datamem|ram~4992 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N20
+dffeas \datamem|ram~2198 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2198_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2198 .is_wysiwyg = "true";
+defparam \datamem|ram~2198 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N31
+dffeas \datamem|ram~2966 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2966_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2966 .is_wysiwyg = "true";
+defparam \datamem|ram~2966 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N27
+cyclonev_lcell_comb \datamem|ram~2454feeder (
+// Equation(s):
+// \datamem|ram~2454feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2454feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2454feeder .extended_lut = "off";
+defparam \datamem|ram~2454feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2454feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N28
+dffeas \datamem|ram~2454 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2454feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2454_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2454 .is_wysiwyg = "true";
+defparam \datamem|ram~2454 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N1
+dffeas \datamem|ram~2710 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2710_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2710 .is_wysiwyg = "true";
+defparam \datamem|ram~2710 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N48
+cyclonev_lcell_comb \datamem|ram~4999 (
+// Equation(s):
+// \datamem|ram~4999_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2966_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2454_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~2710_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~2198_q ) ) )
+
+ .dataa(!\datamem|ram~2198_q ),
+ .datab(!\datamem|ram~2966_q ),
+ .datac(!\datamem|ram~2454_q ),
+ .datad(!\datamem|ram~2710_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4999_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4999 .extended_lut = "off";
+defparam \datamem|ram~4999 .lut_mask = 64'h555500FF0F0F3333;
+defparam \datamem|ram~4999 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N0
+cyclonev_lcell_comb \datamem|ram~2438feeder (
+// Equation(s):
+// \datamem|ram~2438feeder_combout = \reg_file|reg_read_data_2[6]~6_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2438feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2438feeder .extended_lut = "off";
+defparam \datamem|ram~2438feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~2438feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N1
+dffeas \datamem|ram~2438 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2438feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2438_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2438 .is_wysiwyg = "true";
+defparam \datamem|ram~2438 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y10_N3
+cyclonev_lcell_comb \datamem|ram~2694feeder (
+// Equation(s):
+// \datamem|ram~2694feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2694feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2694feeder .extended_lut = "off";
+defparam \datamem|ram~2694feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2694feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y10_N4
+dffeas \datamem|ram~2694 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2694feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2694_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2694 .is_wysiwyg = "true";
+defparam \datamem|ram~2694 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N26
+dffeas \datamem|ram~2182 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2182_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2182 .is_wysiwyg = "true";
+defparam \datamem|ram~2182 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N8
+dffeas \datamem|ram~2950 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2950_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2950 .is_wysiwyg = "true";
+defparam \datamem|ram~2950 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N6
+cyclonev_lcell_comb \datamem|ram~4998 (
+// Equation(s):
+// \datamem|ram~4998_combout = ( \datamem|ram~2950_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2438_q ) ) ) ) # ( !\datamem|ram~2950_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2438_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2950_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2182_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2694_q )) ) ) ) # ( !\datamem|ram~2950_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2182_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2694_q )) ) ) )
+
+ .dataa(!\datamem|ram~2438_q ),
+ .datab(!\datamem|ram~2694_q ),
+ .datac(!\datamem|ram~2182_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2950_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4998_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4998 .extended_lut = "off";
+defparam \datamem|ram~4998 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4998 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N23
+dffeas \datamem|ram~2742 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2742_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2742 .is_wysiwyg = "true";
+defparam \datamem|ram~2742 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N34
+dffeas \datamem|ram~2230 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2230_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2230 .is_wysiwyg = "true";
+defparam \datamem|ram~2230 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y8_N54
+cyclonev_lcell_comb \datamem|ram~2486feeder (
+// Equation(s):
+// \datamem|ram~2486feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2486feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2486feeder .extended_lut = "off";
+defparam \datamem|ram~2486feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2486feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y8_N55
+dffeas \datamem|ram~2486 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2486feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2486_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2486 .is_wysiwyg = "true";
+defparam \datamem|ram~2486 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N2
+dffeas \datamem|ram~2998 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2998_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2998 .is_wysiwyg = "true";
+defparam \datamem|ram~2998 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y9_N0
+cyclonev_lcell_comb \datamem|ram~5001 (
+// Equation(s):
+// \datamem|ram~5001_combout = ( \datamem|ram~2998_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2486_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~2998_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~2486_q ) ) ) ) # ( \datamem|ram~2998_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2230_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2742_q )) ) ) ) # ( !\datamem|ram~2998_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2230_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2742_q )) ) ) )
+
+ .dataa(!\datamem|ram~2742_q ),
+ .datab(!\datamem|ram~2230_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2486_q ),
+ .datae(!\datamem|ram~2998_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5001_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5001 .extended_lut = "off";
+defparam \datamem|ram~5001 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5001 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N10
+dffeas \datamem|ram~2726 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2726_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2726 .is_wysiwyg = "true";
+defparam \datamem|ram~2726 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N6
+cyclonev_lcell_comb \datamem|ram~2470feeder (
+// Equation(s):
+// \datamem|ram~2470feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2470feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2470feeder .extended_lut = "off";
+defparam \datamem|ram~2470feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2470feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N8
+dffeas \datamem|ram~2470 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2470feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2470_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2470 .is_wysiwyg = "true";
+defparam \datamem|ram~2470 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N56
+dffeas \datamem|ram~2214 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2214_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2214 .is_wysiwyg = "true";
+defparam \datamem|ram~2214 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N20
+dffeas \datamem|ram~2982 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2982_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2982 .is_wysiwyg = "true";
+defparam \datamem|ram~2982 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N18
+cyclonev_lcell_comb \datamem|ram~5000 (
+// Equation(s):
+// \datamem|ram~5000_combout = ( \datamem|ram~2982_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2726_q ) ) ) ) # ( !\datamem|ram~2982_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2726_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2982_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2214_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2470_q )) ) ) ) # ( !\datamem|ram~2982_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2214_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2470_q )) ) ) )
+
+ .dataa(!\datamem|ram~2726_q ),
+ .datab(!\datamem|ram~2470_q ),
+ .datac(!\datamem|ram~2214_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2982_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5000_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5000 .extended_lut = "off";
+defparam \datamem|ram~5000 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5000 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N15
+cyclonev_lcell_comb \datamem|ram~5002 (
+// Equation(s):
+// \datamem|ram~5002_combout = ( \datamem|ram~5001_combout & ( \datamem|ram~5000_combout & ( ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4998_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4999_combout ))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~5001_combout & ( \datamem|ram~5000_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout ) # (\datamem|ram~4998_combout )))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4999_combout & ((!\alu_unit|Mux13~4_combout
+// )))) ) ) ) # ( \datamem|ram~5001_combout & ( !\datamem|ram~5000_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4998_combout & !\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~4999_combout ))) ) ) ) # ( !\datamem|ram~5001_combout & ( !\datamem|ram~5000_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4998_combout ))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4999_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4999_combout ),
+ .datac(!\datamem|ram~4998_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~5001_combout ),
+ .dataf(!\datamem|ram~5000_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5002_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5002 .extended_lut = "off";
+defparam \datamem|ram~5002 .lut_mask = 64'h1B001B551BAA1BFF;
+defparam \datamem|ram~5002 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N56
+dffeas \datamem|ram~2390 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2390_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2390 .is_wysiwyg = "true";
+defparam \datamem|ram~2390 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N19
+dffeas \datamem|ram~2406 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2406_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2406 .is_wysiwyg = "true";
+defparam \datamem|ram~2406 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N42
+cyclonev_lcell_comb \datamem|ram~2374feeder (
+// Equation(s):
+// \datamem|ram~2374feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2374feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2374feeder .extended_lut = "off";
+defparam \datamem|ram~2374feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2374feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N44
+dffeas \datamem|ram~2374 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2374feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2374_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2374 .is_wysiwyg = "true";
+defparam \datamem|ram~2374 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N38
+dffeas \datamem|ram~2422 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2422_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2422 .is_wysiwyg = "true";
+defparam \datamem|ram~2422 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N36
+cyclonev_lcell_comb \datamem|ram~4994 (
+// Equation(s):
+// \datamem|ram~4994_combout = ( \datamem|ram~2422_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2390_q ) ) ) ) # ( !\datamem|ram~2422_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2390_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2422_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2374_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2406_q )) ) ) ) # ( !\datamem|ram~2422_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2374_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2406_q )) ) ) )
+
+ .dataa(!\datamem|ram~2390_q ),
+ .datab(!\datamem|ram~2406_q ),
+ .datac(!\datamem|ram~2374_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2422_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4994_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4994 .extended_lut = "off";
+defparam \datamem|ram~4994 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4994 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N0
+cyclonev_lcell_comb \datamem|ram~2150feeder (
+// Equation(s):
+// \datamem|ram~2150feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2150feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2150feeder .extended_lut = "off";
+defparam \datamem|ram~2150feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2150feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N2
+dffeas \datamem|ram~2150 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2150feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2150_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2150 .is_wysiwyg = "true";
+defparam \datamem|ram~2150 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N8
+dffeas \datamem|ram~2134 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2134_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2134 .is_wysiwyg = "true";
+defparam \datamem|ram~2134 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N20
+dffeas \datamem|ram~2118 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2118_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2118 .is_wysiwyg = "true";
+defparam \datamem|ram~2118 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N26
+dffeas \datamem|ram~2166 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2166_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2166 .is_wysiwyg = "true";
+defparam \datamem|ram~2166 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N24
+cyclonev_lcell_comb \datamem|ram~4993 (
+// Equation(s):
+// \datamem|ram~4993_combout = ( \datamem|ram~2166_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2134_q ) ) ) ) # ( !\datamem|ram~2166_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2134_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2166_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2118_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2150_q )) ) ) ) # ( !\datamem|ram~2166_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2118_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2150_q )) ) ) )
+
+ .dataa(!\datamem|ram~2150_q ),
+ .datab(!\datamem|ram~2134_q ),
+ .datac(!\datamem|ram~2118_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2166_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4993_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4993 .extended_lut = "off";
+defparam \datamem|ram~4993 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4993 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N33
+cyclonev_lcell_comb \datamem|ram~2902feeder (
+// Equation(s):
+// \datamem|ram~2902feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2902feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2902feeder .extended_lut = "off";
+defparam \datamem|ram~2902feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2902feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N34
+dffeas \datamem|ram~2902 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2902feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2902_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2902 .is_wysiwyg = "true";
+defparam \datamem|ram~2902 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N47
+dffeas \datamem|ram~2918 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2918_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2918 .is_wysiwyg = "true";
+defparam \datamem|ram~2918 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y10_N55
+dffeas \datamem|ram~2934 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2934_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2934 .is_wysiwyg = "true";
+defparam \datamem|ram~2934 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N37
+dffeas \datamem|ram~2886 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2886_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2886 .is_wysiwyg = "true";
+defparam \datamem|ram~2886 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N3
+cyclonev_lcell_comb \datamem|ram~4996 (
+// Equation(s):
+// \datamem|ram~4996_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2934_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2902_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2918_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2886_q ) ) )
+
+ .dataa(!\datamem|ram~2902_q ),
+ .datab(!\datamem|ram~2918_q ),
+ .datac(!\datamem|ram~2934_q ),
+ .datad(!\datamem|ram~2886_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4996_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4996 .extended_lut = "off";
+defparam \datamem|ram~4996 .lut_mask = 64'h00FF333355550F0F;
+defparam \datamem|ram~4996 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y7_N49
+dffeas \datamem|ram~2630 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2630_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2630 .is_wysiwyg = "true";
+defparam \datamem|ram~2630 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y12_N12
+cyclonev_lcell_comb \datamem|ram~2646feeder (
+// Equation(s):
+// \datamem|ram~2646feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2646feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2646feeder .extended_lut = "off";
+defparam \datamem|ram~2646feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2646feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N13
+dffeas \datamem|ram~2646 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2646feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2646_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2646 .is_wysiwyg = "true";
+defparam \datamem|ram~2646 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N29
+dffeas \datamem|ram~2662 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2662_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2662 .is_wysiwyg = "true";
+defparam \datamem|ram~2662 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y8_N11
+dffeas \datamem|ram~2678 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2678_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2678 .is_wysiwyg = "true";
+defparam \datamem|ram~2678 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y8_N9
+cyclonev_lcell_comb \datamem|ram~4995 (
+// Equation(s):
+// \datamem|ram~4995_combout = ( \datamem|ram~2678_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2662_q ) ) ) ) # ( !\datamem|ram~2678_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2662_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2678_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2630_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2646_q ))) ) ) ) # ( !\datamem|ram~2678_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2630_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2646_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2630_q ),
+ .datab(!\datamem|ram~2646_q ),
+ .datac(!\datamem|ram~2662_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2678_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4995_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4995 .extended_lut = "off";
+defparam \datamem|ram~4995 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4995 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N57
+cyclonev_lcell_comb \datamem|ram~4997 (
+// Equation(s):
+// \datamem|ram~4997_combout = ( \datamem|ram~4996_combout & ( \datamem|ram~4995_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4993_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4994_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4996_combout & ( \datamem|ram~4995_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) # (\datamem|ram~4993_combout )))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4994_combout & ((!\alu_unit|Mux9~4_combout
+// )))) ) ) ) # ( \datamem|ram~4996_combout & ( !\datamem|ram~4995_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4993_combout & !\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) #
+// (\datamem|ram~4994_combout ))) ) ) ) # ( !\datamem|ram~4996_combout & ( !\datamem|ram~4995_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4993_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4994_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4994_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4993_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4996_combout ),
+ .dataf(!\datamem|ram~4995_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4997_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4997 .extended_lut = "off";
+defparam \datamem|ram~4997 .lut_mask = 64'h1D001D331DCC1DFF;
+defparam \datamem|ram~4997 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N0
+cyclonev_lcell_comb \datamem|ram~5008 (
+// Equation(s):
+// \datamem|ram~5008_combout = ( \datamem|ram~5002_combout & ( \datamem|ram~4997_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4992_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~5007_combout )))) ) ) ) # ( !\datamem|ram~5002_combout & ( \datamem|ram~4997_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4992_combout )))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~5007_combout )))) ) ) ) # ( \datamem|ram~5002_combout & ( !\datamem|ram~4997_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4992_combout )) # (\alu_unit|Mux11~4_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~5007_combout ))) ) ) ) # ( !\datamem|ram~5002_combout & ( !\datamem|ram~4997_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4992_combout
+// )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~5007_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5007_combout ),
+ .datad(!\datamem|ram~4992_combout ),
+ .datae(!\datamem|ram~5002_combout ),
+ .dataf(!\datamem|ram~4997_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5008_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5008 .extended_lut = "off";
+defparam \datamem|ram~5008 .lut_mask = 64'h018923AB45CD67EF;
+defparam \datamem|ram~5008 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N32
+dffeas \datamem|ram~38 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~38_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~38 .is_wysiwyg = "true";
+defparam \datamem|ram~38 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y19_N39
+cyclonev_lcell_comb \datamem|ram~102feeder (
+// Equation(s):
+// \datamem|ram~102feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~102feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~102feeder .extended_lut = "off";
+defparam \datamem|ram~102feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~102feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y19_N40
+dffeas \datamem|ram~102 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~102feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~102_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~102 .is_wysiwyg = "true";
+defparam \datamem|ram~102 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y21_N7
+dffeas \datamem|ram~166 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~166_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~166 .is_wysiwyg = "true";
+defparam \datamem|ram~166 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y17_N2
+dffeas \datamem|ram~230 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~230_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~230 .is_wysiwyg = "true";
+defparam \datamem|ram~230 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y17_N0
+cyclonev_lcell_comb \datamem|ram~4948 (
+// Equation(s):
+// \datamem|ram~4948_combout = ( \datamem|ram~230_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~166_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~230_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~166_q
+// ) ) ) ) # ( \datamem|ram~230_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~38_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~102_q ))) ) ) ) # ( !\datamem|ram~230_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~38_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~102_q ))) ) ) )
+
+ .dataa(!\datamem|ram~38_q ),
+ .datab(!\datamem|ram~102_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~166_q ),
+ .datae(!\datamem|ram~230_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4948_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4948 .extended_lut = "off";
+defparam \datamem|ram~4948 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4948 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N37
+dffeas \datamem|ram~54 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~54_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~54 .is_wysiwyg = "true";
+defparam \datamem|ram~54 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N24
+cyclonev_lcell_comb \datamem|ram~118feeder (
+// Equation(s):
+// \datamem|ram~118feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~118feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~118feeder .extended_lut = "off";
+defparam \datamem|ram~118feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~118feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N25
+dffeas \datamem|ram~118 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~118feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~118_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~118 .is_wysiwyg = "true";
+defparam \datamem|ram~118 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N51
+cyclonev_lcell_comb \datamem|ram~182feeder (
+// Equation(s):
+// \datamem|ram~182feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~182feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~182feeder .extended_lut = "off";
+defparam \datamem|ram~182feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~182feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N53
+dffeas \datamem|ram~182 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~182feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~182_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~182 .is_wysiwyg = "true";
+defparam \datamem|ram~182 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y17_N20
+dffeas \datamem|ram~246 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~246_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~246 .is_wysiwyg = "true";
+defparam \datamem|ram~246 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y17_N18
+cyclonev_lcell_comb \datamem|ram~4949 (
+// Equation(s):
+// \datamem|ram~4949_combout = ( \datamem|ram~246_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~182_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~246_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~182_q
+// ) ) ) ) # ( \datamem|ram~246_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~54_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~118_q ))) ) ) ) # ( !\datamem|ram~246_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~54_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~118_q ))) ) ) )
+
+ .dataa(!\datamem|ram~54_q ),
+ .datab(!\datamem|ram~118_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~182_q ),
+ .datae(!\datamem|ram~246_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4949_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4949 .extended_lut = "off";
+defparam \datamem|ram~4949 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4949 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N38
+dffeas \datamem|ram~22 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~22_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~22 .is_wysiwyg = "true";
+defparam \datamem|ram~22 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N19
+dffeas \datamem|ram~214 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~214_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~214 .is_wysiwyg = "true";
+defparam \datamem|ram~214 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N55
+dffeas \datamem|ram~150 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~150_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~150 .is_wysiwyg = "true";
+defparam \datamem|ram~150 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N8
+dffeas \datamem|ram~86 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~86_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~86 .is_wysiwyg = "true";
+defparam \datamem|ram~86 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y21_N6
+cyclonev_lcell_comb \datamem|ram~4947 (
+// Equation(s):
+// \datamem|ram~4947_combout = ( \datamem|ram~86_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~214_q ) ) ) ) # ( !\datamem|ram~86_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~214_q & \alu_unit|Mux11~4_combout )
+// ) ) ) # ( \datamem|ram~86_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~22_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~150_q ))) ) ) ) # ( !\datamem|ram~86_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & (\datamem|ram~22_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~150_q ))) ) ) )
+
+ .dataa(!\datamem|ram~22_q ),
+ .datab(!\datamem|ram~214_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~150_q ),
+ .datae(!\datamem|ram~86_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4947_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4947 .extended_lut = "off";
+defparam \datamem|ram~4947 .lut_mask = 64'h505F505F0303F3F3;
+defparam \datamem|ram~4947 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y16_N31
+dffeas \datamem|ram~134 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~134_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~134 .is_wysiwyg = "true";
+defparam \datamem|ram~134 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N54
+cyclonev_lcell_comb \datamem|ram~198feeder (
+// Equation(s):
+// \datamem|ram~198feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~198feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~198feeder .extended_lut = "off";
+defparam \datamem|ram~198feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~198feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N55
+dffeas \datamem|ram~198 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~198feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~198_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~198 .is_wysiwyg = "true";
+defparam \datamem|ram~198 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y9_N30
+cyclonev_lcell_comb \datamem|ram~6feeder (
+// Equation(s):
+// \datamem|ram~6feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~6feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~6feeder .extended_lut = "off";
+defparam \datamem|ram~6feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~6feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N32
+dffeas \datamem|ram~6 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~6feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~6_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~6 .is_wysiwyg = "true";
+defparam \datamem|ram~6 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N44
+dffeas \datamem|ram~70 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~70_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~70 .is_wysiwyg = "true";
+defparam \datamem|ram~70 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N42
+cyclonev_lcell_comb \datamem|ram~4946 (
+// Equation(s):
+// \datamem|ram~4946_combout = ( \datamem|ram~70_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~134_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~198_q ))) ) ) ) # ( !\datamem|ram~70_q & ( \alu_unit|Mux11~4_combout
+// & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~134_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~198_q ))) ) ) ) # ( \datamem|ram~70_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~6_q ) ) ) ) # (
+// !\datamem|ram~70_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~6_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~134_q ),
+ .datab(!\datamem|ram~198_q ),
+ .datac(!\datamem|ram~6_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~70_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4946_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4946 .extended_lut = "off";
+defparam \datamem|ram~4946 .lut_mask = 64'h0F000FFF55335533;
+defparam \datamem|ram~4946 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4950 (
+// Equation(s):
+// \datamem|ram~4950_combout = ( \datamem|ram~4947_combout & ( \datamem|ram~4946_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4948_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4949_combout )))) ) )
+// ) # ( !\datamem|ram~4947_combout & ( \datamem|ram~4946_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4948_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~4949_combout
+// )))) ) ) ) # ( \datamem|ram~4947_combout & ( !\datamem|ram~4946_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~4948_combout ))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) #
+// ((\datamem|ram~4949_combout )))) ) ) ) # ( !\datamem|ram~4947_combout & ( !\datamem|ram~4946_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4948_combout )) # (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~4949_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4948_combout ),
+ .datad(!\datamem|ram~4949_combout ),
+ .datae(!\datamem|ram~4947_combout ),
+ .dataf(!\datamem|ram~4946_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4950_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4950 .extended_lut = "off";
+defparam \datamem|ram~4950 .lut_mask = 64'h021346578A9BCEDF;
+defparam \datamem|ram~4950 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y16_N3
+cyclonev_lcell_comb \datamem|ram~358feeder (
+// Equation(s):
+// \datamem|ram~358feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~358feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~358feeder .extended_lut = "off";
+defparam \datamem|ram~358feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~358feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N4
+dffeas \datamem|ram~358 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~358feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~358_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~358 .is_wysiwyg = "true";
+defparam \datamem|ram~358 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y17_N32
+dffeas \datamem|ram~294 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~294_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~294 .is_wysiwyg = "true";
+defparam \datamem|ram~294 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y16_N39
+cyclonev_lcell_comb \datamem|ram~422feeder (
+// Equation(s):
+// \datamem|ram~422feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~422feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~422feeder .extended_lut = "off";
+defparam \datamem|ram~422feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~422feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N40
+dffeas \datamem|ram~422 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~422feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~422_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~422 .is_wysiwyg = "true";
+defparam \datamem|ram~422 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y17_N44
+dffeas \datamem|ram~486 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~486_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~486 .is_wysiwyg = "true";
+defparam \datamem|ram~486 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N42
+cyclonev_lcell_comb \datamem|ram~4953 (
+// Equation(s):
+// \datamem|ram~4953_combout = ( \datamem|ram~486_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~422_q ) ) ) ) # ( !\datamem|ram~486_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~422_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~486_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~294_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~358_q )) ) ) ) # ( !\datamem|ram~486_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~294_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~358_q )) ) ) )
+
+ .dataa(!\datamem|ram~358_q ),
+ .datab(!\datamem|ram~294_q ),
+ .datac(!\datamem|ram~422_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~486_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4953_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4953 .extended_lut = "off";
+defparam \datamem|ram~4953 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4953 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N1
+dffeas \datamem|ram~262 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~262_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~262 .is_wysiwyg = "true";
+defparam \datamem|ram~262 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N16
+dffeas \datamem|ram~390 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~390_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~390 .is_wysiwyg = "true";
+defparam \datamem|ram~390 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N10
+dffeas \datamem|ram~454 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~454_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~454 .is_wysiwyg = "true";
+defparam \datamem|ram~454 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y17_N56
+dffeas \datamem|ram~326 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~326_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~326 .is_wysiwyg = "true";
+defparam \datamem|ram~326 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N54
+cyclonev_lcell_comb \datamem|ram~4951 (
+// Equation(s):
+// \datamem|ram~4951_combout = ( \datamem|ram~326_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~390_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~454_q ))) ) ) ) # ( !\datamem|ram~326_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~390_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~454_q ))) ) ) ) # ( \datamem|ram~326_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~262_q ) ) ) ) # ( !\datamem|ram~326_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~262_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~262_q ),
+ .datab(!\datamem|ram~390_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~454_q ),
+ .datae(!\datamem|ram~326_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4951_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4951 .extended_lut = "off";
+defparam \datamem|ram~4951 .lut_mask = 64'h50505F5F303F303F;
+defparam \datamem|ram~4951 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N59
+dffeas \datamem|ram~406 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~406_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~406 .is_wysiwyg = "true";
+defparam \datamem|ram~406 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N11
+dffeas \datamem|ram~470 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~470_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~470 .is_wysiwyg = "true";
+defparam \datamem|ram~470 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N38
+dffeas \datamem|ram~278 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~278_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~278 .is_wysiwyg = "true";
+defparam \datamem|ram~278 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N2
+dffeas \datamem|ram~342 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~342_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~342 .is_wysiwyg = "true";
+defparam \datamem|ram~342 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y6_N0
+cyclonev_lcell_comb \datamem|ram~4952 (
+// Equation(s):
+// \datamem|ram~4952_combout = ( \datamem|ram~342_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~470_q ) ) ) ) # ( !\datamem|ram~342_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~470_q & \alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~342_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~278_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~406_q )) ) ) ) # ( !\datamem|ram~342_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~278_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~406_q )) ) ) )
+
+ .dataa(!\datamem|ram~406_q ),
+ .datab(!\datamem|ram~470_q ),
+ .datac(!\datamem|ram~278_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~342_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4952_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4952 .extended_lut = "off";
+defparam \datamem|ram~4952 .lut_mask = 64'h0F550F550033FF33;
+defparam \datamem|ram~4952 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N8
+dffeas \datamem|ram~438 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~438_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~438 .is_wysiwyg = "true";
+defparam \datamem|ram~438 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N3
+cyclonev_lcell_comb \datamem|ram~374feeder (
+// Equation(s):
+// \datamem|ram~374feeder_combout = \reg_file|reg_read_data_2[6]~6_combout
+
+ .dataa(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~374feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~374feeder .extended_lut = "off";
+defparam \datamem|ram~374feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~374feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N4
+dffeas \datamem|ram~374 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~374feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~374_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~374 .is_wysiwyg = "true";
+defparam \datamem|ram~374 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y7_N27
+cyclonev_lcell_comb \datamem|ram~310feeder (
+// Equation(s):
+// \datamem|ram~310feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~310feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~310feeder .extended_lut = "off";
+defparam \datamem|ram~310feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~310feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N28
+dffeas \datamem|ram~310 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~310feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~310_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~310 .is_wysiwyg = "true";
+defparam \datamem|ram~310 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N44
+dffeas \datamem|ram~502 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~502_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~502 .is_wysiwyg = "true";
+defparam \datamem|ram~502 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y7_N42
+cyclonev_lcell_comb \datamem|ram~4954 (
+// Equation(s):
+// \datamem|ram~4954_combout = ( \datamem|ram~502_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~438_q ) ) ) ) # ( !\datamem|ram~502_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~438_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~502_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~310_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~374_q )) ) ) ) # ( !\datamem|ram~502_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~310_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~374_q )) ) ) )
+
+ .dataa(!\datamem|ram~438_q ),
+ .datab(!\datamem|ram~374_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~310_q ),
+ .datae(!\datamem|ram~502_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4954_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4954 .extended_lut = "off";
+defparam \datamem|ram~4954 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4954 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N0
+cyclonev_lcell_comb \datamem|ram~4955 (
+// Equation(s):
+// \datamem|ram~4955_combout = ( \datamem|ram~4952_combout & ( \datamem|ram~4954_combout & ( ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4951_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4953_combout ))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~4952_combout & ( \datamem|ram~4954_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4951_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4953_combout )))) #
+// (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) ) ) ) # ( \datamem|ram~4952_combout & ( !\datamem|ram~4954_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4951_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4953_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4952_combout & ( !\datamem|ram~4954_combout & ( (!\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4951_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4953_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4953_combout ),
+ .datac(!\datamem|ram~4951_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4952_combout ),
+ .dataf(!\datamem|ram~4954_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4955_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4955 .extended_lut = "off";
+defparam \datamem|ram~4955 .lut_mask = 64'h0A225F220A775F77;
+defparam \datamem|ram~4955 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y18_N52
+dffeas \datamem|ram~678 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~678_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~678 .is_wysiwyg = "true";
+defparam \datamem|ram~678 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N13
+dffeas \datamem|ram~614 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~614_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~614 .is_wysiwyg = "true";
+defparam \datamem|ram~614 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N43
+dffeas \datamem|ram~550 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~550_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~550 .is_wysiwyg = "true";
+defparam \datamem|ram~550 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N7
+dffeas \datamem|ram~742 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~742_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~742 .is_wysiwyg = "true";
+defparam \datamem|ram~742 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4958 (
+// Equation(s):
+// \datamem|ram~4958_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~742_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~678_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~614_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~550_q ) ) )
+
+ .dataa(!\datamem|ram~678_q ),
+ .datab(!\datamem|ram~614_q ),
+ .datac(!\datamem|ram~550_q ),
+ .datad(!\datamem|ram~742_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4958_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4958 .extended_lut = "off";
+defparam \datamem|ram~4958 .lut_mask = 64'h0F0F3333555500FF;
+defparam \datamem|ram~4958 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N34
+dffeas \datamem|ram~566 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~566_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~566 .is_wysiwyg = "true";
+defparam \datamem|ram~566 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N52
+dffeas \datamem|ram~630 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~630_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~630 .is_wysiwyg = "true";
+defparam \datamem|ram~630 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N52
+dffeas \datamem|ram~694 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~694_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~694 .is_wysiwyg = "true";
+defparam \datamem|ram~694 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N8
+dffeas \datamem|ram~758 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~758_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~758 .is_wysiwyg = "true";
+defparam \datamem|ram~758 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y17_N6
+cyclonev_lcell_comb \datamem|ram~4959 (
+// Equation(s):
+// \datamem|ram~4959_combout = ( \datamem|ram~758_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~694_q ) ) ) ) # ( !\datamem|ram~758_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~694_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~758_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~566_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~630_q ))) ) ) ) # ( !\datamem|ram~758_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~566_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~630_q ))) ) ) )
+
+ .dataa(!\datamem|ram~566_q ),
+ .datab(!\datamem|ram~630_q ),
+ .datac(!\datamem|ram~694_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~758_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4959_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4959 .extended_lut = "off";
+defparam \datamem|ram~4959 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4959 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N21
+cyclonev_lcell_comb \datamem|ram~582feeder (
+// Equation(s):
+// \datamem|ram~582feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~582feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~582feeder .extended_lut = "off";
+defparam \datamem|ram~582feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~582feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N23
+dffeas \datamem|ram~582 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~582feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~582_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~582 .is_wysiwyg = "true";
+defparam \datamem|ram~582 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N36
+cyclonev_lcell_comb \datamem|ram~646feeder (
+// Equation(s):
+// \datamem|ram~646feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~646feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~646feeder .extended_lut = "off";
+defparam \datamem|ram~646feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~646feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N37
+dffeas \datamem|ram~646 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~646feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~646_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~646 .is_wysiwyg = "true";
+defparam \datamem|ram~646 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N50
+dffeas \datamem|ram~518 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~518_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~518 .is_wysiwyg = "true";
+defparam \datamem|ram~518 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N26
+dffeas \datamem|ram~710 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~710_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~710 .is_wysiwyg = "true";
+defparam \datamem|ram~710 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N24
+cyclonev_lcell_comb \datamem|ram~4956 (
+// Equation(s):
+// \datamem|ram~4956_combout = ( \datamem|ram~710_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~646_q ) ) ) ) # ( !\datamem|ram~710_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~646_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~710_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~518_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~582_q )) ) ) ) # ( !\datamem|ram~710_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~518_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~582_q )) ) ) )
+
+ .dataa(!\datamem|ram~582_q ),
+ .datab(!\datamem|ram~646_q ),
+ .datac(!\datamem|ram~518_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~710_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4956_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4956 .extended_lut = "off";
+defparam \datamem|ram~4956 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4956 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N50
+dffeas \datamem|ram~534 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~534_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~534 .is_wysiwyg = "true";
+defparam \datamem|ram~534 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N32
+dffeas \datamem|ram~662 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~662_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~662 .is_wysiwyg = "true";
+defparam \datamem|ram~662 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y4_N6
+cyclonev_lcell_comb \datamem|ram~598feeder (
+// Equation(s):
+// \datamem|ram~598feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~598feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~598feeder .extended_lut = "off";
+defparam \datamem|ram~598feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~598feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N8
+dffeas \datamem|ram~598 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~598feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~598_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~598 .is_wysiwyg = "true";
+defparam \datamem|ram~598 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N8
+dffeas \datamem|ram~726 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~726_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~726 .is_wysiwyg = "true";
+defparam \datamem|ram~726 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N6
+cyclonev_lcell_comb \datamem|ram~4957 (
+// Equation(s):
+// \datamem|ram~4957_combout = ( \datamem|ram~726_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~662_q ) ) ) ) # ( !\datamem|ram~726_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~662_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~726_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~534_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~598_q ))) ) ) ) # ( !\datamem|ram~726_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~534_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~598_q ))) ) ) )
+
+ .dataa(!\datamem|ram~534_q ),
+ .datab(!\datamem|ram~662_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~598_q ),
+ .datae(!\datamem|ram~726_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4957_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4957 .extended_lut = "off";
+defparam \datamem|ram~4957 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4957 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y17_N45
+cyclonev_lcell_comb \datamem|ram~4960 (
+// Equation(s):
+// \datamem|ram~4960_combout = ( \datamem|ram~4956_combout & ( \datamem|ram~4957_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4958_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4959_combout )))) ) )
+// ) # ( !\datamem|ram~4956_combout & ( \datamem|ram~4957_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4958_combout )) #
+// (\alu_unit|Mux14~6_combout & ((\datamem|ram~4959_combout ))))) ) ) ) # ( \datamem|ram~4956_combout & ( !\datamem|ram~4957_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4958_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4959_combout ))))) ) ) ) # ( !\datamem|ram~4956_combout & ( !\datamem|ram~4957_combout & ( (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4958_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4959_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4958_combout ),
+ .datab(!\datamem|ram~4959_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4956_combout ),
+ .dataf(!\datamem|ram~4957_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4960_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4960 .extended_lut = "off";
+defparam \datamem|ram~4960 .lut_mask = 64'h0503F50305F3F5F3;
+defparam \datamem|ram~4960 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y18_N58
+dffeas \datamem|ram~934 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~934_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~934 .is_wysiwyg = "true";
+defparam \datamem|ram~934 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N18
+cyclonev_lcell_comb \datamem|ram~918feeder (
+// Equation(s):
+// \datamem|ram~918feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~918feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~918feeder .extended_lut = "off";
+defparam \datamem|ram~918feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~918feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N20
+dffeas \datamem|ram~918 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~918feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~918_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~918 .is_wysiwyg = "true";
+defparam \datamem|ram~918 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N33
+cyclonev_lcell_comb \datamem|ram~902feeder (
+// Equation(s):
+// \datamem|ram~902feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~902feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~902feeder .extended_lut = "off";
+defparam \datamem|ram~902feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~902feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N34
+dffeas \datamem|ram~902 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~902feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~902_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~902 .is_wysiwyg = "true";
+defparam \datamem|ram~902 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N56
+dffeas \datamem|ram~950 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~950_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~950 .is_wysiwyg = "true";
+defparam \datamem|ram~950 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N54
+cyclonev_lcell_comb \datamem|ram~4963 (
+// Equation(s):
+// \datamem|ram~4963_combout = ( \datamem|ram~950_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~918_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~950_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & \datamem|ram~918_q
+// ) ) ) ) # ( \datamem|ram~950_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~902_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~934_q )) ) ) ) # ( !\datamem|ram~950_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~902_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~934_q )) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~934_q ),
+ .datac(!\datamem|ram~918_q ),
+ .datad(!\datamem|ram~902_q ),
+ .datae(!\datamem|ram~950_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4963_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4963 .extended_lut = "off";
+defparam \datamem|ram~4963 .lut_mask = 64'h11BB11BB0A0A5F5F;
+defparam \datamem|ram~4963 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N28
+dffeas \datamem|ram~998 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~998_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~998 .is_wysiwyg = "true";
+defparam \datamem|ram~998 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N44
+dffeas \datamem|ram~982 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~982_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~982 .is_wysiwyg = "true";
+defparam \datamem|ram~982 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N13
+dffeas \datamem|ram~966 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~966_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~966 .is_wysiwyg = "true";
+defparam \datamem|ram~966 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N7
+dffeas \datamem|ram~1014 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1014_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1014 .is_wysiwyg = "true";
+defparam \datamem|ram~1014 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N45
+cyclonev_lcell_comb \datamem|ram~4964 (
+// Equation(s):
+// \datamem|ram~4964_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1014_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~982_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~998_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~966_q ) ) )
+
+ .dataa(!\datamem|ram~998_q ),
+ .datab(!\datamem|ram~982_q ),
+ .datac(!\datamem|ram~966_q ),
+ .datad(!\datamem|ram~1014_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4964_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4964 .extended_lut = "off";
+defparam \datamem|ram~4964 .lut_mask = 64'h0F0F5555333300FF;
+defparam \datamem|ram~4964 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N10
+dffeas \datamem|ram~870 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~870_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~870 .is_wysiwyg = "true";
+defparam \datamem|ram~870 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N44
+dffeas \datamem|ram~854 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~854_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~854 .is_wysiwyg = "true";
+defparam \datamem|ram~854 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N0
+cyclonev_lcell_comb \datamem|ram~838feeder (
+// Equation(s):
+// \datamem|ram~838feeder_combout = ( \reg_file|reg_read_data_2[6]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~838feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~838feeder .extended_lut = "off";
+defparam \datamem|ram~838feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~838feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N2
+dffeas \datamem|ram~838 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~838feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~838_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~838 .is_wysiwyg = "true";
+defparam \datamem|ram~838 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N14
+dffeas \datamem|ram~886 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~886_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~886 .is_wysiwyg = "true";
+defparam \datamem|ram~886 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4962 (
+// Equation(s):
+// \datamem|ram~4962_combout = ( \datamem|ram~886_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~854_q ) ) ) ) # ( !\datamem|ram~886_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~854_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~886_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~838_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~870_q )) ) ) ) # ( !\datamem|ram~886_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~838_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~870_q )) ) ) )
+
+ .dataa(!\datamem|ram~870_q ),
+ .datab(!\datamem|ram~854_q ),
+ .datac(!\datamem|ram~838_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~886_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4962_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4962 .extended_lut = "off";
+defparam \datamem|ram~4962 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4962 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N7
+dffeas \datamem|ram~774 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~774_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~774 .is_wysiwyg = "true";
+defparam \datamem|ram~774 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N34
+dffeas \datamem|ram~806 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~806_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~806 .is_wysiwyg = "true";
+defparam \datamem|ram~806 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N36
+cyclonev_lcell_comb \datamem|ram~790feeder (
+// Equation(s):
+// \datamem|ram~790feeder_combout = \reg_file|reg_read_data_2[6]~6_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[6]~6_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~790feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~790feeder .extended_lut = "off";
+defparam \datamem|ram~790feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~790feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N37
+dffeas \datamem|ram~790 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~790feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~790_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~790 .is_wysiwyg = "true";
+defparam \datamem|ram~790 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N50
+dffeas \datamem|ram~822 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[6]~6_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~822_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~822 .is_wysiwyg = "true";
+defparam \datamem|ram~822 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N48
+cyclonev_lcell_comb \datamem|ram~4961 (
+// Equation(s):
+// \datamem|ram~4961_combout = ( \datamem|ram~822_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~790_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~822_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & \datamem|ram~790_q
+// ) ) ) ) # ( \datamem|ram~822_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~774_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~806_q ))) ) ) ) # ( !\datamem|ram~822_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~774_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~806_q ))) ) ) )
+
+ .dataa(!\datamem|ram~774_q ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~806_q ),
+ .datad(!\datamem|ram~790_q ),
+ .datae(!\datamem|ram~822_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4961_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4961 .extended_lut = "off";
+defparam \datamem|ram~4961 .lut_mask = 64'h4747474700CC33FF;
+defparam \datamem|ram~4961 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N15
+cyclonev_lcell_comb \datamem|ram~4965 (
+// Equation(s):
+// \datamem|ram~4965_combout = ( \datamem|ram~4962_combout & ( \datamem|ram~4961_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4963_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4964_combout )))) ) )
+// ) # ( !\datamem|ram~4962_combout & ( \datamem|ram~4961_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4963_combout )) #
+// (\alu_unit|Mux12~2_combout & ((\datamem|ram~4964_combout ))))) ) ) ) # ( \datamem|ram~4962_combout & ( !\datamem|ram~4961_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4963_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4964_combout ))))) ) ) ) # ( !\datamem|ram~4962_combout & ( !\datamem|ram~4961_combout & ( (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4963_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4964_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4963_combout ),
+ .datac(!\datamem|ram~4964_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4962_combout ),
+ .dataf(!\datamem|ram~4961_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4965_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4965 .extended_lut = "off";
+defparam \datamem|ram~4965 .lut_mask = 64'h110511AFBB05BBAF;
+defparam \datamem|ram~4965 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N24
+cyclonev_lcell_comb \datamem|ram~4966 (
+// Equation(s):
+// \datamem|ram~4966_combout = ( \datamem|ram~4960_combout & ( \datamem|ram~4965_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4950_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4955_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4960_combout & ( \datamem|ram~4965_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4950_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4955_combout ))))) # (\alu_unit|Mux9~4_combout &
+// (((\alu_unit|Mux10~6_combout )))) ) ) ) # ( \datamem|ram~4960_combout & ( !\datamem|ram~4965_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4950_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4955_combout ))))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4960_combout & ( !\datamem|ram~4965_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4950_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4955_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4950_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4955_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4960_combout ),
+ .dataf(!\datamem|ram~4965_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4966_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4966 .extended_lut = "off";
+defparam \datamem|ram~4966 .lut_mask = 64'h440C770C443F773F;
+defparam \datamem|ram~4966 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y13_N12
+cyclonev_lcell_comb \datamem|ram~5030 (
+// Equation(s):
+// \datamem|ram~5030_combout = ( \datamem|ram~5008_combout & ( \datamem|ram~4966_combout & ( (!\alu_unit|Mux8~2_combout ) # ((!\alu_unit|Mux7~2_combout & (\datamem|ram~4987_combout )) # (\alu_unit|Mux7~2_combout & ((\datamem|ram~5029_combout )))) ) ) )
+// # ( !\datamem|ram~5008_combout & ( \datamem|ram~4966_combout & ( (!\alu_unit|Mux8~2_combout & (((!\alu_unit|Mux7~2_combout )))) # (\alu_unit|Mux8~2_combout & ((!\alu_unit|Mux7~2_combout & (\datamem|ram~4987_combout )) # (\alu_unit|Mux7~2_combout &
+// ((\datamem|ram~5029_combout ))))) ) ) ) # ( \datamem|ram~5008_combout & ( !\datamem|ram~4966_combout & ( (!\alu_unit|Mux8~2_combout & (((\alu_unit|Mux7~2_combout )))) # (\alu_unit|Mux8~2_combout & ((!\alu_unit|Mux7~2_combout &
+// (\datamem|ram~4987_combout )) # (\alu_unit|Mux7~2_combout & ((\datamem|ram~5029_combout ))))) ) ) ) # ( !\datamem|ram~5008_combout & ( !\datamem|ram~4966_combout & ( (\alu_unit|Mux8~2_combout & ((!\alu_unit|Mux7~2_combout & (\datamem|ram~4987_combout
+// )) # (\alu_unit|Mux7~2_combout & ((\datamem|ram~5029_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux8~2_combout ),
+ .datab(!\datamem|ram~4987_combout ),
+ .datac(!\alu_unit|Mux7~2_combout ),
+ .datad(!\datamem|ram~5029_combout ),
+ .datae(!\datamem|ram~5008_combout ),
+ .dataf(!\datamem|ram~4966_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5030_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5030 .extended_lut = "off";
+defparam \datamem|ram~5030 .lut_mask = 64'h10151A1FB0B5BABF;
+defparam \datamem|ram~5030 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N42
+cyclonev_lcell_comb \reg_write_data[6]~12 (
+// Equation(s):
+// \reg_write_data[6]~12_combout = ( \datamem|ram~5030_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux9~4_combout )))) # (\reg_write_data[1]~0_combout & (((\Add0~21_sumout )) # (\reg_write_data[1]~1_combout ))) ) ) # (
+// !\datamem|ram~5030_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux9~4_combout )))) # (\reg_write_data[1]~0_combout & (!\reg_write_data[1]~1_combout & (\Add0~21_sumout ))) ) )
+
+ .dataa(!\reg_write_data[1]~1_combout ),
+ .datab(!\reg_write_data[1]~0_combout ),
+ .datac(!\Add0~21_sumout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5030_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[6]~12_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[6]~12 .extended_lut = "off";
+defparam \reg_write_data[6]~12 .lut_mask = 64'h02CE02CE13DF13DF;
+defparam \reg_write_data[6]~12 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y13_N38
+dffeas \reg_file|reg_array[3][6] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[6]~12_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][6]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][6] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y12_N44
+dffeas \reg_file|reg_array[4][6] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[6]~12_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][6]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][6] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][6] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N46
+dffeas \reg_file|reg_array[7][6] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[6]~12_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][6]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][6] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N45
+cyclonev_lcell_comb \reg_file|reg_read_data_1[6]~18 (
+// Equation(s):
+// \reg_file|reg_read_data_1[6]~18_combout = ( \reg_file|reg_array[7][6]~q & ( \instrucion_memory|rom~12_combout & ( (\instrucion_memory|rom~11_combout ) # (\reg_file|reg_array[4][6]~q ) ) ) ) # ( !\reg_file|reg_array[7][6]~q & (
+// \instrucion_memory|rom~12_combout & ( (\reg_file|reg_array[4][6]~q & !\instrucion_memory|rom~11_combout ) ) ) ) # ( \reg_file|reg_array[7][6]~q & ( !\instrucion_memory|rom~12_combout & ( (!\instrucion_memory|rom~11_combout &
+// ((\reg_file|reg_array[0][6]~q ))) # (\instrucion_memory|rom~11_combout & (\reg_file|reg_array[3][6]~q )) ) ) ) # ( !\reg_file|reg_array[7][6]~q & ( !\instrucion_memory|rom~12_combout & ( (!\instrucion_memory|rom~11_combout &
+// ((\reg_file|reg_array[0][6]~q ))) # (\instrucion_memory|rom~11_combout & (\reg_file|reg_array[3][6]~q )) ) ) )
+
+ .dataa(!\reg_file|reg_array[3][6]~q ),
+ .datab(!\reg_file|reg_array[4][6]~q ),
+ .datac(!\instrucion_memory|rom~11_combout ),
+ .datad(!\reg_file|reg_array[0][6]~q ),
+ .datae(!\reg_file|reg_array[7][6]~q ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[6]~18_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[6]~18 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[6]~18 .lut_mask = 64'h05F505F530303F3F;
+defparam \reg_file|reg_read_data_1[6]~18 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N51
+cyclonev_lcell_comb \reg_file|reg_read_data_1[6]~19 (
+// Equation(s):
+// \reg_file|reg_read_data_1[6]~19_combout = ( \reg_file|reg_read_data_1[6]~18_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\reg_file|Equal0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[6]~18_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[6]~19_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[6]~19 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[6]~19 .lut_mask = 64'h0000000000FF00FF;
+defparam \reg_file|reg_read_data_1[6]~19 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N48
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[10]~1 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[10]~1_combout = ( \read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[8]~23_combout & ( (\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[6]~19_combout ) ) ) ) # ( !\read_data2[0]~1_combout & (
+// \reg_file|reg_read_data_1[8]~23_combout & ( (!\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[5]~17_combout ))) # (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[7]~21_combout )) ) ) ) # ( \read_data2[0]~1_combout & (
+// !\reg_file|reg_read_data_1[8]~23_combout & ( (\reg_file|reg_read_data_1[6]~19_combout & !\read_data2[1]~0_combout ) ) ) ) # ( !\read_data2[0]~1_combout & ( !\reg_file|reg_read_data_1[8]~23_combout & ( (!\read_data2[1]~0_combout &
+// ((\reg_file|reg_read_data_1[5]~17_combout ))) # (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[7]~21_combout )) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .datab(!\read_data2[1]~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datad(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datae(!\read_data2[0]~1_combout ),
+ .dataf(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[10]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[10]~1 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[10]~1 .lut_mask = 64'h03CF444403CF7777;
+defparam \alu_unit|shifter_right|st2[10]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N0
+cyclonev_lcell_comb \alu_unit|Mux14~1 (
+// Equation(s):
+// \alu_unit|Mux14~1_combout = ( \reg_file|reg_read_data_1[2]~3_combout & ( \reg_file|reg_read_data_1[3]~5_combout & ( (!\read_data2[1]~0_combout & (((\read_data2[0]~1_combout )) # (\reg_file|reg_read_data_1[1]~1_combout ))) # (\read_data2[1]~0_combout
+// & (((!\read_data2[0]~1_combout ) # (\reg_file|reg_read_data_1[4]~7_combout )))) ) ) ) # ( !\reg_file|reg_read_data_1[2]~3_combout & ( \reg_file|reg_read_data_1[3]~5_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[1]~1_combout &
+// ((!\read_data2[0]~1_combout )))) # (\read_data2[1]~0_combout & (((!\read_data2[0]~1_combout ) # (\reg_file|reg_read_data_1[4]~7_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[2]~3_combout & ( !\reg_file|reg_read_data_1[3]~5_combout & (
+// (!\read_data2[1]~0_combout & (((\read_data2[0]~1_combout )) # (\reg_file|reg_read_data_1[1]~1_combout ))) # (\read_data2[1]~0_combout & (((\reg_file|reg_read_data_1[4]~7_combout & \read_data2[0]~1_combout )))) ) ) ) # (
+// !\reg_file|reg_read_data_1[2]~3_combout & ( !\reg_file|reg_read_data_1[3]~5_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[1]~1_combout & ((!\read_data2[0]~1_combout )))) # (\read_data2[1]~0_combout &
+// (((\reg_file|reg_read_data_1[4]~7_combout & \read_data2[0]~1_combout )))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[1]~1_combout ),
+ .datab(!\read_data2[1]~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .datad(!\read_data2[0]~1_combout ),
+ .datae(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .dataf(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux14~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux14~1 .extended_lut = "off";
+defparam \alu_unit|Mux14~1 .lut_mask = 64'h440344CF770377CF;
+defparam \alu_unit|Mux14~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N6
+cyclonev_lcell_comb \alu_unit|Mux14~2 (
+// Equation(s):
+// \alu_unit|Mux14~2_combout = ( \alu_unit|shifter_right|st2[2]~2_combout & ( \alu_unit|shifter_right|st2[6]~0_combout & ( ((!\read_data2[2]~3_combout & ((\alu_unit|Mux14~1_combout ))) # (\read_data2[2]~3_combout &
+// (\alu_unit|shifter_right|st2[10]~1_combout ))) # (\read_data2[3]~2_combout ) ) ) ) # ( !\alu_unit|shifter_right|st2[2]~2_combout & ( \alu_unit|shifter_right|st2[6]~0_combout & ( (!\read_data2[2]~3_combout & (((\read_data2[3]~2_combout ) #
+// (\alu_unit|Mux14~1_combout )))) # (\read_data2[2]~3_combout & (\alu_unit|shifter_right|st2[10]~1_combout & ((!\read_data2[3]~2_combout )))) ) ) ) # ( \alu_unit|shifter_right|st2[2]~2_combout & ( !\alu_unit|shifter_right|st2[6]~0_combout & (
+// (!\read_data2[2]~3_combout & (((\alu_unit|Mux14~1_combout & !\read_data2[3]~2_combout )))) # (\read_data2[2]~3_combout & (((\read_data2[3]~2_combout )) # (\alu_unit|shifter_right|st2[10]~1_combout ))) ) ) ) # ( !\alu_unit|shifter_right|st2[2]~2_combout
+// & ( !\alu_unit|shifter_right|st2[6]~0_combout & ( (!\read_data2[3]~2_combout & ((!\read_data2[2]~3_combout & ((\alu_unit|Mux14~1_combout ))) # (\read_data2[2]~3_combout & (\alu_unit|shifter_right|st2[10]~1_combout )))) ) ) )
+
+ .dataa(!\alu_unit|shifter_right|st2[10]~1_combout ),
+ .datab(!\read_data2[2]~3_combout ),
+ .datac(!\alu_unit|Mux14~1_combout ),
+ .datad(!\read_data2[3]~2_combout ),
+ .datae(!\alu_unit|shifter_right|st2[2]~2_combout ),
+ .dataf(!\alu_unit|shifter_right|st2[6]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux14~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux14~2 .extended_lut = "off";
+defparam \alu_unit|Mux14~2 .lut_mask = 64'h1D001D331DCC1DFF;
+defparam \alu_unit|Mux14~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N0
+cyclonev_lcell_comb \alu_unit|Add0~61 (
+// Equation(s):
+// \alu_unit|Add0~61_sumout = SUM(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[0]~30_combout ))) ) + ( \read_data2[0]~1_combout ) + ( !VCC ))
+// \alu_unit|Add0~62 = CARRY(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[0]~30_combout ))) ) + ( \read_data2[0]~1_combout ) + ( !VCC ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[0]~30_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~61_sumout ),
+ .cout(\alu_unit|Add0~62 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~61 .extended_lut = "off";
+defparam \alu_unit|Add0~61 .lut_mask = 64'h0000FF0000000008;
+defparam \alu_unit|Add0~61 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N3
+cyclonev_lcell_comb \alu_unit|Add0~1 (
+// Equation(s):
+// \alu_unit|Add0~1_sumout = SUM(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[1]~0_combout ))) ) + ( \read_data2[1]~0_combout ) + ( \alu_unit|Add0~62 ))
+// \alu_unit|Add0~2 = CARRY(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[1]~0_combout ))) ) + ( \read_data2[1]~0_combout ) + ( \alu_unit|Add0~62 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[1]~0_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[1]~0_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~62 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~1_sumout ),
+ .cout(\alu_unit|Add0~2 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~1 .extended_lut = "off";
+defparam \alu_unit|Add0~1 .lut_mask = 64'h0000FF0000000008;
+defparam \alu_unit|Add0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N24
+cyclonev_lcell_comb \alu_unit|Mux14~0 (
+// Equation(s):
+// \alu_unit|Mux14~0_combout = ( \read_data2[1]~0_combout & ( \alu_unit|Add0~1_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((\ALU_Control_unit|WideOr2~0_combout )) # (\alu_unit|Add1~1_sumout ))) # (\ALU_Control_unit|WideOr1~0_combout &
+// (((!\ALU_Control_unit|WideOr2~0_combout ) # (\reg_file|reg_read_data_1[1]~1_combout )))) ) ) ) # ( !\read_data2[1]~0_combout & ( \alu_unit|Add0~1_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((\ALU_Control_unit|WideOr2~0_combout )) #
+// (\alu_unit|Add1~1_sumout ))) # (\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout & \reg_file|reg_read_data_1[1]~1_combout )))) ) ) ) # ( \read_data2[1]~0_combout & ( !\alu_unit|Add0~1_sumout & (
+// (!\ALU_Control_unit|WideOr1~0_combout & (\alu_unit|Add1~1_sumout & (!\ALU_Control_unit|WideOr2~0_combout ))) # (\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout ) # (\reg_file|reg_read_data_1[1]~1_combout )))) ) ) ) # (
+// !\read_data2[1]~0_combout & ( !\alu_unit|Add0~1_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout & ((!\ALU_Control_unit|WideOr1~0_combout & (\alu_unit|Add1~1_sumout )) # (\ALU_Control_unit|WideOr1~0_combout & ((\reg_file|reg_read_data_1[1]~1_combout
+// ))))) ) ) )
+
+ .dataa(!\alu_unit|Add1~1_sumout ),
+ .datab(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datac(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datad(!\reg_file|reg_read_data_1[1]~1_combout ),
+ .datae(!\read_data2[1]~0_combout ),
+ .dataf(!\alu_unit|Add0~1_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux14~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux14~0 .extended_lut = "off";
+defparam \alu_unit|Mux14~0 .lut_mask = 64'h407070734C7C7C7F;
+defparam \alu_unit|Mux14~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N57
+cyclonev_lcell_comb \alu_unit|Mux14~7 (
+// Equation(s):
+// \alu_unit|Mux14~7_combout = ( \alu_unit|Mux14~0_combout & ( (!\ALU_Control_unit|WideOr0~0_combout ) # ((\alu_unit|Mux14~3_combout & \alu_unit|Mux14~2_combout )) ) ) # ( !\alu_unit|Mux14~0_combout & ( (\alu_unit|Mux14~3_combout &
+// \alu_unit|Mux14~2_combout ) ) )
+
+ .dataa(!\alu_unit|Mux14~3_combout ),
+ .datab(gnd),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(!\alu_unit|Mux14~2_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux14~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux14~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux14~7 .extended_lut = "off";
+defparam \alu_unit|Mux14~7 .lut_mask = 64'h00550055F0F5F0F5;
+defparam \alu_unit|Mux14~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N15
+cyclonev_lcell_comb \alu_unit|Mux14~6 (
+// Equation(s):
+// \alu_unit|Mux14~6_combout = ( \alu_unit|Mult0~9 & ( ((\alu_unit|Mux14~4_combout & ((!\alu_unit|Mux13~0_combout ) # (\alu_unit|shifter_left|st2[1]~1_combout )))) # (\alu_unit|Mux14~7_combout ) ) ) # ( !\alu_unit|Mult0~9 & ( ((\alu_unit|Mux13~0_combout
+// & (\alu_unit|Mux14~4_combout & \alu_unit|shifter_left|st2[1]~1_combout ))) # (\alu_unit|Mux14~7_combout ) ) )
+
+ .dataa(!\alu_unit|Mux13~0_combout ),
+ .datab(!\alu_unit|Mux14~4_combout ),
+ .datac(!\alu_unit|Mux14~7_combout ),
+ .datad(!\alu_unit|shifter_left|st2[1]~1_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mult0~9 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux14~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux14~6 .extended_lut = "off";
+defparam \alu_unit|Mux14~6 .lut_mask = 64'h0F1F0F1F2F3F2F3F;
+defparam \alu_unit|Mux14~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y21_N27
+cyclonev_lcell_comb \datamem|ram~961feeder (
+// Equation(s):
+// \datamem|ram~961feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~961feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~961feeder .extended_lut = "off";
+defparam \datamem|ram~961feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~961feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N28
+dffeas \datamem|ram~961 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~961feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~961_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~961 .is_wysiwyg = "true";
+defparam \datamem|ram~961 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y11_N22
+dffeas \datamem|ram~977 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~977_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~977 .is_wysiwyg = "true";
+defparam \datamem|ram~977 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N49
+dffeas \datamem|ram~993 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~993_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~993 .is_wysiwyg = "true";
+defparam \datamem|ram~993 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y8_N26
+dffeas \datamem|ram~1009 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1009_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1009 .is_wysiwyg = "true";
+defparam \datamem|ram~1009 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y8_N24
+cyclonev_lcell_comb \datamem|ram~4114 (
+// Equation(s):
+// \datamem|ram~4114_combout = ( \datamem|ram~1009_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~993_q ) ) ) ) # ( !\datamem|ram~1009_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~993_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1009_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~961_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~977_q ))) ) ) ) # ( !\datamem|ram~1009_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~961_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~977_q ))) ) ) )
+
+ .dataa(!\datamem|ram~961_q ),
+ .datab(!\datamem|ram~977_q ),
+ .datac(!\datamem|ram~993_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1009_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4114_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4114 .extended_lut = "off";
+defparam \datamem|ram~4114 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4114 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y4_N15
+cyclonev_lcell_comb \datamem|ram~721feeder (
+// Equation(s):
+// \datamem|ram~721feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~721feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~721feeder .extended_lut = "off";
+defparam \datamem|ram~721feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~721feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y4_N16
+dffeas \datamem|ram~721 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~721feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~721_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~721 .is_wysiwyg = "true";
+defparam \datamem|ram~721 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N9
+cyclonev_lcell_comb \datamem|ram~737feeder (
+// Equation(s):
+// \datamem|ram~737feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~737feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~737feeder .extended_lut = "off";
+defparam \datamem|ram~737feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~737feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N10
+dffeas \datamem|ram~737 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~737feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~737_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~737 .is_wysiwyg = "true";
+defparam \datamem|ram~737 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y9_N16
+dffeas \datamem|ram~705 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~705_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~705 .is_wysiwyg = "true";
+defparam \datamem|ram~705 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y8_N47
+dffeas \datamem|ram~753 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~753_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~753 .is_wysiwyg = "true";
+defparam \datamem|ram~753 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y8_N45
+cyclonev_lcell_comb \datamem|ram~4113 (
+// Equation(s):
+// \datamem|ram~4113_combout = ( \datamem|ram~753_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~737_q ) ) ) ) # ( !\datamem|ram~753_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~737_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~753_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~705_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~721_q )) ) ) ) # ( !\datamem|ram~753_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & ((\datamem|ram~705_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~721_q )) ) ) )
+
+ .dataa(!\datamem|ram~721_q ),
+ .datab(!\datamem|ram~737_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~705_q ),
+ .datae(!\datamem|ram~753_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4113_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4113 .extended_lut = "off";
+defparam \datamem|ram~4113 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4113 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N59
+dffeas \datamem|ram~193 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~193_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~193 .is_wysiwyg = "true";
+defparam \datamem|ram~193 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N4
+dffeas \datamem|ram~209 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~209_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~209 .is_wysiwyg = "true";
+defparam \datamem|ram~209 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N14
+dffeas \datamem|ram~225 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~225_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~225 .is_wysiwyg = "true";
+defparam \datamem|ram~225 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y8_N50
+dffeas \datamem|ram~241 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~241_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~241 .is_wysiwyg = "true";
+defparam \datamem|ram~241 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y8_N48
+cyclonev_lcell_comb \datamem|ram~4111 (
+// Equation(s):
+// \datamem|ram~4111_combout = ( \datamem|ram~241_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~225_q ) ) ) ) # ( !\datamem|ram~241_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~225_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~241_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~193_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~209_q ))) ) ) ) # ( !\datamem|ram~241_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~193_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~209_q ))) ) ) )
+
+ .dataa(!\datamem|ram~193_q ),
+ .datab(!\datamem|ram~209_q ),
+ .datac(!\datamem|ram~225_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~241_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4111_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4111 .extended_lut = "off";
+defparam \datamem|ram~4111 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4111 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N54
+cyclonev_lcell_comb \datamem|ram~449feeder (
+// Equation(s):
+// \datamem|ram~449feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~449feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~449feeder .extended_lut = "off";
+defparam \datamem|ram~449feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~449feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N56
+dffeas \datamem|ram~449 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~449feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~449_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~449 .is_wysiwyg = "true";
+defparam \datamem|ram~449 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y5_N39
+cyclonev_lcell_comb \datamem|ram~481feeder (
+// Equation(s):
+// \datamem|ram~481feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~481feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~481feeder .extended_lut = "off";
+defparam \datamem|ram~481feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~481feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y5_N41
+dffeas \datamem|ram~481 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~481feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~481_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~481 .is_wysiwyg = "true";
+defparam \datamem|ram~481 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N29
+dffeas \datamem|ram~465 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~465_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~465 .is_wysiwyg = "true";
+defparam \datamem|ram~465 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N20
+dffeas \datamem|ram~497 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~497_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~497 .is_wysiwyg = "true";
+defparam \datamem|ram~497 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N18
+cyclonev_lcell_comb \datamem|ram~4112 (
+// Equation(s):
+// \datamem|ram~4112_combout = ( \datamem|ram~497_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~481_q ) ) ) ) # ( !\datamem|ram~497_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~481_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~497_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~449_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~465_q ))) ) ) ) # ( !\datamem|ram~497_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~449_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~465_q ))) ) ) )
+
+ .dataa(!\datamem|ram~449_q ),
+ .datab(!\datamem|ram~481_q ),
+ .datac(!\datamem|ram~465_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~497_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4112_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4112 .extended_lut = "off";
+defparam \datamem|ram~4112 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4112 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y8_N36
+cyclonev_lcell_comb \datamem|ram~4115 (
+// Equation(s):
+// \datamem|ram~4115_combout = ( \datamem|ram~4112_combout & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4113_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4114_combout )) ) ) ) # ( !\datamem|ram~4112_combout
+// & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4113_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4114_combout )) ) ) ) # ( \datamem|ram~4112_combout & ( !\alu_unit|Mux9~4_combout & (
+// (\alu_unit|Mux10~6_combout ) # (\datamem|ram~4111_combout ) ) ) ) # ( !\datamem|ram~4112_combout & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~4111_combout & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~4114_combout ),
+ .datab(!\datamem|ram~4113_combout ),
+ .datac(!\datamem|ram~4111_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4112_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4115_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4115 .extended_lut = "off";
+defparam \datamem|ram~4115 .lut_mask = 64'h0F000FFF33553355;
+defparam \datamem|ram~4115 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N10
+dffeas \datamem|ram~321 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~321_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~321 .is_wysiwyg = "true";
+defparam \datamem|ram~321 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N40
+dffeas \datamem|ram~353 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~353_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~353 .is_wysiwyg = "true";
+defparam \datamem|ram~353 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y8_N1
+dffeas \datamem|ram~369 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~369_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~369 .is_wysiwyg = "true";
+defparam \datamem|ram~369 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y8_N50
+dffeas \datamem|ram~337 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~337_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~337 .is_wysiwyg = "true";
+defparam \datamem|ram~337 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y8_N48
+cyclonev_lcell_comb \datamem|ram~4102 (
+// Equation(s):
+// \datamem|ram~4102_combout = ( \datamem|ram~337_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~369_q ) ) ) ) # ( !\datamem|ram~337_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout & \datamem|ram~369_q
+// ) ) ) ) # ( \datamem|ram~337_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~321_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~353_q ))) ) ) ) # ( !\datamem|ram~337_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~321_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~353_q ))) ) ) )
+
+ .dataa(!\datamem|ram~321_q ),
+ .datab(!\datamem|ram~353_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~369_q ),
+ .datae(!\datamem|ram~337_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4102_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4102 .extended_lut = "off";
+defparam \datamem|ram~4102 .lut_mask = 64'h53535353000FF0FF;
+defparam \datamem|ram~4102 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N22
+dffeas \datamem|ram~865 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~865_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~865 .is_wysiwyg = "true";
+defparam \datamem|ram~865 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y7_N36
+cyclonev_lcell_comb \datamem|ram~849feeder (
+// Equation(s):
+// \datamem|ram~849feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~849feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~849feeder .extended_lut = "off";
+defparam \datamem|ram~849feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~849feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y7_N37
+dffeas \datamem|ram~849 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~849feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~849_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~849 .is_wysiwyg = "true";
+defparam \datamem|ram~849 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y7_N36
+cyclonev_lcell_comb \datamem|ram~833feeder (
+// Equation(s):
+// \datamem|ram~833feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~833feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~833feeder .extended_lut = "off";
+defparam \datamem|ram~833feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~833feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y7_N37
+dffeas \datamem|ram~833 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~833feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~833_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~833 .is_wysiwyg = "true";
+defparam \datamem|ram~833 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y8_N44
+dffeas \datamem|ram~881 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~881_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~881 .is_wysiwyg = "true";
+defparam \datamem|ram~881 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y8_N42
+cyclonev_lcell_comb \datamem|ram~4104 (
+// Equation(s):
+// \datamem|ram~4104_combout = ( \datamem|ram~881_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~849_q ) ) ) ) # ( !\datamem|ram~881_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~849_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~881_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~833_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~865_q )) ) ) ) # ( !\datamem|ram~881_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~833_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~865_q )) ) ) )
+
+ .dataa(!\datamem|ram~865_q ),
+ .datab(!\datamem|ram~849_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~833_q ),
+ .datae(!\datamem|ram~881_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4104_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4104 .extended_lut = "off";
+defparam \datamem|ram~4104 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4104 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N0
+cyclonev_lcell_comb \datamem|ram~97feeder (
+// Equation(s):
+// \datamem|ram~97feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~97feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~97feeder .extended_lut = "off";
+defparam \datamem|ram~97feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~97feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N1
+dffeas \datamem|ram~97 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~97feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~97_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~97 .is_wysiwyg = "true";
+defparam \datamem|ram~97 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N17
+dffeas \datamem|ram~113 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~113_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~113 .is_wysiwyg = "true";
+defparam \datamem|ram~113 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N4
+dffeas \datamem|ram~65 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~65_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~65 .is_wysiwyg = "true";
+defparam \datamem|ram~65 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y8_N32
+dffeas \datamem|ram~81 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~81_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~81 .is_wysiwyg = "true";
+defparam \datamem|ram~81 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y8_N30
+cyclonev_lcell_comb \datamem|ram~4101 (
+// Equation(s):
+// \datamem|ram~4101_combout = ( \datamem|ram~81_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~113_q ) ) ) ) # ( !\datamem|ram~81_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~113_q & \alu_unit|Mux13~4_combout )
+// ) ) ) # ( \datamem|ram~81_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~65_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~97_q )) ) ) ) # ( !\datamem|ram~81_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~65_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~97_q )) ) ) )
+
+ .dataa(!\datamem|ram~97_q ),
+ .datab(!\datamem|ram~113_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~65_q ),
+ .datae(!\datamem|ram~81_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4101_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4101 .extended_lut = "off";
+defparam \datamem|ram~4101 .lut_mask = 64'h05F505F50303F3F3;
+defparam \datamem|ram~4101 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N41
+dffeas \datamem|ram~593 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~593_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~593 .is_wysiwyg = "true";
+defparam \datamem|ram~593 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N57
+cyclonev_lcell_comb \datamem|ram~577feeder (
+// Equation(s):
+// \datamem|ram~577feeder_combout = \reg_file|reg_read_data_2[1]~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~577feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~577feeder .extended_lut = "off";
+defparam \datamem|ram~577feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~577feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N59
+dffeas \datamem|ram~577 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~577feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~577_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~577 .is_wysiwyg = "true";
+defparam \datamem|ram~577 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N49
+dffeas \datamem|ram~625 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~625_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~625 .is_wysiwyg = "true";
+defparam \datamem|ram~625 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N47
+dffeas \datamem|ram~609 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~609_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~609 .is_wysiwyg = "true";
+defparam \datamem|ram~609 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y7_N36
+cyclonev_lcell_comb \datamem|ram~4103 (
+// Equation(s):
+// \datamem|ram~4103_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~625_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~593_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~609_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~577_q ) ) )
+
+ .dataa(!\datamem|ram~593_q ),
+ .datab(!\datamem|ram~577_q ),
+ .datac(!\datamem|ram~625_q ),
+ .datad(!\datamem|ram~609_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4103_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4103 .extended_lut = "off";
+defparam \datamem|ram~4103 .lut_mask = 64'h333300FF55550F0F;
+defparam \datamem|ram~4103 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y8_N18
+cyclonev_lcell_comb \datamem|ram~4105 (
+// Equation(s):
+// \datamem|ram~4105_combout = ( \alu_unit|Mux10~6_combout & ( \datamem|ram~4103_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~4102_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4104_combout ))) ) ) ) # ( !\alu_unit|Mux10~6_combout &
+// ( \datamem|ram~4103_combout & ( (\datamem|ram~4101_combout ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( \alu_unit|Mux10~6_combout & ( !\datamem|ram~4103_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~4102_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4104_combout ))) ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\datamem|ram~4103_combout & ( (!\alu_unit|Mux9~4_combout & \datamem|ram~4101_combout ) ) ) )
+
+ .dataa(!\datamem|ram~4102_combout ),
+ .datab(!\datamem|ram~4104_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~4101_combout ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\datamem|ram~4103_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4105_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4105 .extended_lut = "off";
+defparam \datamem|ram~4105 .lut_mask = 64'h00F053530FFF5353;
+defparam \datamem|ram~4105 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y10_N47
+dffeas \datamem|ram~945 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~945_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~945 .is_wysiwyg = "true";
+defparam \datamem|ram~945 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N36
+cyclonev_lcell_comb \datamem|ram~689feeder (
+// Equation(s):
+// \datamem|ram~689feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~689feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~689feeder .extended_lut = "off";
+defparam \datamem|ram~689feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~689feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N37
+dffeas \datamem|ram~689 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~689feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~689_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~689 .is_wysiwyg = "true";
+defparam \datamem|ram~689 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y15_N24
+cyclonev_lcell_comb \datamem|ram~433feeder (
+// Equation(s):
+// \datamem|ram~433feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~433feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~433feeder .extended_lut = "off";
+defparam \datamem|ram~433feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~433feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y15_N25
+dffeas \datamem|ram~433 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~433feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~433_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~433 .is_wysiwyg = "true";
+defparam \datamem|ram~433 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y10_N41
+dffeas \datamem|ram~177 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~177_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~177 .is_wysiwyg = "true";
+defparam \datamem|ram~177 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N27
+cyclonev_lcell_comb \datamem|ram~4109 (
+// Equation(s):
+// \datamem|ram~4109_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~945_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~689_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~433_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~177_q ) ) )
+
+ .dataa(!\datamem|ram~945_q ),
+ .datab(!\datamem|ram~689_q ),
+ .datac(!\datamem|ram~433_q ),
+ .datad(!\datamem|ram~177_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4109_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4109 .extended_lut = "off";
+defparam \datamem|ram~4109 .lut_mask = 64'h00FF0F0F33335555;
+defparam \datamem|ram~4109 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N19
+dffeas \datamem|ram~913 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~913_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~913 .is_wysiwyg = "true";
+defparam \datamem|ram~913 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y17_N29
+dffeas \datamem|ram~657 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~657_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~657 .is_wysiwyg = "true";
+defparam \datamem|ram~657 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N38
+dffeas \datamem|ram~145 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~145_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~145 .is_wysiwyg = "true";
+defparam \datamem|ram~145 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N32
+dffeas \datamem|ram~401 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~401_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~401 .is_wysiwyg = "true";
+defparam \datamem|ram~401 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N21
+cyclonev_lcell_comb \datamem|ram~4107 (
+// Equation(s):
+// \datamem|ram~4107_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~913_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~657_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~401_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~145_q ) ) )
+
+ .dataa(!\datamem|ram~913_q ),
+ .datab(!\datamem|ram~657_q ),
+ .datac(!\datamem|ram~145_q ),
+ .datad(!\datamem|ram~401_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4107_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4107 .extended_lut = "off";
+defparam \datamem|ram~4107 .lut_mask = 64'h0F0F00FF33335555;
+defparam \datamem|ram~4107 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N5
+dffeas \datamem|ram~641 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~641_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~641 .is_wysiwyg = "true";
+defparam \datamem|ram~641 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N15
+cyclonev_lcell_comb \datamem|ram~129feeder (
+// Equation(s):
+// \datamem|ram~129feeder_combout = \reg_file|reg_read_data_2[1]~0_combout
+
+ .dataa(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~129feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~129feeder .extended_lut = "off";
+defparam \datamem|ram~129feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~129feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N16
+dffeas \datamem|ram~129 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~129feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~129_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~129 .is_wysiwyg = "true";
+defparam \datamem|ram~129 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N31
+dffeas \datamem|ram~385 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~385_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~385 .is_wysiwyg = "true";
+defparam \datamem|ram~385 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N56
+dffeas \datamem|ram~897 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~897_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~897 .is_wysiwyg = "true";
+defparam \datamem|ram~897 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N54
+cyclonev_lcell_comb \datamem|ram~4106 (
+// Equation(s):
+// \datamem|ram~4106_combout = ( \datamem|ram~897_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~385_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~897_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & \datamem|ram~385_q )
+// ) ) ) # ( \datamem|ram~897_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~129_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~641_q )) ) ) ) # ( !\datamem|ram~897_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~129_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~641_q )) ) ) )
+
+ .dataa(!\datamem|ram~641_q ),
+ .datab(!\datamem|ram~129_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~385_q ),
+ .datae(!\datamem|ram~897_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4106_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4106 .extended_lut = "off";
+defparam \datamem|ram~4106 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4106 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N23
+dffeas \datamem|ram~673 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~673_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~673 .is_wysiwyg = "true";
+defparam \datamem|ram~673 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N2
+dffeas \datamem|ram~929 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~929_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~929 .is_wysiwyg = "true";
+defparam \datamem|ram~929 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N17
+dffeas \datamem|ram~161 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~161_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~161 .is_wysiwyg = "true";
+defparam \datamem|ram~161 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N52
+dffeas \datamem|ram~417 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~417_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~417 .is_wysiwyg = "true";
+defparam \datamem|ram~417 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4108 (
+// Equation(s):
+// \datamem|ram~4108_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~929_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~673_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~417_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~161_q ) ) )
+
+ .dataa(!\datamem|ram~673_q ),
+ .datab(!\datamem|ram~929_q ),
+ .datac(!\datamem|ram~161_q ),
+ .datad(!\datamem|ram~417_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4108_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4108 .extended_lut = "off";
+defparam \datamem|ram~4108 .lut_mask = 64'h0F0F00FF55553333;
+defparam \datamem|ram~4108 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N42
+cyclonev_lcell_comb \datamem|ram~4110 (
+// Equation(s):
+// \datamem|ram~4110_combout = ( \datamem|ram~4106_combout & ( \datamem|ram~4108_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4107_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4109_combout ))) ) )
+// ) # ( !\datamem|ram~4106_combout & ( \datamem|ram~4108_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4107_combout ))) # (\alu_unit|Mux13~4_combout
+// & (\datamem|ram~4109_combout )))) ) ) ) # ( \datamem|ram~4106_combout & ( !\datamem|ram~4108_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4107_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4109_combout )))) ) ) ) # ( !\datamem|ram~4106_combout & ( !\datamem|ram~4108_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4107_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4109_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4109_combout ),
+ .datad(!\datamem|ram~4107_combout ),
+ .datae(!\datamem|ram~4106_combout ),
+ .dataf(!\datamem|ram~4108_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4110_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4110 .extended_lut = "off";
+defparam \datamem|ram~4110 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~4110 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N45
+cyclonev_lcell_comb \datamem|ram~257feeder (
+// Equation(s):
+// \datamem|ram~257feeder_combout = \reg_file|reg_read_data_2[1]~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~257feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~257feeder .extended_lut = "off";
+defparam \datamem|ram~257feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~257feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N46
+dffeas \datamem|ram~257 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~257feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~257_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~257 .is_wysiwyg = "true";
+defparam \datamem|ram~257 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y10_N14
+dffeas \datamem|ram~273 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~273_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~273 .is_wysiwyg = "true";
+defparam \datamem|ram~273 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N15
+cyclonev_lcell_comb \datamem|ram~305feeder (
+// Equation(s):
+// \datamem|ram~305feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~305feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~305feeder .extended_lut = "off";
+defparam \datamem|ram~305feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~305feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N16
+dffeas \datamem|ram~305 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~305feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~305_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~305 .is_wysiwyg = "true";
+defparam \datamem|ram~305 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N26
+dffeas \datamem|ram~289 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~289_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~289 .is_wysiwyg = "true";
+defparam \datamem|ram~289 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4097 (
+// Equation(s):
+// \datamem|ram~4097_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~305_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~289_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~273_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~257_q ) ) )
+
+ .dataa(!\datamem|ram~257_q ),
+ .datab(!\datamem|ram~273_q ),
+ .datac(!\datamem|ram~305_q ),
+ .datad(!\datamem|ram~289_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4097_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4097 .extended_lut = "off";
+defparam \datamem|ram~4097 .lut_mask = 64'h5555333300FF0F0F;
+defparam \datamem|ram~4097 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N57
+cyclonev_lcell_comb \datamem|ram~529feeder (
+// Equation(s):
+// \datamem|ram~529feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~529feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~529feeder .extended_lut = "off";
+defparam \datamem|ram~529feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~529feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N59
+dffeas \datamem|ram~529 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~529feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~529_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~529 .is_wysiwyg = "true";
+defparam \datamem|ram~529 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N19
+dffeas \datamem|ram~545 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~545_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~545 .is_wysiwyg = "true";
+defparam \datamem|ram~545 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N2
+dffeas \datamem|ram~513 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~513_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~513 .is_wysiwyg = "true";
+defparam \datamem|ram~513 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N14
+dffeas \datamem|ram~561 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~561_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~561 .is_wysiwyg = "true";
+defparam \datamem|ram~561 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N12
+cyclonev_lcell_comb \datamem|ram~4098 (
+// Equation(s):
+// \datamem|ram~4098_combout = ( \datamem|ram~561_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~529_q ) ) ) ) # ( !\datamem|ram~561_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~529_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~561_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~513_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~545_q )) ) ) ) # ( !\datamem|ram~561_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~513_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~545_q )) ) ) )
+
+ .dataa(!\datamem|ram~529_q ),
+ .datab(!\datamem|ram~545_q ),
+ .datac(!\datamem|ram~513_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~561_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4098_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4098 .extended_lut = "off";
+defparam \datamem|ram~4098 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4098 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N24
+cyclonev_lcell_comb \datamem|ram~1feeder (
+// Equation(s):
+// \datamem|ram~1feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1feeder .extended_lut = "off";
+defparam \datamem|ram~1feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N26
+dffeas \datamem|ram~1 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1 .is_wysiwyg = "true";
+defparam \datamem|ram~1 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N4
+dffeas \datamem|ram~33 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~33_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~33 .is_wysiwyg = "true";
+defparam \datamem|ram~33 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N28
+dffeas \datamem|ram~17 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~17_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~17 .is_wysiwyg = "true";
+defparam \datamem|ram~17 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N11
+dffeas \datamem|ram~49 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~49_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~49 .is_wysiwyg = "true";
+defparam \datamem|ram~49 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N9
+cyclonev_lcell_comb \datamem|ram~4096 (
+// Equation(s):
+// \datamem|ram~4096_combout = ( \datamem|ram~49_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~33_q ) ) ) ) # ( !\datamem|ram~49_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~33_q & !\alu_unit|Mux14~6_combout ) )
+// ) ) # ( \datamem|ram~49_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~17_q ))) ) ) ) # ( !\datamem|ram~49_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~1_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~17_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1_q ),
+ .datab(!\datamem|ram~33_q ),
+ .datac(!\datamem|ram~17_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~49_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4096_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4096 .extended_lut = "off";
+defparam \datamem|ram~4096 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4096 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y11_N28
+dffeas \datamem|ram~785 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~785_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~785 .is_wysiwyg = "true";
+defparam \datamem|ram~785 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N20
+dffeas \datamem|ram~769 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~769_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~769 .is_wysiwyg = "true";
+defparam \datamem|ram~769 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N58
+dffeas \datamem|ram~801 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~801_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~801 .is_wysiwyg = "true";
+defparam \datamem|ram~801 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y7_N14
+dffeas \datamem|ram~817 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~817_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~817 .is_wysiwyg = "true";
+defparam \datamem|ram~817 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y7_N12
+cyclonev_lcell_comb \datamem|ram~4099 (
+// Equation(s):
+// \datamem|ram~4099_combout = ( \datamem|ram~817_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~785_q ) ) ) ) # ( !\datamem|ram~817_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~785_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~817_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~769_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~801_q ))) ) ) ) # ( !\datamem|ram~817_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~769_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~801_q ))) ) ) )
+
+ .dataa(!\datamem|ram~785_q ),
+ .datab(!\datamem|ram~769_q ),
+ .datac(!\datamem|ram~801_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~817_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4099_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4099 .extended_lut = "off";
+defparam \datamem|ram~4099 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4099 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N51
+cyclonev_lcell_comb \datamem|ram~4100 (
+// Equation(s):
+// \datamem|ram~4100_combout = ( \datamem|ram~4096_combout & ( \datamem|ram~4099_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~4097_combout ))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~4098_combout ) #
+// (\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4096_combout & ( \datamem|ram~4099_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~4097_combout & (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~4098_combout
+// ) # (\alu_unit|Mux10~6_combout )))) ) ) ) # ( \datamem|ram~4096_combout & ( !\datamem|ram~4099_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~4097_combout ))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout & \datamem|ram~4098_combout )))) ) ) ) # ( !\datamem|ram~4096_combout & ( !\datamem|ram~4099_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~4097_combout & (\alu_unit|Mux10~6_combout ))) #
+// (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout & \datamem|ram~4098_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4097_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~4098_combout ),
+ .datae(!\datamem|ram~4096_combout ),
+ .dataf(!\datamem|ram~4099_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4100_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4100 .extended_lut = "off";
+defparam \datamem|ram~4100 .lut_mask = 64'h0434C4F40737C7F7;
+defparam \datamem|ram~4100 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N36
+cyclonev_lcell_comb \datamem|ram~4116 (
+// Equation(s):
+// \datamem|ram~4116_combout = ( \datamem|ram~4110_combout & ( \datamem|ram~4100_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4105_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4115_combout ))) ) )
+// ) # ( !\datamem|ram~4110_combout & ( \datamem|ram~4100_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4105_combout ))) # (\alu_unit|Mux11~4_combout
+// & (\datamem|ram~4115_combout )))) ) ) ) # ( \datamem|ram~4110_combout & ( !\datamem|ram~4100_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4105_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4115_combout )))) ) ) ) # ( !\datamem|ram~4110_combout & ( !\datamem|ram~4100_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4105_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4115_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4115_combout ),
+ .datad(!\datamem|ram~4105_combout ),
+ .datae(!\datamem|ram~4110_combout ),
+ .dataf(!\datamem|ram~4100_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4116_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4116 .extended_lut = "off";
+defparam \datamem|ram~4116 .lut_mask = 64'h0145236789CDABEF;
+defparam \datamem|ram~4116 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y17_N9
+cyclonev_lcell_comb \datamem|ram~3137feeder (
+// Equation(s):
+// \datamem|ram~3137feeder_combout = \reg_file|reg_read_data_2[1]~0_combout
+
+ .dataa(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3137feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3137feeder .extended_lut = "off";
+defparam \datamem|ram~3137feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3137feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N10
+dffeas \datamem|ram~3137 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3137feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3137_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3137 .is_wysiwyg = "true";
+defparam \datamem|ram~3137 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y15_N51
+cyclonev_lcell_comb \datamem|ram~3153feeder (
+// Equation(s):
+// \datamem|ram~3153feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3153feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3153feeder .extended_lut = "off";
+defparam \datamem|ram~3153feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3153feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N52
+dffeas \datamem|ram~3153 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3153feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3153_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3153 .is_wysiwyg = "true";
+defparam \datamem|ram~3153 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y11_N7
+dffeas \datamem|ram~3169 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3169_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3169 .is_wysiwyg = "true";
+defparam \datamem|ram~3169 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y8_N38
+dffeas \datamem|ram~3185 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3185_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3185 .is_wysiwyg = "true";
+defparam \datamem|ram~3185 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N36
+cyclonev_lcell_comb \datamem|ram~4164 (
+// Equation(s):
+// \datamem|ram~4164_combout = ( \datamem|ram~3185_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3153_q ) ) ) ) # ( !\datamem|ram~3185_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3153_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3185_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3137_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3169_q ))) ) ) ) # ( !\datamem|ram~3185_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3137_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3169_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3137_q ),
+ .datab(!\datamem|ram~3153_q ),
+ .datac(!\datamem|ram~3169_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3185_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4164_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4164 .extended_lut = "off";
+defparam \datamem|ram~4164 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4164 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N59
+dffeas \datamem|ram~3409 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3409_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3409 .is_wysiwyg = "true";
+defparam \datamem|ram~3409 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N8
+dffeas \datamem|ram~3393 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3393_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3393 .is_wysiwyg = "true";
+defparam \datamem|ram~3393 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N1
+dffeas \datamem|ram~3425 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3425_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3425 .is_wysiwyg = "true";
+defparam \datamem|ram~3425 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y6_N24
+cyclonev_lcell_comb \datamem|ram~3441feeder (
+// Equation(s):
+// \datamem|ram~3441feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3441feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3441feeder .extended_lut = "off";
+defparam \datamem|ram~3441feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3441feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y6_N25
+dffeas \datamem|ram~3441 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3441feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3441_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3441 .is_wysiwyg = "true";
+defparam \datamem|ram~3441 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N9
+cyclonev_lcell_comb \datamem|ram~4165 (
+// Equation(s):
+// \datamem|ram~4165_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~3441_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~3425_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~3409_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~3393_q ) ) )
+
+ .dataa(!\datamem|ram~3409_q ),
+ .datab(!\datamem|ram~3393_q ),
+ .datac(!\datamem|ram~3425_q ),
+ .datad(!\datamem|ram~3441_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4165_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4165 .extended_lut = "off";
+defparam \datamem|ram~4165 .lut_mask = 64'h333355550F0F00FF;
+defparam \datamem|ram~4165 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N33
+cyclonev_lcell_comb \datamem|ram~3681feeder (
+// Equation(s):
+// \datamem|ram~3681feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3681feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3681feeder .extended_lut = "off";
+defparam \datamem|ram~3681feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3681feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N35
+dffeas \datamem|ram~3681 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3681feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3681_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3681 .is_wysiwyg = "true";
+defparam \datamem|ram~3681 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N24
+cyclonev_lcell_comb \datamem|ram~3649feeder (
+// Equation(s):
+// \datamem|ram~3649feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3649feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3649feeder .extended_lut = "off";
+defparam \datamem|ram~3649feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3649feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N25
+dffeas \datamem|ram~3649 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3649feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3649_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3649 .is_wysiwyg = "true";
+defparam \datamem|ram~3649 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y19_N4
+dffeas \datamem|ram~3665 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3665_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3665 .is_wysiwyg = "true";
+defparam \datamem|ram~3665 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N38
+dffeas \datamem|ram~3697 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3697_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3697 .is_wysiwyg = "true";
+defparam \datamem|ram~3697 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N36
+cyclonev_lcell_comb \datamem|ram~4166 (
+// Equation(s):
+// \datamem|ram~4166_combout = ( \datamem|ram~3697_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3665_q ) ) ) ) # ( !\datamem|ram~3697_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3665_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3697_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3649_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3681_q )) ) ) ) # ( !\datamem|ram~3697_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3649_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3681_q )) ) ) )
+
+ .dataa(!\datamem|ram~3681_q ),
+ .datab(!\datamem|ram~3649_q ),
+ .datac(!\datamem|ram~3665_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3697_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4166_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4166 .extended_lut = "off";
+defparam \datamem|ram~4166 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4166 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N26
+dffeas \datamem|ram~3921 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3921_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3921 .is_wysiwyg = "true";
+defparam \datamem|ram~3921 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y21_N22
+dffeas \datamem|ram~3905 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3905_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3905 .is_wysiwyg = "true";
+defparam \datamem|ram~3905 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N54
+cyclonev_lcell_comb \datamem|ram~3937feeder (
+// Equation(s):
+// \datamem|ram~3937feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3937feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3937feeder .extended_lut = "off";
+defparam \datamem|ram~3937feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3937feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N56
+dffeas \datamem|ram~3937 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3937feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3937_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3937 .is_wysiwyg = "true";
+defparam \datamem|ram~3937 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N32
+dffeas \datamem|ram~3953 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3953_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3953 .is_wysiwyg = "true";
+defparam \datamem|ram~3953 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N30
+cyclonev_lcell_comb \datamem|ram~4167 (
+// Equation(s):
+// \datamem|ram~4167_combout = ( \datamem|ram~3953_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3937_q ) ) ) ) # ( !\datamem|ram~3953_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3937_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3953_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3905_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3921_q )) ) ) ) # ( !\datamem|ram~3953_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3905_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3921_q )) ) ) )
+
+ .dataa(!\datamem|ram~3921_q ),
+ .datab(!\datamem|ram~3905_q ),
+ .datac(!\datamem|ram~3937_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3953_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4167_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4167 .extended_lut = "off";
+defparam \datamem|ram~4167 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4167 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N48
+cyclonev_lcell_comb \datamem|ram~4168 (
+// Equation(s):
+// \datamem|ram~4168_combout = ( \datamem|ram~4166_combout & ( \datamem|ram~4167_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4164_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4165_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4166_combout & ( \datamem|ram~4167_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~4164_combout ))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4165_combout )) # (\alu_unit|Mux9~4_combout )))
+// ) ) ) # ( \datamem|ram~4166_combout & ( !\datamem|ram~4167_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4164_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4165_combout )))) ) ) ) # ( !\datamem|ram~4166_combout & ( !\datamem|ram~4167_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4164_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4165_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4164_combout ),
+ .datad(!\datamem|ram~4165_combout ),
+ .datae(!\datamem|ram~4166_combout ),
+ .dataf(!\datamem|ram~4167_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4168_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4168 .extended_lut = "off";
+defparam \datamem|ram~4168 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~4168 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N1
+dffeas \datamem|ram~3889 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3889_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3889 .is_wysiwyg = "true";
+defparam \datamem|ram~3889 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N27
+cyclonev_lcell_comb \datamem|ram~3857feeder (
+// Equation(s):
+// \datamem|ram~3857feeder_combout = \reg_file|reg_read_data_2[1]~0_combout
+
+ .dataa(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3857feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3857feeder .extended_lut = "off";
+defparam \datamem|ram~3857feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3857feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N29
+dffeas \datamem|ram~3857 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3857feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3857_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3857 .is_wysiwyg = "true";
+defparam \datamem|ram~3857 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y21_N21
+cyclonev_lcell_comb \datamem|ram~3841feeder (
+// Equation(s):
+// \datamem|ram~3841feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3841feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3841feeder .extended_lut = "off";
+defparam \datamem|ram~3841feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3841feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N22
+dffeas \datamem|ram~3841 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3841feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3841_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3841 .is_wysiwyg = "true";
+defparam \datamem|ram~3841 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N46
+dffeas \datamem|ram~3873 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3873_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3873 .is_wysiwyg = "true";
+defparam \datamem|ram~3873 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N30
+cyclonev_lcell_comb \datamem|ram~4162 (
+// Equation(s):
+// \datamem|ram~4162_combout = ( \datamem|ram~3873_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3857_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3889_q )) ) ) ) # ( !\datamem|ram~3873_q & (
+// \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3857_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3889_q )) ) ) ) # ( \datamem|ram~3873_q & ( !\alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~3841_q ) ) ) ) # ( !\datamem|ram~3873_q & ( !\alu_unit|Mux14~6_combout & ( (\datamem|ram~3841_q & !\alu_unit|Mux13~4_combout ) ) ) )
+
+ .dataa(!\datamem|ram~3889_q ),
+ .datab(!\datamem|ram~3857_q ),
+ .datac(!\datamem|ram~3841_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3873_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4162_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4162 .extended_lut = "off";
+defparam \datamem|ram~4162 .lut_mask = 64'h0F000FFF33553355;
+defparam \datamem|ram~4162 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N24
+cyclonev_lcell_comb \datamem|ram~3601feeder (
+// Equation(s):
+// \datamem|ram~3601feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3601feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3601feeder .extended_lut = "off";
+defparam \datamem|ram~3601feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3601feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N26
+dffeas \datamem|ram~3601 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3601feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3601_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3601 .is_wysiwyg = "true";
+defparam \datamem|ram~3601 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y9_N48
+cyclonev_lcell_comb \datamem|ram~3633feeder (
+// Equation(s):
+// \datamem|ram~3633feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3633feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3633feeder .extended_lut = "off";
+defparam \datamem|ram~3633feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3633feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y9_N49
+dffeas \datamem|ram~3633 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3633feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3633_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3633 .is_wysiwyg = "true";
+defparam \datamem|ram~3633 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y7_N13
+dffeas \datamem|ram~3617 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3617_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3617 .is_wysiwyg = "true";
+defparam \datamem|ram~3617 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N2
+dffeas \datamem|ram~3585 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3585_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3585 .is_wysiwyg = "true";
+defparam \datamem|ram~3585 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N3
+cyclonev_lcell_comb \datamem|ram~4161 (
+// Equation(s):
+// \datamem|ram~4161_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3633_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3601_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~3617_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~3585_q ) ) )
+
+ .dataa(!\datamem|ram~3601_q ),
+ .datab(!\datamem|ram~3633_q ),
+ .datac(!\datamem|ram~3617_q ),
+ .datad(!\datamem|ram~3585_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4161_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4161 .extended_lut = "off";
+defparam \datamem|ram~4161 .lut_mask = 64'h00FF0F0F55553333;
+defparam \datamem|ram~4161 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N31
+dffeas \datamem|ram~3073 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3073_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3073 .is_wysiwyg = "true";
+defparam \datamem|ram~3073 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N28
+dffeas \datamem|ram~3105 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3105_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3105 .is_wysiwyg = "true";
+defparam \datamem|ram~3105 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N57
+cyclonev_lcell_comb \datamem|ram~3089feeder (
+// Equation(s):
+// \datamem|ram~3089feeder_combout = \reg_file|reg_read_data_2[1]~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3089feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3089feeder .extended_lut = "off";
+defparam \datamem|ram~3089feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3089feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N58
+dffeas \datamem|ram~3089 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3089feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3089_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3089 .is_wysiwyg = "true";
+defparam \datamem|ram~3089 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N2
+dffeas \datamem|ram~3121 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3121_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3121 .is_wysiwyg = "true";
+defparam \datamem|ram~3121 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N0
+cyclonev_lcell_comb \datamem|ram~4159 (
+// Equation(s):
+// \datamem|ram~4159_combout = ( \datamem|ram~3121_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3089_q ) ) ) ) # ( !\datamem|ram~3121_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3089_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3121_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3073_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3105_q ))) ) ) ) # ( !\datamem|ram~3121_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3073_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3105_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3073_q ),
+ .datab(!\datamem|ram~3105_q ),
+ .datac(!\datamem|ram~3089_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3121_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4159_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4159 .extended_lut = "off";
+defparam \datamem|ram~4159 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4159 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N50
+dffeas \datamem|ram~3361 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3361_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3361 .is_wysiwyg = "true";
+defparam \datamem|ram~3361 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N12
+cyclonev_lcell_comb \datamem|ram~3345feeder (
+// Equation(s):
+// \datamem|ram~3345feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3345feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3345feeder .extended_lut = "off";
+defparam \datamem|ram~3345feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3345feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N14
+dffeas \datamem|ram~3345 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3345feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3345_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3345 .is_wysiwyg = "true";
+defparam \datamem|ram~3345 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y5_N51
+cyclonev_lcell_comb \datamem|ram~3329feeder (
+// Equation(s):
+// \datamem|ram~3329feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3329feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3329feeder .extended_lut = "off";
+defparam \datamem|ram~3329feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3329feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N52
+dffeas \datamem|ram~3329 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3329feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3329_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3329 .is_wysiwyg = "true";
+defparam \datamem|ram~3329 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N44
+dffeas \datamem|ram~3377 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3377_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3377 .is_wysiwyg = "true";
+defparam \datamem|ram~3377 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N42
+cyclonev_lcell_comb \datamem|ram~4160 (
+// Equation(s):
+// \datamem|ram~4160_combout = ( \datamem|ram~3377_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3345_q ) ) ) ) # ( !\datamem|ram~3377_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3345_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3377_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3329_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3361_q )) ) ) ) # ( !\datamem|ram~3377_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3329_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3361_q )) ) ) )
+
+ .dataa(!\datamem|ram~3361_q ),
+ .datab(!\datamem|ram~3345_q ),
+ .datac(!\datamem|ram~3329_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3377_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4160_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4160 .extended_lut = "off";
+defparam \datamem|ram~4160 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4160 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N0
+cyclonev_lcell_comb \datamem|ram~4163 (
+// Equation(s):
+// \datamem|ram~4163_combout = ( \datamem|ram~4159_combout & ( \datamem|ram~4160_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4161_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4162_combout ))) ) )
+// ) # ( !\datamem|ram~4159_combout & ( \datamem|ram~4160_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4161_combout ))) #
+// (\alu_unit|Mux10~6_combout & (\datamem|ram~4162_combout )))) ) ) ) # ( \datamem|ram~4159_combout & ( !\datamem|ram~4160_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout &
+// ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4161_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4162_combout )))) ) ) ) # ( !\datamem|ram~4159_combout & ( !\datamem|ram~4160_combout & ( (\alu_unit|Mux9~4_combout &
+// ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4161_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4162_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4162_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4161_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4159_combout ),
+ .dataf(!\datamem|ram~4160_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4163_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4163 .extended_lut = "off";
+defparam \datamem|ram~4163 .lut_mask = 64'h0311CF1103DDCFDD;
+defparam \datamem|ram~4163 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y10_N53
+dffeas \datamem|ram~3265 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3265_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3265 .is_wysiwyg = "true";
+defparam \datamem|ram~3265 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y10_N47
+dffeas \datamem|ram~3297 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3297_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3297 .is_wysiwyg = "true";
+defparam \datamem|ram~3297 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y22_N48
+cyclonev_lcell_comb \datamem|ram~3281feeder (
+// Equation(s):
+// \datamem|ram~3281feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3281feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3281feeder .extended_lut = "off";
+defparam \datamem|ram~3281feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3281feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y22_N49
+dffeas \datamem|ram~3281 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3281feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3281_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3281 .is_wysiwyg = "true";
+defparam \datamem|ram~3281 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y10_N14
+dffeas \datamem|ram~3313 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3313_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3313 .is_wysiwyg = "true";
+defparam \datamem|ram~3313 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N12
+cyclonev_lcell_comb \datamem|ram~4174 (
+// Equation(s):
+// \datamem|ram~4174_combout = ( \datamem|ram~3313_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3281_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~3313_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~3281_q ) ) ) ) # ( \datamem|ram~3313_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3265_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3297_q ))) ) ) ) # ( !\datamem|ram~3313_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3265_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3297_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3265_q ),
+ .datab(!\datamem|ram~3297_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3281_q ),
+ .datae(!\datamem|ram~3313_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4174_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4174 .extended_lut = "off";
+defparam \datamem|ram~4174 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4174 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N14
+dffeas \datamem|ram~3809 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3809_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3809 .is_wysiwyg = "true";
+defparam \datamem|ram~3809 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N48
+cyclonev_lcell_comb \datamem|ram~3777feeder (
+// Equation(s):
+// \datamem|ram~3777feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3777feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3777feeder .extended_lut = "off";
+defparam \datamem|ram~3777feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3777feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N49
+dffeas \datamem|ram~3777 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3777feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3777_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3777 .is_wysiwyg = "true";
+defparam \datamem|ram~3777 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N6
+cyclonev_lcell_comb \datamem|ram~3793feeder (
+// Equation(s):
+// \datamem|ram~3793feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3793feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3793feeder .extended_lut = "off";
+defparam \datamem|ram~3793feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3793feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N8
+dffeas \datamem|ram~3793 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3793feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3793_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3793 .is_wysiwyg = "true";
+defparam \datamem|ram~3793 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N14
+dffeas \datamem|ram~3825 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3825_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3825 .is_wysiwyg = "true";
+defparam \datamem|ram~3825 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N12
+cyclonev_lcell_comb \datamem|ram~4176 (
+// Equation(s):
+// \datamem|ram~4176_combout = ( \datamem|ram~3825_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3793_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~3825_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~3793_q ) ) ) ) # ( \datamem|ram~3825_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3777_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3809_q )) ) ) ) # ( !\datamem|ram~3825_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3777_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3809_q )) ) ) )
+
+ .dataa(!\datamem|ram~3809_q ),
+ .datab(!\datamem|ram~3777_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3793_q ),
+ .datae(!\datamem|ram~3825_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4176_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4176 .extended_lut = "off";
+defparam \datamem|ram~4176 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4176 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y19_N18
+cyclonev_lcell_comb \datamem|ram~4033feeder (
+// Equation(s):
+// \datamem|ram~4033feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4033feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4033feeder .extended_lut = "off";
+defparam \datamem|ram~4033feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4033feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y19_N19
+dffeas \datamem|ram~4033 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4033feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4033_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4033 .is_wysiwyg = "true";
+defparam \datamem|ram~4033 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N13
+dffeas \datamem|ram~4065 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4065_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4065 .is_wysiwyg = "true";
+defparam \datamem|ram~4065 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N28
+dffeas \datamem|ram~4049 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4049_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4049 .is_wysiwyg = "true";
+defparam \datamem|ram~4049 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N26
+dffeas \datamem|ram~4081 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4081_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4081 .is_wysiwyg = "true";
+defparam \datamem|ram~4081 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4177 (
+// Equation(s):
+// \datamem|ram~4177_combout = ( \datamem|ram~4081_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~4049_q ) ) ) ) # ( !\datamem|ram~4081_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~4049_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~4081_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4033_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4065_q ))) ) ) ) # ( !\datamem|ram~4081_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4033_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4065_q ))) ) ) )
+
+ .dataa(!\datamem|ram~4033_q ),
+ .datab(!\datamem|ram~4065_q ),
+ .datac(!\datamem|ram~4049_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4081_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4177_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4177 .extended_lut = "off";
+defparam \datamem|ram~4177 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4177 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N17
+dffeas \datamem|ram~3521 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3521_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3521 .is_wysiwyg = "true";
+defparam \datamem|ram~3521 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N9
+cyclonev_lcell_comb \datamem|ram~3537feeder (
+// Equation(s):
+// \datamem|ram~3537feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3537feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3537feeder .extended_lut = "off";
+defparam \datamem|ram~3537feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3537feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N11
+dffeas \datamem|ram~3537 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3537feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3537_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3537 .is_wysiwyg = "true";
+defparam \datamem|ram~3537 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N34
+dffeas \datamem|ram~3553 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3553_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3553 .is_wysiwyg = "true";
+defparam \datamem|ram~3553 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N50
+dffeas \datamem|ram~3569 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3569_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3569 .is_wysiwyg = "true";
+defparam \datamem|ram~3569 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N48
+cyclonev_lcell_comb \datamem|ram~4175 (
+// Equation(s):
+// \datamem|ram~4175_combout = ( \datamem|ram~3569_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3553_q ) ) ) ) # ( !\datamem|ram~3569_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3553_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3569_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3521_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3537_q ))) ) ) ) # ( !\datamem|ram~3569_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3521_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3537_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3521_q ),
+ .datab(!\datamem|ram~3537_q ),
+ .datac(!\datamem|ram~3553_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3569_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4175_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4175 .extended_lut = "off";
+defparam \datamem|ram~4175 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4175 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N21
+cyclonev_lcell_comb \datamem|ram~4178 (
+// Equation(s):
+// \datamem|ram~4178_combout = ( \datamem|ram~4177_combout & ( \datamem|ram~4175_combout & ( ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4174_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4176_combout )))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~4177_combout & ( \datamem|ram~4175_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4174_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4176_combout ))))) # (\alu_unit|Mux10~6_combout &
+// (((!\alu_unit|Mux9~4_combout )))) ) ) ) # ( \datamem|ram~4177_combout & ( !\datamem|ram~4175_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4174_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4176_combout ))))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~4177_combout & ( !\datamem|ram~4175_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4174_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4176_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\datamem|ram~4174_combout ),
+ .datac(!\datamem|ram~4176_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4177_combout ),
+ .dataf(!\datamem|ram~4175_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4178_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4178 .extended_lut = "off";
+defparam \datamem|ram~4178 .lut_mask = 64'h220A225F770A775F;
+defparam \datamem|ram~4178 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N4
+dffeas \datamem|ram~3249 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3249_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3249 .is_wysiwyg = "true";
+defparam \datamem|ram~3249 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N40
+dffeas \datamem|ram~3505 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3505_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3505 .is_wysiwyg = "true";
+defparam \datamem|ram~3505 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N32
+dffeas \datamem|ram~4017 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4017_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4017 .is_wysiwyg = "true";
+defparam \datamem|ram~4017 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y18_N59
+dffeas \datamem|ram~3761 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3761_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3761 .is_wysiwyg = "true";
+defparam \datamem|ram~3761 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N45
+cyclonev_lcell_comb \datamem|ram~4172 (
+// Equation(s):
+// \datamem|ram~4172_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~4017_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~3761_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~3505_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~3249_q ) ) )
+
+ .dataa(!\datamem|ram~3249_q ),
+ .datab(!\datamem|ram~3505_q ),
+ .datac(!\datamem|ram~4017_q ),
+ .datad(!\datamem|ram~3761_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4172_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4172 .extended_lut = "off";
+defparam \datamem|ram~4172 .lut_mask = 64'h5555333300FF0F0F;
+defparam \datamem|ram~4172 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y19_N33
+cyclonev_lcell_comb \datamem|ram~3489feeder (
+// Equation(s):
+// \datamem|ram~3489feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3489feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3489feeder .extended_lut = "off";
+defparam \datamem|ram~3489feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3489feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N35
+dffeas \datamem|ram~3489 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3489feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3489_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3489 .is_wysiwyg = "true";
+defparam \datamem|ram~3489 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N42
+cyclonev_lcell_comb \datamem|ram~3233feeder (
+// Equation(s):
+// \datamem|ram~3233feeder_combout = \reg_file|reg_read_data_2[1]~0_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3233feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3233feeder .extended_lut = "off";
+defparam \datamem|ram~3233feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~3233feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N43
+dffeas \datamem|ram~3233 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3233feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3233_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3233 .is_wysiwyg = "true";
+defparam \datamem|ram~3233 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N4
+dffeas \datamem|ram~3745 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3745_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3745 .is_wysiwyg = "true";
+defparam \datamem|ram~3745 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N8
+dffeas \datamem|ram~4001 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4001_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4001 .is_wysiwyg = "true";
+defparam \datamem|ram~4001 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N6
+cyclonev_lcell_comb \datamem|ram~4171 (
+// Equation(s):
+// \datamem|ram~4171_combout = ( \datamem|ram~4001_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3489_q ) ) ) ) # ( !\datamem|ram~4001_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3489_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~4001_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3233_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3745_q ))) ) ) ) # ( !\datamem|ram~4001_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3233_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3745_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3489_q ),
+ .datab(!\datamem|ram~3233_q ),
+ .datac(!\datamem|ram~3745_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4001_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4171_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4171 .extended_lut = "off";
+defparam \datamem|ram~4171 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4171 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N23
+dffeas \datamem|ram~3985 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3985_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3985 .is_wysiwyg = "true";
+defparam \datamem|ram~3985 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N46
+dffeas \datamem|ram~3217 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3217_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3217 .is_wysiwyg = "true";
+defparam \datamem|ram~3217 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N40
+dffeas \datamem|ram~3473 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3473_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3473 .is_wysiwyg = "true";
+defparam \datamem|ram~3473 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N3
+cyclonev_lcell_comb \datamem|ram~3729feeder (
+// Equation(s):
+// \datamem|ram~3729feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3729feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3729feeder .extended_lut = "off";
+defparam \datamem|ram~3729feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3729feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N5
+dffeas \datamem|ram~3729 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3729feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3729_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3729 .is_wysiwyg = "true";
+defparam \datamem|ram~3729 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y18_N27
+cyclonev_lcell_comb \datamem|ram~4170 (
+// Equation(s):
+// \datamem|ram~4170_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~3985_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~3729_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~3473_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~3217_q ) ) )
+
+ .dataa(!\datamem|ram~3985_q ),
+ .datab(!\datamem|ram~3217_q ),
+ .datac(!\datamem|ram~3473_q ),
+ .datad(!\datamem|ram~3729_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4170_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4170 .extended_lut = "off";
+defparam \datamem|ram~4170 .lut_mask = 64'h33330F0F00FF5555;
+defparam \datamem|ram~4170 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N33
+cyclonev_lcell_comb \datamem|ram~3713feeder (
+// Equation(s):
+// \datamem|ram~3713feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3713feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3713feeder .extended_lut = "off";
+defparam \datamem|ram~3713feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3713feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N35
+dffeas \datamem|ram~3713 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3713feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3713_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3713 .is_wysiwyg = "true";
+defparam \datamem|ram~3713 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N59
+dffeas \datamem|ram~3457 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3457_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3457 .is_wysiwyg = "true";
+defparam \datamem|ram~3457 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N9
+cyclonev_lcell_comb \datamem|ram~3201feeder (
+// Equation(s):
+// \datamem|ram~3201feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3201feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3201feeder .extended_lut = "off";
+defparam \datamem|ram~3201feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3201feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N10
+dffeas \datamem|ram~3201 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3201feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3201_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3201 .is_wysiwyg = "true";
+defparam \datamem|ram~3201 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N50
+dffeas \datamem|ram~3969 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3969_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3969 .is_wysiwyg = "true";
+defparam \datamem|ram~3969 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N48
+cyclonev_lcell_comb \datamem|ram~4169 (
+// Equation(s):
+// \datamem|ram~4169_combout = ( \datamem|ram~3969_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3713_q ) ) ) ) # ( !\datamem|ram~3969_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3713_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3969_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3201_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3457_q )) ) ) ) # ( !\datamem|ram~3969_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3201_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3457_q )) ) ) )
+
+ .dataa(!\datamem|ram~3713_q ),
+ .datab(!\datamem|ram~3457_q ),
+ .datac(!\datamem|ram~3201_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3969_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4169_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4169 .extended_lut = "off";
+defparam \datamem|ram~4169 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4169 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N24
+cyclonev_lcell_comb \datamem|ram~4173 (
+// Equation(s):
+// \datamem|ram~4173_combout = ( \datamem|ram~4170_combout & ( \datamem|ram~4169_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4171_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4172_combout ))) ) )
+// ) # ( !\datamem|ram~4170_combout & ( \datamem|ram~4169_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) # (\datamem|ram~4171_combout )))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4172_combout & (\alu_unit|Mux13~4_combout
+// ))) ) ) ) # ( \datamem|ram~4170_combout & ( !\datamem|ram~4169_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout & \datamem|ram~4171_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~4172_combout ))) ) ) ) # ( !\datamem|ram~4170_combout & ( !\datamem|ram~4169_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4171_combout ))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4172_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4172_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4171_combout ),
+ .datae(!\datamem|ram~4170_combout ),
+ .dataf(!\datamem|ram~4169_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4173_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4173 .extended_lut = "off";
+defparam \datamem|ram~4173 .lut_mask = 64'h010B515BA1ABF1FB;
+defparam \datamem|ram~4173 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N21
+cyclonev_lcell_comb \datamem|ram~4179 (
+// Equation(s):
+// \datamem|ram~4179_combout = ( \datamem|ram~4178_combout & ( \datamem|ram~4173_combout & ( ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4163_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4168_combout ))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4178_combout & ( \datamem|ram~4173_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4163_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4168_combout
+// ))) ) ) ) # ( \datamem|ram~4178_combout & ( !\datamem|ram~4173_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4163_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4168_combout )) #
+// (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~4178_combout & ( !\datamem|ram~4173_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4163_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4168_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4168_combout ),
+ .datad(!\datamem|ram~4163_combout ),
+ .datae(!\datamem|ram~4178_combout ),
+ .dataf(!\datamem|ram~4173_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4179_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4179 .extended_lut = "off";
+defparam \datamem|ram~4179 .lut_mask = 64'h048C159D26AE37BF;
+defparam \datamem|ram~4179 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N34
+dffeas \datamem|ram~2225 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2225_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2225 .is_wysiwyg = "true";
+defparam \datamem|ram~2225 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N52
+dffeas \datamem|ram~2161 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2161_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2161 .is_wysiwyg = "true";
+defparam \datamem|ram~2161 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y10_N54
+cyclonev_lcell_comb \datamem|ram~2097feeder (
+// Equation(s):
+// \datamem|ram~2097feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2097feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2097feeder .extended_lut = "off";
+defparam \datamem|ram~2097feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2097feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y10_N55
+dffeas \datamem|ram~2097 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2097feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2097_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2097 .is_wysiwyg = "true";
+defparam \datamem|ram~2097 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y10_N26
+dffeas \datamem|ram~2289 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2289_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2289 .is_wysiwyg = "true";
+defparam \datamem|ram~2289 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4153 (
+// Equation(s):
+// \datamem|ram~4153_combout = ( \datamem|ram~2289_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2225_q ) ) ) ) # ( !\datamem|ram~2289_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2225_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2289_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2097_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2161_q )) ) ) ) # ( !\datamem|ram~2289_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2097_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2161_q )) ) ) )
+
+ .dataa(!\datamem|ram~2225_q ),
+ .datab(!\datamem|ram~2161_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2097_q ),
+ .datae(!\datamem|ram~2289_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4153_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4153 .extended_lut = "off";
+defparam \datamem|ram~4153 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4153 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y18_N21
+cyclonev_lcell_comb \datamem|ram~2353feeder (
+// Equation(s):
+// \datamem|ram~2353feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2353feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2353feeder .extended_lut = "off";
+defparam \datamem|ram~2353feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2353feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y18_N22
+dffeas \datamem|ram~2353 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2353feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2353_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2353 .is_wysiwyg = "true";
+defparam \datamem|ram~2353 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y22_N54
+cyclonev_lcell_comb \datamem|ram~2417feeder (
+// Equation(s):
+// \datamem|ram~2417feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2417feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2417feeder .extended_lut = "off";
+defparam \datamem|ram~2417feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2417feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N55
+dffeas \datamem|ram~2417 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2417feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2417_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2417 .is_wysiwyg = "true";
+defparam \datamem|ram~2417 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N58
+dffeas \datamem|ram~2481 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2481_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2481 .is_wysiwyg = "true";
+defparam \datamem|ram~2481 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y10_N14
+dffeas \datamem|ram~2545 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2545_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2545 .is_wysiwyg = "true";
+defparam \datamem|ram~2545 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y10_N12
+cyclonev_lcell_comb \datamem|ram~4154 (
+// Equation(s):
+// \datamem|ram~4154_combout = ( \datamem|ram~2545_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2481_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2545_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2481_q ) ) ) ) # ( \datamem|ram~2545_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2353_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2417_q ))) ) ) ) # ( !\datamem|ram~2545_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2353_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2417_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2353_q ),
+ .datab(!\datamem|ram~2417_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2481_q ),
+ .datae(!\datamem|ram~2545_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4154_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4154 .extended_lut = "off";
+defparam \datamem|ram~4154 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4154 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N22
+dffeas \datamem|ram~2737 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2737_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2737 .is_wysiwyg = "true";
+defparam \datamem|ram~2737 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N10
+dffeas \datamem|ram~2673 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2673_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2673 .is_wysiwyg = "true";
+defparam \datamem|ram~2673 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N59
+dffeas \datamem|ram~2609 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2609_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2609 .is_wysiwyg = "true";
+defparam \datamem|ram~2609 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y10_N56
+dffeas \datamem|ram~2801 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2801_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2801 .is_wysiwyg = "true";
+defparam \datamem|ram~2801 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y10_N54
+cyclonev_lcell_comb \datamem|ram~4155 (
+// Equation(s):
+// \datamem|ram~4155_combout = ( \datamem|ram~2801_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2737_q ) ) ) ) # ( !\datamem|ram~2801_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2737_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2801_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2609_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2673_q )) ) ) ) # ( !\datamem|ram~2801_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2609_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2673_q )) ) ) )
+
+ .dataa(!\datamem|ram~2737_q ),
+ .datab(!\datamem|ram~2673_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2609_q ),
+ .datae(!\datamem|ram~2801_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4155_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4155 .extended_lut = "off";
+defparam \datamem|ram~4155 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4155 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N28
+dffeas \datamem|ram~2993 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2993_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2993 .is_wysiwyg = "true";
+defparam \datamem|ram~2993 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N13
+dffeas \datamem|ram~2929 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2929_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2929 .is_wysiwyg = "true";
+defparam \datamem|ram~2929 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N52
+dffeas \datamem|ram~2865 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2865_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2865 .is_wysiwyg = "true";
+defparam \datamem|ram~2865 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N26
+dffeas \datamem|ram~3057 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3057_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3057 .is_wysiwyg = "true";
+defparam \datamem|ram~3057 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N24
+cyclonev_lcell_comb \datamem|ram~4156 (
+// Equation(s):
+// \datamem|ram~4156_combout = ( \datamem|ram~3057_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2993_q ) ) ) ) # ( !\datamem|ram~3057_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2993_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3057_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2865_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2929_q )) ) ) ) # ( !\datamem|ram~3057_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2865_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2929_q )) ) ) )
+
+ .dataa(!\datamem|ram~2993_q ),
+ .datab(!\datamem|ram~2929_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2865_q ),
+ .datae(!\datamem|ram~3057_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4156_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4156 .extended_lut = "off";
+defparam \datamem|ram~4156 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4156 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y10_N6
+cyclonev_lcell_comb \datamem|ram~4157 (
+// Equation(s):
+// \datamem|ram~4157_combout = ( \datamem|ram~4155_combout & ( \datamem|ram~4156_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4153_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4154_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4155_combout & ( \datamem|ram~4156_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~4153_combout ))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4154_combout )) # (\alu_unit|Mux9~4_combout )))
+// ) ) ) # ( \datamem|ram~4155_combout & ( !\datamem|ram~4156_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4153_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4154_combout )))) ) ) ) # ( !\datamem|ram~4155_combout & ( !\datamem|ram~4156_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4153_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4154_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4153_combout ),
+ .datad(!\datamem|ram~4154_combout ),
+ .datae(!\datamem|ram~4155_combout ),
+ .dataf(!\datamem|ram~4156_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4157_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4157 .extended_lut = "off";
+defparam \datamem|ram~4157 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~4157 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N4
+dffeas \datamem|ram~2065 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2065_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2065 .is_wysiwyg = "true";
+defparam \datamem|ram~2065 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y10_N12
+cyclonev_lcell_comb \datamem|ram~2577feeder (
+// Equation(s):
+// \datamem|ram~2577feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2577feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2577feeder .extended_lut = "off";
+defparam \datamem|ram~2577feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2577feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N13
+dffeas \datamem|ram~2577 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2577feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2577_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2577 .is_wysiwyg = "true";
+defparam \datamem|ram~2577 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y16_N24
+cyclonev_lcell_comb \datamem|ram~2321feeder (
+// Equation(s):
+// \datamem|ram~2321feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2321feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2321feeder .extended_lut = "off";
+defparam \datamem|ram~2321feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2321feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y16_N25
+dffeas \datamem|ram~2321 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2321feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2321_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2321 .is_wysiwyg = "true";
+defparam \datamem|ram~2321 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y12_N20
+dffeas \datamem|ram~2833 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2833_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2833 .is_wysiwyg = "true";
+defparam \datamem|ram~2833 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N18
+cyclonev_lcell_comb \datamem|ram~4143 (
+// Equation(s):
+// \datamem|ram~4143_combout = ( \datamem|ram~2833_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2577_q ) ) ) ) # ( !\datamem|ram~2833_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2577_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2833_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2065_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2321_q ))) ) ) ) # ( !\datamem|ram~2833_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2065_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2321_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2065_q ),
+ .datab(!\datamem|ram~2577_q ),
+ .datac(!\datamem|ram~2321_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2833_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4143_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4143 .extended_lut = "off";
+defparam \datamem|ram~4143 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4143 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N58
+dffeas \datamem|ram~3025 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3025_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3025 .is_wysiwyg = "true";
+defparam \datamem|ram~3025 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N40
+dffeas \datamem|ram~2769 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2769_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2769 .is_wysiwyg = "true";
+defparam \datamem|ram~2769 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N52
+dffeas \datamem|ram~2257 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2257_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2257 .is_wysiwyg = "true";
+defparam \datamem|ram~2257 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y18_N0
+cyclonev_lcell_comb \datamem|ram~2513feeder (
+// Equation(s):
+// \datamem|ram~2513feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2513feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2513feeder .extended_lut = "off";
+defparam \datamem|ram~2513feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2513feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y18_N1
+dffeas \datamem|ram~2513 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2513feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2513_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2513 .is_wysiwyg = "true";
+defparam \datamem|ram~2513 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N54
+cyclonev_lcell_comb \datamem|ram~4146 (
+// Equation(s):
+// \datamem|ram~4146_combout = ( \datamem|ram~2513_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~3025_q ) ) ) ) # ( !\datamem|ram~2513_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3025_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2513_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2257_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2769_q )) ) ) ) # ( !\datamem|ram~2513_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2257_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2769_q )) ) ) )
+
+ .dataa(!\datamem|ram~3025_q ),
+ .datab(!\datamem|ram~2769_q ),
+ .datac(!\datamem|ram~2257_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2513_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4146_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4146 .extended_lut = "off";
+defparam \datamem|ram~4146 .lut_mask = 64'h0F330F330055FF55;
+defparam \datamem|ram~4146 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N22
+dffeas \datamem|ram~2449 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2449_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2449 .is_wysiwyg = "true";
+defparam \datamem|ram~2449 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N40
+dffeas \datamem|ram~2193 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2193_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2193 .is_wysiwyg = "true";
+defparam \datamem|ram~2193 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N4
+dffeas \datamem|ram~2705 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2705_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2705 .is_wysiwyg = "true";
+defparam \datamem|ram~2705 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y12_N8
+dffeas \datamem|ram~2961 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2961_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2961 .is_wysiwyg = "true";
+defparam \datamem|ram~2961 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N6
+cyclonev_lcell_comb \datamem|ram~4145 (
+// Equation(s):
+// \datamem|ram~4145_combout = ( \datamem|ram~2961_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2449_q ) ) ) ) # ( !\datamem|ram~2961_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2449_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2961_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2193_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2705_q ))) ) ) ) # ( !\datamem|ram~2961_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2193_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2705_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2449_q ),
+ .datab(!\datamem|ram~2193_q ),
+ .datac(!\datamem|ram~2705_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2961_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4145_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4145 .extended_lut = "off";
+defparam \datamem|ram~4145 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4145 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N51
+cyclonev_lcell_comb \datamem|ram~2385feeder (
+// Equation(s):
+// \datamem|ram~2385feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2385feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2385feeder .extended_lut = "off";
+defparam \datamem|ram~2385feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2385feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N53
+dffeas \datamem|ram~2385 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2385feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2385_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2385 .is_wysiwyg = "true";
+defparam \datamem|ram~2385 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N58
+dffeas \datamem|ram~2641 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2641_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2641 .is_wysiwyg = "true";
+defparam \datamem|ram~2641 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N57
+cyclonev_lcell_comb \datamem|ram~2129feeder (
+// Equation(s):
+// \datamem|ram~2129feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2129feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2129feeder .extended_lut = "off";
+defparam \datamem|ram~2129feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2129feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N58
+dffeas \datamem|ram~2129 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2129feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2129_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2129 .is_wysiwyg = "true";
+defparam \datamem|ram~2129 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N8
+dffeas \datamem|ram~2897 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2897_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2897 .is_wysiwyg = "true";
+defparam \datamem|ram~2897 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N6
+cyclonev_lcell_comb \datamem|ram~4144 (
+// Equation(s):
+// \datamem|ram~4144_combout = ( \datamem|ram~2897_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2641_q ) ) ) ) # ( !\datamem|ram~2897_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2641_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2897_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2129_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2385_q )) ) ) ) # ( !\datamem|ram~2897_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2129_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2385_q )) ) ) )
+
+ .dataa(!\datamem|ram~2385_q ),
+ .datab(!\datamem|ram~2641_q ),
+ .datac(!\datamem|ram~2129_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2897_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4144_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4144 .extended_lut = "off";
+defparam \datamem|ram~4144 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4144 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N54
+cyclonev_lcell_comb \datamem|ram~4147 (
+// Equation(s):
+// \datamem|ram~4147_combout = ( \alu_unit|Mux12~2_combout & ( \datamem|ram~4144_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~4146_combout ) ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \datamem|ram~4144_combout & ( (!\alu_unit|Mux11~4_combout
+// & (\datamem|ram~4143_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4145_combout ))) ) ) ) # ( \alu_unit|Mux12~2_combout & ( !\datamem|ram~4144_combout & ( (\alu_unit|Mux11~4_combout & \datamem|ram~4146_combout ) ) ) ) # (
+// !\alu_unit|Mux12~2_combout & ( !\datamem|ram~4144_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~4143_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4145_combout ))) ) ) )
+
+ .dataa(!\datamem|ram~4143_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4146_combout ),
+ .datad(!\datamem|ram~4145_combout ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\datamem|ram~4144_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4147_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4147 .extended_lut = "off";
+defparam \datamem|ram~4147 .lut_mask = 64'h447703034477CFCF;
+defparam \datamem|ram~4147 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N19
+dffeas \datamem|ram~2241 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2241_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2241 .is_wysiwyg = "true";
+defparam \datamem|ram~2241 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y9_N12
+cyclonev_lcell_comb \datamem|ram~2753feeder (
+// Equation(s):
+// \datamem|ram~2753feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2753feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2753feeder .extended_lut = "off";
+defparam \datamem|ram~2753feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2753feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y9_N13
+dffeas \datamem|ram~2753 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2753feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2753_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2753 .is_wysiwyg = "true";
+defparam \datamem|ram~2753 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N7
+dffeas \datamem|ram~2497 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2497_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2497 .is_wysiwyg = "true";
+defparam \datamem|ram~2497 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y12_N8
+dffeas \datamem|ram~3009 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3009_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3009 .is_wysiwyg = "true";
+defparam \datamem|ram~3009 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N6
+cyclonev_lcell_comb \datamem|ram~4141 (
+// Equation(s):
+// \datamem|ram~4141_combout = ( \datamem|ram~3009_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2753_q ) ) ) ) # ( !\datamem|ram~3009_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2753_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3009_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2241_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2497_q ))) ) ) ) # ( !\datamem|ram~3009_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2241_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2497_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2241_q ),
+ .datab(!\datamem|ram~2753_q ),
+ .datac(!\datamem|ram~2497_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3009_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4141_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4141 .extended_lut = "off";
+defparam \datamem|ram~4141 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4141 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N40
+dffeas \datamem|ram~2625 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2625_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2625 .is_wysiwyg = "true";
+defparam \datamem|ram~2625 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N10
+dffeas \datamem|ram~2369 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2369_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2369 .is_wysiwyg = "true";
+defparam \datamem|ram~2369 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N17
+dffeas \datamem|ram~2113 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2113_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2113 .is_wysiwyg = "true";
+defparam \datamem|ram~2113 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y11_N5
+dffeas \datamem|ram~2881 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2881_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2881 .is_wysiwyg = "true";
+defparam \datamem|ram~2881 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N3
+cyclonev_lcell_comb \datamem|ram~4139 (
+// Equation(s):
+// \datamem|ram~4139_combout = ( \datamem|ram~2881_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2369_q ) ) ) ) # ( !\datamem|ram~2881_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2369_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2881_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2113_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2625_q )) ) ) ) # ( !\datamem|ram~2881_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2113_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2625_q )) ) ) )
+
+ .dataa(!\datamem|ram~2625_q ),
+ .datab(!\datamem|ram~2369_q ),
+ .datac(!\datamem|ram~2113_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2881_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4139_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4139 .extended_lut = "off";
+defparam \datamem|ram~4139 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4139 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N29
+dffeas \datamem|ram~2177 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2177_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2177 .is_wysiwyg = "true";
+defparam \datamem|ram~2177 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y9_N12
+cyclonev_lcell_comb \datamem|ram~2689feeder (
+// Equation(s):
+// \datamem|ram~2689feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2689feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2689feeder .extended_lut = "off";
+defparam \datamem|ram~2689feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2689feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y9_N13
+dffeas \datamem|ram~2689 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2689feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2689_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2689 .is_wysiwyg = "true";
+defparam \datamem|ram~2689 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N3
+cyclonev_lcell_comb \datamem|ram~2433feeder (
+// Equation(s):
+// \datamem|ram~2433feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2433feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2433feeder .extended_lut = "off";
+defparam \datamem|ram~2433feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2433feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N5
+dffeas \datamem|ram~2433 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2433feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2433_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2433 .is_wysiwyg = "true";
+defparam \datamem|ram~2433 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N14
+dffeas \datamem|ram~2945 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2945_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2945 .is_wysiwyg = "true";
+defparam \datamem|ram~2945 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N12
+cyclonev_lcell_comb \datamem|ram~4140 (
+// Equation(s):
+// \datamem|ram~4140_combout = ( \datamem|ram~2945_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2689_q ) ) ) ) # ( !\datamem|ram~2945_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2689_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2945_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2177_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2433_q ))) ) ) ) # ( !\datamem|ram~2945_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2177_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2433_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2177_q ),
+ .datab(!\datamem|ram~2689_q ),
+ .datac(!\datamem|ram~2433_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2945_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4140_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4140 .extended_lut = "off";
+defparam \datamem|ram~4140 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4140 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N41
+dffeas \datamem|ram~2305 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2305_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2305 .is_wysiwyg = "true";
+defparam \datamem|ram~2305 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N36
+cyclonev_lcell_comb \datamem|ram~2049feeder (
+// Equation(s):
+// \datamem|ram~2049feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2049feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2049feeder .extended_lut = "off";
+defparam \datamem|ram~2049feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2049feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y11_N37
+dffeas \datamem|ram~2049 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2049feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2049_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2049 .is_wysiwyg = "true";
+defparam \datamem|ram~2049 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N16
+dffeas \datamem|ram~2561 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2561_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2561 .is_wysiwyg = "true";
+defparam \datamem|ram~2561 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N50
+dffeas \datamem|ram~2817 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2817_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2817 .is_wysiwyg = "true";
+defparam \datamem|ram~2817 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N48
+cyclonev_lcell_comb \datamem|ram~4138 (
+// Equation(s):
+// \datamem|ram~4138_combout = ( \datamem|ram~2817_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2305_q ) ) ) ) # ( !\datamem|ram~2817_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2305_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2817_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2049_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2561_q ))) ) ) ) # ( !\datamem|ram~2817_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2049_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2561_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2305_q ),
+ .datab(!\datamem|ram~2049_q ),
+ .datac(!\datamem|ram~2561_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2817_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4138_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4138 .extended_lut = "off";
+defparam \datamem|ram~4138 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4138 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N0
+cyclonev_lcell_comb \datamem|ram~4142 (
+// Equation(s):
+// \datamem|ram~4142_combout = ( \datamem|ram~4140_combout & ( \datamem|ram~4138_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4139_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4141_combout ))) ) )
+// ) # ( !\datamem|ram~4140_combout & ( \datamem|ram~4138_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~4139_combout )))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4141_combout & (\alu_unit|Mux12~2_combout
+// ))) ) ) ) # ( \datamem|ram~4140_combout & ( !\datamem|ram~4138_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout & \datamem|ram~4139_combout )))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~4141_combout ))) ) ) ) # ( !\datamem|ram~4140_combout & ( !\datamem|ram~4138_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4139_combout ))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4141_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4141_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~4139_combout ),
+ .datae(!\datamem|ram~4140_combout ),
+ .dataf(!\datamem|ram~4138_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4142_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4142 .extended_lut = "off";
+defparam \datamem|ram~4142 .lut_mask = 64'h010D313DC1CDF1FD;
+defparam \datamem|ram~4142 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N30
+cyclonev_lcell_comb \datamem|ram~2465feeder (
+// Equation(s):
+// \datamem|ram~2465feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2465feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2465feeder .extended_lut = "off";
+defparam \datamem|ram~2465feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2465feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N31
+dffeas \datamem|ram~2465 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2465feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2465_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2465 .is_wysiwyg = "true";
+defparam \datamem|ram~2465 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N15
+cyclonev_lcell_comb \datamem|ram~2209feeder (
+// Equation(s):
+// \datamem|ram~2209feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2209feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2209feeder .extended_lut = "off";
+defparam \datamem|ram~2209feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2209feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N16
+dffeas \datamem|ram~2209 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2209feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2209_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2209 .is_wysiwyg = "true";
+defparam \datamem|ram~2209 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N3
+cyclonev_lcell_comb \datamem|ram~2721feeder (
+// Equation(s):
+// \datamem|ram~2721feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2721feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2721feeder .extended_lut = "off";
+defparam \datamem|ram~2721feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2721feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N4
+dffeas \datamem|ram~2721 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2721feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2721_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2721 .is_wysiwyg = "true";
+defparam \datamem|ram~2721 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y12_N50
+dffeas \datamem|ram~2977 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2977_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2977 .is_wysiwyg = "true";
+defparam \datamem|ram~2977 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N48
+cyclonev_lcell_comb \datamem|ram~4150 (
+// Equation(s):
+// \datamem|ram~4150_combout = ( \datamem|ram~2977_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2721_q ) ) ) ) # ( !\datamem|ram~2977_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2721_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2977_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2209_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2465_q )) ) ) ) # ( !\datamem|ram~2977_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2209_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2465_q )) ) ) )
+
+ .dataa(!\datamem|ram~2465_q ),
+ .datab(!\datamem|ram~2209_q ),
+ .datac(!\datamem|ram~2721_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2977_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4150_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4150 .extended_lut = "off";
+defparam \datamem|ram~4150 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4150 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N58
+dffeas \datamem|ram~2401 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2401_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2401 .is_wysiwyg = "true";
+defparam \datamem|ram~2401 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N28
+dffeas \datamem|ram~2913 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2913_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2913 .is_wysiwyg = "true";
+defparam \datamem|ram~2913 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y10_N24
+cyclonev_lcell_comb \datamem|ram~2145feeder (
+// Equation(s):
+// \datamem|ram~2145feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2145feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2145feeder .extended_lut = "off";
+defparam \datamem|ram~2145feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2145feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y10_N25
+dffeas \datamem|ram~2145 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2145feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2145_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2145 .is_wysiwyg = "true";
+defparam \datamem|ram~2145 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N50
+dffeas \datamem|ram~2657 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2657_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2657 .is_wysiwyg = "true";
+defparam \datamem|ram~2657 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N15
+cyclonev_lcell_comb \datamem|ram~4149 (
+// Equation(s):
+// \datamem|ram~4149_combout = ( \datamem|ram~2657_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2401_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2913_q ))) ) ) ) # ( !\datamem|ram~2657_q & (
+// \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2401_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2913_q ))) ) ) ) # ( \datamem|ram~2657_q & ( !\alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) #
+// (\datamem|ram~2145_q ) ) ) ) # ( !\datamem|ram~2657_q & ( !\alu_unit|Mux10~6_combout & ( (\datamem|ram~2145_q & !\alu_unit|Mux9~4_combout ) ) ) )
+
+ .dataa(!\datamem|ram~2401_q ),
+ .datab(!\datamem|ram~2913_q ),
+ .datac(!\datamem|ram~2145_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2657_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4149_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4149 .extended_lut = "off";
+defparam \datamem|ram~4149 .lut_mask = 64'h0F000FFF55335533;
+defparam \datamem|ram~4149 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N19
+dffeas \datamem|ram~2081 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2081_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2081 .is_wysiwyg = "true";
+defparam \datamem|ram~2081 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N47
+dffeas \datamem|ram~2337 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2337_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2337 .is_wysiwyg = "true";
+defparam \datamem|ram~2337 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N11
+dffeas \datamem|ram~2593 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2593_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2593 .is_wysiwyg = "true";
+defparam \datamem|ram~2593 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N50
+dffeas \datamem|ram~2849 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2849_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2849 .is_wysiwyg = "true";
+defparam \datamem|ram~2849 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N48
+cyclonev_lcell_comb \datamem|ram~4148 (
+// Equation(s):
+// \datamem|ram~4148_combout = ( \datamem|ram~2849_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2337_q ) ) ) ) # ( !\datamem|ram~2849_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2337_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2849_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2081_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2593_q ))) ) ) ) # ( !\datamem|ram~2849_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2081_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2593_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2081_q ),
+ .datab(!\datamem|ram~2337_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2593_q ),
+ .datae(!\datamem|ram~2849_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4148_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4148 .extended_lut = "off";
+defparam \datamem|ram~4148 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4148 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N9
+cyclonev_lcell_comb \datamem|ram~2273feeder (
+// Equation(s):
+// \datamem|ram~2273feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2273feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2273feeder .extended_lut = "off";
+defparam \datamem|ram~2273feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2273feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N10
+dffeas \datamem|ram~2273 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2273feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2273_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2273 .is_wysiwyg = "true";
+defparam \datamem|ram~2273 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N47
+dffeas \datamem|ram~2785 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2785_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2785 .is_wysiwyg = "true";
+defparam \datamem|ram~2785 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N57
+cyclonev_lcell_comb \datamem|ram~2529feeder (
+// Equation(s):
+// \datamem|ram~2529feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2529feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2529feeder .extended_lut = "off";
+defparam \datamem|ram~2529feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2529feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N58
+dffeas \datamem|ram~2529 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2529feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2529_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2529 .is_wysiwyg = "true";
+defparam \datamem|ram~2529 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N41
+dffeas \datamem|ram~3041 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3041_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3041 .is_wysiwyg = "true";
+defparam \datamem|ram~3041 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N39
+cyclonev_lcell_comb \datamem|ram~4151 (
+// Equation(s):
+// \datamem|ram~4151_combout = ( \datamem|ram~3041_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2785_q ) ) ) ) # ( !\datamem|ram~3041_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2785_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3041_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2273_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2529_q ))) ) ) ) # ( !\datamem|ram~3041_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2273_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2529_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2273_q ),
+ .datab(!\datamem|ram~2785_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2529_q ),
+ .datae(!\datamem|ram~3041_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4151_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4151 .extended_lut = "off";
+defparam \datamem|ram~4151 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4151 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N24
+cyclonev_lcell_comb \datamem|ram~4152 (
+// Equation(s):
+// \datamem|ram~4152_combout = ( \datamem|ram~4148_combout & ( \datamem|ram~4151_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4150_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4149_combout )) #
+// (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~4148_combout & ( \datamem|ram~4151_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4150_combout ))) # (\alu_unit|Mux12~2_combout &
+// (((\datamem|ram~4149_combout )) # (\alu_unit|Mux11~4_combout ))) ) ) ) # ( \datamem|ram~4148_combout & ( !\datamem|ram~4151_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4150_combout )))) #
+// (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4149_combout )))) ) ) ) # ( !\datamem|ram~4148_combout & ( !\datamem|ram~4151_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4150_combout
+// ))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4149_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4150_combout ),
+ .datad(!\datamem|ram~4149_combout ),
+ .datae(!\datamem|ram~4148_combout ),
+ .dataf(!\datamem|ram~4151_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4152_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4152 .extended_lut = "off";
+defparam \datamem|ram~4152 .lut_mask = 64'h02468ACE13579BDF;
+defparam \datamem|ram~4152 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N42
+cyclonev_lcell_comb \datamem|ram~4158 (
+// Equation(s):
+// \datamem|ram~4158_combout = ( \datamem|ram~4142_combout & ( \datamem|ram~4152_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4147_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4157_combout ))) ) )
+// ) # ( !\datamem|ram~4142_combout & ( \datamem|ram~4152_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~4147_combout & \alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) #
+// (\datamem|ram~4157_combout ))) ) ) ) # ( \datamem|ram~4142_combout & ( !\datamem|ram~4152_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4147_combout )))) # (\alu_unit|Mux13~4_combout &
+// (\datamem|ram~4157_combout & ((\alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~4142_combout & ( !\datamem|ram~4152_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4147_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4157_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4157_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4147_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4142_combout ),
+ .dataf(!\datamem|ram~4152_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4158_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4158 .extended_lut = "off";
+defparam \datamem|ram~4158 .lut_mask = 64'h001DCC1D331DFF1D;
+defparam \datamem|ram~4158 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N3
+cyclonev_lcell_comb \datamem|ram~1425feeder (
+// Equation(s):
+// \datamem|ram~1425feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1425feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1425feeder .extended_lut = "off";
+defparam \datamem|ram~1425feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1425feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N5
+dffeas \datamem|ram~1425 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1425feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1425_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1425 .is_wysiwyg = "true";
+defparam \datamem|ram~1425 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N45
+cyclonev_lcell_comb \datamem|ram~1489feeder (
+// Equation(s):
+// \datamem|ram~1489feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1489feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1489feeder .extended_lut = "off";
+defparam \datamem|ram~1489feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1489feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N47
+dffeas \datamem|ram~1489 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1489feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1489_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1489 .is_wysiwyg = "true";
+defparam \datamem|ram~1489 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y7_N16
+dffeas \datamem|ram~1297 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1297_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1297 .is_wysiwyg = "true";
+defparam \datamem|ram~1297 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N8
+dffeas \datamem|ram~1361 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1361_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1361 .is_wysiwyg = "true";
+defparam \datamem|ram~1361 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N6
+cyclonev_lcell_comb \datamem|ram~4123 (
+// Equation(s):
+// \datamem|ram~4123_combout = ( \datamem|ram~1361_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1425_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1489_q ))) ) ) ) # ( !\datamem|ram~1361_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1425_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1489_q ))) ) ) ) # ( \datamem|ram~1361_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1297_q ) ) ) ) # ( !\datamem|ram~1361_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1297_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1425_q ),
+ .datab(!\datamem|ram~1489_q ),
+ .datac(!\datamem|ram~1297_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1361_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4123_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4123 .extended_lut = "off";
+defparam \datamem|ram~4123 .lut_mask = 64'h0F000FFF55335533;
+defparam \datamem|ram~4123 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y22_N24
+cyclonev_lcell_comb \datamem|ram~1313feeder (
+// Equation(s):
+// \datamem|ram~1313feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1313feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1313feeder .extended_lut = "off";
+defparam \datamem|ram~1313feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1313feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y22_N26
+dffeas \datamem|ram~1313 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1313feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1313_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1313 .is_wysiwyg = "true";
+defparam \datamem|ram~1313 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y7_N54
+cyclonev_lcell_comb \datamem|ram~1441feeder (
+// Equation(s):
+// \datamem|ram~1441feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1441feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1441feeder .extended_lut = "off";
+defparam \datamem|ram~1441feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1441feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N55
+dffeas \datamem|ram~1441 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1441feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1441_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1441 .is_wysiwyg = "true";
+defparam \datamem|ram~1441 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N4
+dffeas \datamem|ram~1377 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1377_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1377 .is_wysiwyg = "true";
+defparam \datamem|ram~1377 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N8
+dffeas \datamem|ram~1505 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1505_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1505 .is_wysiwyg = "true";
+defparam \datamem|ram~1505 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y8_N6
+cyclonev_lcell_comb \datamem|ram~4124 (
+// Equation(s):
+// \datamem|ram~4124_combout = ( \datamem|ram~1505_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1441_q ) ) ) ) # ( !\datamem|ram~1505_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1441_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1505_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1313_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1377_q ))) ) ) ) # ( !\datamem|ram~1505_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1313_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1377_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1313_q ),
+ .datab(!\datamem|ram~1441_q ),
+ .datac(!\datamem|ram~1377_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1505_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4124_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4124 .extended_lut = "off";
+defparam \datamem|ram~4124 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4124 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N40
+dffeas \datamem|ram~1473 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1473_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1473 .is_wysiwyg = "true";
+defparam \datamem|ram~1473 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N10
+dffeas \datamem|ram~1281 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1281_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1281 .is_wysiwyg = "true";
+defparam \datamem|ram~1281 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N29
+dffeas \datamem|ram~1409 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1409_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1409 .is_wysiwyg = "true";
+defparam \datamem|ram~1409 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N38
+dffeas \datamem|ram~1345 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1345_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1345 .is_wysiwyg = "true";
+defparam \datamem|ram~1345 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y6_N36
+cyclonev_lcell_comb \datamem|ram~4122 (
+// Equation(s):
+// \datamem|ram~4122_combout = ( \datamem|ram~1345_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1409_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1473_q )) ) ) ) # ( !\datamem|ram~1345_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1409_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1473_q )) ) ) ) # ( \datamem|ram~1345_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1281_q ) ) ) ) # ( !\datamem|ram~1345_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1281_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1473_q ),
+ .datab(!\datamem|ram~1281_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1409_q ),
+ .datae(!\datamem|ram~1345_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4122_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4122 .extended_lut = "off";
+defparam \datamem|ram~4122 .lut_mask = 64'h30303F3F05F505F5;
+defparam \datamem|ram~4122 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N30
+cyclonev_lcell_comb \datamem|ram~1329feeder (
+// Equation(s):
+// \datamem|ram~1329feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1329feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1329feeder .extended_lut = "off";
+defparam \datamem|ram~1329feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1329feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N31
+dffeas \datamem|ram~1329 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1329feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1329_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1329 .is_wysiwyg = "true";
+defparam \datamem|ram~1329 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N9
+cyclonev_lcell_comb \datamem|ram~1393feeder (
+// Equation(s):
+// \datamem|ram~1393feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1393feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1393feeder .extended_lut = "off";
+defparam \datamem|ram~1393feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1393feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N10
+dffeas \datamem|ram~1393 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1393feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1393_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1393 .is_wysiwyg = "true";
+defparam \datamem|ram~1393 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N42
+cyclonev_lcell_comb \datamem|ram~1521feeder (
+// Equation(s):
+// \datamem|ram~1521feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1521feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1521feeder .extended_lut = "off";
+defparam \datamem|ram~1521feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1521feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N43
+dffeas \datamem|ram~1521 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1521feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1521_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1521 .is_wysiwyg = "true";
+defparam \datamem|ram~1521 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N13
+dffeas \datamem|ram~1457 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1457_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1457 .is_wysiwyg = "true";
+defparam \datamem|ram~1457 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y6_N21
+cyclonev_lcell_comb \datamem|ram~4125 (
+// Equation(s):
+// \datamem|ram~4125_combout = ( \alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~1521_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~1393_q ) ) ) # ( \alu_unit|Mux11~4_combout & (
+// !\alu_unit|Mux12~2_combout & ( \datamem|ram~1457_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( !\alu_unit|Mux12~2_combout & ( \datamem|ram~1329_q ) ) )
+
+ .dataa(!\datamem|ram~1329_q ),
+ .datab(!\datamem|ram~1393_q ),
+ .datac(!\datamem|ram~1521_q ),
+ .datad(!\datamem|ram~1457_q ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4125_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4125 .extended_lut = "off";
+defparam \datamem|ram~4125 .lut_mask = 64'h555500FF33330F0F;
+defparam \datamem|ram~4125 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y8_N18
+cyclonev_lcell_comb \datamem|ram~4126 (
+// Equation(s):
+// \datamem|ram~4126_combout = ( \datamem|ram~4122_combout & ( \datamem|ram~4125_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4123_combout ))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~4124_combout ) #
+// (\alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~4122_combout & ( \datamem|ram~4125_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4123_combout & (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout &
+// (((\datamem|ram~4124_combout ) # (\alu_unit|Mux14~6_combout )))) ) ) ) # ( \datamem|ram~4122_combout & ( !\datamem|ram~4125_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4123_combout ))) #
+// (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~4124_combout )))) ) ) ) # ( !\datamem|ram~4122_combout & ( !\datamem|ram~4125_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4123_combout & (\alu_unit|Mux14~6_combout
+// ))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~4124_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4123_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4124_combout ),
+ .datae(!\datamem|ram~4122_combout ),
+ .dataf(!\datamem|ram~4125_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4126_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4126 .extended_lut = "off";
+defparam \datamem|ram~4126 .lut_mask = 64'h0434C4F40737C7F7;
+defparam \datamem|ram~4126 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N2
+dffeas \datamem|ram~1233 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1233_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1233 .is_wysiwyg = "true";
+defparam \datamem|ram~1233 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y10_N40
+dffeas \datamem|ram~1041 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1041_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1041 .is_wysiwyg = "true";
+defparam \datamem|ram~1041 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y10_N43
+dffeas \datamem|ram~1105 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1105_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1105 .is_wysiwyg = "true";
+defparam \datamem|ram~1105 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y10_N16
+dffeas \datamem|ram~1169 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1169_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1169 .is_wysiwyg = "true";
+defparam \datamem|ram~1169 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N51
+cyclonev_lcell_comb \datamem|ram~4118 (
+// Equation(s):
+// \datamem|ram~4118_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1233_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1169_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1105_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1041_q ) ) )
+
+ .dataa(!\datamem|ram~1233_q ),
+ .datab(!\datamem|ram~1041_q ),
+ .datac(!\datamem|ram~1105_q ),
+ .datad(!\datamem|ram~1169_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4118_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4118 .extended_lut = "off";
+defparam \datamem|ram~4118 .lut_mask = 64'h33330F0F00FF5555;
+defparam \datamem|ram~4118 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N4
+dffeas \datamem|ram~1137 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1137_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1137 .is_wysiwyg = "true";
+defparam \datamem|ram~1137 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N10
+dffeas \datamem|ram~1201 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1201_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1201 .is_wysiwyg = "true";
+defparam \datamem|ram~1201 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N52
+dffeas \datamem|ram~1073 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1073_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1073 .is_wysiwyg = "true";
+defparam \datamem|ram~1073 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N13
+dffeas \datamem|ram~1265 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1265_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1265 .is_wysiwyg = "true";
+defparam \datamem|ram~1265 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4120 (
+// Equation(s):
+// \datamem|ram~4120_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1265_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1201_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1137_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1073_q ) ) )
+
+ .dataa(!\datamem|ram~1137_q ),
+ .datab(!\datamem|ram~1201_q ),
+ .datac(!\datamem|ram~1073_q ),
+ .datad(!\datamem|ram~1265_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4120_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4120 .extended_lut = "off";
+defparam \datamem|ram~4120 .lut_mask = 64'h0F0F5555333300FF;
+defparam \datamem|ram~4120 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N15
+cyclonev_lcell_comb \datamem|ram~1217feeder (
+// Equation(s):
+// \datamem|ram~1217feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1217feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1217feeder .extended_lut = "off";
+defparam \datamem|ram~1217feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1217feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N17
+dffeas \datamem|ram~1217 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1217feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1217_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1217 .is_wysiwyg = "true";
+defparam \datamem|ram~1217 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N43
+dffeas \datamem|ram~1089 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1089_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1089 .is_wysiwyg = "true";
+defparam \datamem|ram~1089 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N52
+dffeas \datamem|ram~1025 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1025_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1025 .is_wysiwyg = "true";
+defparam \datamem|ram~1025 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y17_N51
+cyclonev_lcell_comb \datamem|ram~1153feeder (
+// Equation(s):
+// \datamem|ram~1153feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1153feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1153feeder .extended_lut = "off";
+defparam \datamem|ram~1153feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1153feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N52
+dffeas \datamem|ram~1153 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1153feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1153_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1153 .is_wysiwyg = "true";
+defparam \datamem|ram~1153 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y10_N27
+cyclonev_lcell_comb \datamem|ram~4117 (
+// Equation(s):
+// \datamem|ram~4117_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1217_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1153_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1089_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1025_q ) ) )
+
+ .dataa(!\datamem|ram~1217_q ),
+ .datab(!\datamem|ram~1089_q ),
+ .datac(!\datamem|ram~1025_q ),
+ .datad(!\datamem|ram~1153_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4117_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4117 .extended_lut = "off";
+defparam \datamem|ram~4117 .lut_mask = 64'h0F0F333300FF5555;
+defparam \datamem|ram~4117 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N49
+dffeas \datamem|ram~1185 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1185_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1185 .is_wysiwyg = "true";
+defparam \datamem|ram~1185 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N30
+cyclonev_lcell_comb \datamem|ram~1121feeder (
+// Equation(s):
+// \datamem|ram~1121feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1121feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1121feeder .extended_lut = "off";
+defparam \datamem|ram~1121feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1121feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N32
+dffeas \datamem|ram~1121 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1121feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1121_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1121 .is_wysiwyg = "true";
+defparam \datamem|ram~1121 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N27
+cyclonev_lcell_comb \datamem|ram~1057feeder (
+// Equation(s):
+// \datamem|ram~1057feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1057feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1057feeder .extended_lut = "off";
+defparam \datamem|ram~1057feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1057feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N29
+dffeas \datamem|ram~1057 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1057feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1057_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1057 .is_wysiwyg = "true";
+defparam \datamem|ram~1057 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N14
+dffeas \datamem|ram~1249 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1249_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1249 .is_wysiwyg = "true";
+defparam \datamem|ram~1249 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N12
+cyclonev_lcell_comb \datamem|ram~4119 (
+// Equation(s):
+// \datamem|ram~4119_combout = ( \datamem|ram~1249_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1121_q ) ) ) ) # ( !\datamem|ram~1249_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1121_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1249_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1057_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1185_q )) ) ) ) # ( !\datamem|ram~1249_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1057_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1185_q )) ) ) )
+
+ .dataa(!\datamem|ram~1185_q ),
+ .datab(!\datamem|ram~1121_q ),
+ .datac(!\datamem|ram~1057_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1249_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4119_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4119 .extended_lut = "off";
+defparam \datamem|ram~4119 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4119 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N18
+cyclonev_lcell_comb \datamem|ram~4121 (
+// Equation(s):
+// \datamem|ram~4121_combout = ( \datamem|ram~4117_combout & ( \datamem|ram~4119_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4118_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4120_combout )))) ) )
+// ) # ( !\datamem|ram~4117_combout & ( \datamem|ram~4119_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4118_combout & ((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4120_combout
+// )))) ) ) ) # ( \datamem|ram~4117_combout & ( !\datamem|ram~4119_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4118_combout ))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~4120_combout &
+// \alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~4117_combout & ( !\datamem|ram~4119_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4118_combout )) # (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4120_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4118_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4120_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4117_combout ),
+ .dataf(!\datamem|ram~4119_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4121_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4121 .extended_lut = "off";
+defparam \datamem|ram~4121 .lut_mask = 64'h0047CC473347FF47;
+defparam \datamem|ram~4121 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N22
+dffeas \datamem|ram~1873 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1873_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1873 .is_wysiwyg = "true";
+defparam \datamem|ram~1873 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y11_N52
+dffeas \datamem|ram~1905 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1905_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1905 .is_wysiwyg = "true";
+defparam \datamem|ram~1905 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N16
+dffeas \datamem|ram~1857 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1857_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1857 .is_wysiwyg = "true";
+defparam \datamem|ram~1857 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N4
+dffeas \datamem|ram~1889 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1889_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1889 .is_wysiwyg = "true";
+defparam \datamem|ram~1889 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y10_N27
+cyclonev_lcell_comb \datamem|ram~4133 (
+// Equation(s):
+// \datamem|ram~4133_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~1905_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~1889_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~1873_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~1857_q ) ) )
+
+ .dataa(!\datamem|ram~1873_q ),
+ .datab(!\datamem|ram~1905_q ),
+ .datac(!\datamem|ram~1857_q ),
+ .datad(!\datamem|ram~1889_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4133_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4133 .extended_lut = "off";
+defparam \datamem|ram~4133 .lut_mask = 64'h0F0F555500FF3333;
+defparam \datamem|ram~4133 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y10_N13
+dffeas \datamem|ram~1841 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1841_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1841 .is_wysiwyg = "true";
+defparam \datamem|ram~1841 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N46
+dffeas \datamem|ram~1793 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1793_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1793 .is_wysiwyg = "true";
+defparam \datamem|ram~1793 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N58
+dffeas \datamem|ram~1809 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1809_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1809 .is_wysiwyg = "true";
+defparam \datamem|ram~1809 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N16
+dffeas \datamem|ram~1825 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1825_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1825 .is_wysiwyg = "true";
+defparam \datamem|ram~1825 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N39
+cyclonev_lcell_comb \datamem|ram~4132 (
+// Equation(s):
+// \datamem|ram~4132_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1841_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1809_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~1825_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~1793_q ) ) )
+
+ .dataa(!\datamem|ram~1841_q ),
+ .datab(!\datamem|ram~1793_q ),
+ .datac(!\datamem|ram~1809_q ),
+ .datad(!\datamem|ram~1825_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4132_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4132 .extended_lut = "off";
+defparam \datamem|ram~4132 .lut_mask = 64'h333300FF0F0F5555;
+defparam \datamem|ram~4132 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y11_N18
+cyclonev_lcell_comb \datamem|ram~2033feeder (
+// Equation(s):
+// \datamem|ram~2033feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2033feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2033feeder .extended_lut = "off";
+defparam \datamem|ram~2033feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2033feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y11_N19
+dffeas \datamem|ram~2033 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2033feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2033_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2033 .is_wysiwyg = "true";
+defparam \datamem|ram~2033 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y19_N27
+cyclonev_lcell_comb \datamem|ram~1985feeder (
+// Equation(s):
+// \datamem|ram~1985feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1985feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1985feeder .extended_lut = "off";
+defparam \datamem|ram~1985feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1985feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y19_N28
+dffeas \datamem|ram~1985 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1985feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1985_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1985 .is_wysiwyg = "true";
+defparam \datamem|ram~1985 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N22
+dffeas \datamem|ram~2001 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2001_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2001 .is_wysiwyg = "true";
+defparam \datamem|ram~2001 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N1
+dffeas \datamem|ram~2017 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2017_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2017 .is_wysiwyg = "true";
+defparam \datamem|ram~2017 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N45
+cyclonev_lcell_comb \datamem|ram~4135 (
+// Equation(s):
+// \datamem|ram~4135_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2033_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2001_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2017_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~1985_q ) ) )
+
+ .dataa(!\datamem|ram~2033_q ),
+ .datab(!\datamem|ram~1985_q ),
+ .datac(!\datamem|ram~2001_q ),
+ .datad(!\datamem|ram~2017_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4135_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4135 .extended_lut = "off";
+defparam \datamem|ram~4135 .lut_mask = 64'h333300FF0F0F5555;
+defparam \datamem|ram~4135 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y14_N27
+cyclonev_lcell_comb \datamem|ram~1953feeder (
+// Equation(s):
+// \datamem|ram~1953feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1953feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1953feeder .extended_lut = "off";
+defparam \datamem|ram~1953feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1953feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y14_N28
+dffeas \datamem|ram~1953 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1953feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1953_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1953 .is_wysiwyg = "true";
+defparam \datamem|ram~1953 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N19
+dffeas \datamem|ram~1921 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1921_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1921 .is_wysiwyg = "true";
+defparam \datamem|ram~1921 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y14_N49
+dffeas \datamem|ram~1937 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1937_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1937 .is_wysiwyg = "true";
+defparam \datamem|ram~1937 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y9_N56
+dffeas \datamem|ram~1969 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1969_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1969 .is_wysiwyg = "true";
+defparam \datamem|ram~1969 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y9_N54
+cyclonev_lcell_comb \datamem|ram~4134 (
+// Equation(s):
+// \datamem|ram~4134_combout = ( \datamem|ram~1969_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1953_q ) ) ) ) # ( !\datamem|ram~1969_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1953_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1969_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1921_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1937_q ))) ) ) ) # ( !\datamem|ram~1969_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1921_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1937_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1953_q ),
+ .datab(!\datamem|ram~1921_q ),
+ .datac(!\datamem|ram~1937_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1969_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4134_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4134 .extended_lut = "off";
+defparam \datamem|ram~4134 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4134 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N9
+cyclonev_lcell_comb \datamem|ram~4136 (
+// Equation(s):
+// \datamem|ram~4136_combout = ( \datamem|ram~4135_combout & ( \datamem|ram~4134_combout & ( ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4132_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4133_combout ))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4135_combout & ( \datamem|ram~4134_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) # (\datamem|ram~4132_combout )))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4133_combout & ((!\alu_unit|Mux11~4_combout
+// )))) ) ) ) # ( \datamem|ram~4135_combout & ( !\datamem|ram~4134_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4132_combout & !\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )) #
+// (\datamem|ram~4133_combout ))) ) ) ) # ( !\datamem|ram~4135_combout & ( !\datamem|ram~4134_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4132_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4133_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4133_combout ),
+ .datab(!\datamem|ram~4132_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4135_combout ),
+ .dataf(!\datamem|ram~4134_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4136_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4136 .extended_lut = "off";
+defparam \datamem|ram~4136 .lut_mask = 64'h3500350F35F035FF;
+defparam \datamem|ram~4136 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X46_Y9_N40
+dffeas \datamem|ram~1537 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1537_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1537 .is_wysiwyg = "true";
+defparam \datamem|ram~1537 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y17_N45
+cyclonev_lcell_comb \datamem|ram~1665feeder (
+// Equation(s):
+// \datamem|ram~1665feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1665feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1665feeder .extended_lut = "off";
+defparam \datamem|ram~1665feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1665feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N46
+dffeas \datamem|ram~1665 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1665feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1665_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1665 .is_wysiwyg = "true";
+defparam \datamem|ram~1665 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N59
+dffeas \datamem|ram~1601 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1601_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1601 .is_wysiwyg = "true";
+defparam \datamem|ram~1601 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N50
+dffeas \datamem|ram~1729 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1729_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1729 .is_wysiwyg = "true";
+defparam \datamem|ram~1729 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N48
+cyclonev_lcell_comb \datamem|ram~4127 (
+// Equation(s):
+// \datamem|ram~4127_combout = ( \datamem|ram~1729_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1665_q ) ) ) ) # ( !\datamem|ram~1729_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1665_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1729_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1537_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1601_q ))) ) ) ) # ( !\datamem|ram~1729_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1537_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1601_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1537_q ),
+ .datab(!\datamem|ram~1665_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1601_q ),
+ .datae(!\datamem|ram~1729_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4127_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4127 .extended_lut = "off";
+defparam \datamem|ram~4127 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4127 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N48
+cyclonev_lcell_comb \datamem|ram~1569feeder (
+// Equation(s):
+// \datamem|ram~1569feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1569feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1569feeder .extended_lut = "off";
+defparam \datamem|ram~1569feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1569feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N50
+dffeas \datamem|ram~1569 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1569feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1569_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1569 .is_wysiwyg = "true";
+defparam \datamem|ram~1569 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y23_N52
+dffeas \datamem|ram~1633 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1633_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1633 .is_wysiwyg = "true";
+defparam \datamem|ram~1633 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y22_N48
+cyclonev_lcell_comb \datamem|ram~1697feeder (
+// Equation(s):
+// \datamem|ram~1697feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1697feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1697feeder .extended_lut = "off";
+defparam \datamem|ram~1697feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1697feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y22_N49
+dffeas \datamem|ram~1697 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1697feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1697_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1697 .is_wysiwyg = "true";
+defparam \datamem|ram~1697 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N26
+dffeas \datamem|ram~1761 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1761_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1761 .is_wysiwyg = "true";
+defparam \datamem|ram~1761 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N24
+cyclonev_lcell_comb \datamem|ram~4129 (
+// Equation(s):
+// \datamem|ram~4129_combout = ( \datamem|ram~1761_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1633_q ) ) ) ) # ( !\datamem|ram~1761_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1633_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1761_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1569_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1697_q ))) ) ) ) # ( !\datamem|ram~1761_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1569_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1697_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1569_q ),
+ .datab(!\datamem|ram~1633_q ),
+ .datac(!\datamem|ram~1697_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1761_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4129_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4129 .extended_lut = "off";
+defparam \datamem|ram~4129 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4129 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N7
+dffeas \datamem|ram~1681 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1681_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1681 .is_wysiwyg = "true";
+defparam \datamem|ram~1681 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y11_N31
+dffeas \datamem|ram~1553 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1553_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1553 .is_wysiwyg = "true";
+defparam \datamem|ram~1553 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N48
+cyclonev_lcell_comb \datamem|ram~1617feeder (
+// Equation(s):
+// \datamem|ram~1617feeder_combout = \reg_file|reg_read_data_2[1]~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1617feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1617feeder .extended_lut = "off";
+defparam \datamem|ram~1617feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1617feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N50
+dffeas \datamem|ram~1617 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1617feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1617_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1617 .is_wysiwyg = "true";
+defparam \datamem|ram~1617 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N2
+dffeas \datamem|ram~1745 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1745_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1745 .is_wysiwyg = "true";
+defparam \datamem|ram~1745 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N0
+cyclonev_lcell_comb \datamem|ram~4128 (
+// Equation(s):
+// \datamem|ram~4128_combout = ( \datamem|ram~1745_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1681_q ) ) ) ) # ( !\datamem|ram~1745_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1681_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1745_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1553_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1617_q ))) ) ) ) # ( !\datamem|ram~1745_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1553_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1617_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1681_q ),
+ .datab(!\datamem|ram~1553_q ),
+ .datac(!\datamem|ram~1617_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1745_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4128_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4128 .extended_lut = "off";
+defparam \datamem|ram~4128 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4128 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N39
+cyclonev_lcell_comb \datamem|ram~1585feeder (
+// Equation(s):
+// \datamem|ram~1585feeder_combout = \reg_file|reg_read_data_2[1]~0_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1585feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1585feeder .extended_lut = "off";
+defparam \datamem|ram~1585feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1585feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N41
+dffeas \datamem|ram~1585 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1585feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1585_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1585 .is_wysiwyg = "true";
+defparam \datamem|ram~1585 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y20_N15
+cyclonev_lcell_comb \datamem|ram~1713feeder (
+// Equation(s):
+// \datamem|ram~1713feeder_combout = ( \reg_file|reg_read_data_2[1]~0_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1713feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1713feeder .extended_lut = "off";
+defparam \datamem|ram~1713feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1713feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y20_N16
+dffeas \datamem|ram~1713 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1713feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1713_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1713 .is_wysiwyg = "true";
+defparam \datamem|ram~1713 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N16
+dffeas \datamem|ram~1649 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1649_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1649 .is_wysiwyg = "true";
+defparam \datamem|ram~1649 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y18_N26
+dffeas \datamem|ram~1777 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[1]~0_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1777_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1777 .is_wysiwyg = "true";
+defparam \datamem|ram~1777 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y18_N24
+cyclonev_lcell_comb \datamem|ram~4130 (
+// Equation(s):
+// \datamem|ram~4130_combout = ( \datamem|ram~1777_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1713_q ) ) ) ) # ( !\datamem|ram~1777_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1713_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1777_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1585_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1649_q ))) ) ) ) # ( !\datamem|ram~1777_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1585_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1649_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1585_q ),
+ .datab(!\datamem|ram~1713_q ),
+ .datac(!\datamem|ram~1649_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1777_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4130_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4130 .extended_lut = "off";
+defparam \datamem|ram~4130 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4130 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N33
+cyclonev_lcell_comb \datamem|ram~4131 (
+// Equation(s):
+// \datamem|ram~4131_combout = ( \datamem|ram~4128_combout & ( \datamem|ram~4130_combout & ( ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4127_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4129_combout )))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~4128_combout & ( \datamem|ram~4130_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4127_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4129_combout ))))) #
+// (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout )) ) ) ) # ( \datamem|ram~4128_combout & ( !\datamem|ram~4130_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4127_combout )) # (\alu_unit|Mux13~4_combout
+// & ((\datamem|ram~4129_combout ))))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout )) ) ) ) # ( !\datamem|ram~4128_combout & ( !\datamem|ram~4130_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// (\datamem|ram~4127_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4129_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4127_combout ),
+ .datad(!\datamem|ram~4129_combout ),
+ .datae(!\datamem|ram~4128_combout ),
+ .dataf(!\datamem|ram~4130_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4131_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4131 .extended_lut = "off";
+defparam \datamem|ram~4131 .lut_mask = 64'h082A4C6E193B5D7F;
+defparam \datamem|ram~4131 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N15
+cyclonev_lcell_comb \datamem|ram~4137 (
+// Equation(s):
+// \datamem|ram~4137_combout = ( \datamem|ram~4136_combout & ( \datamem|ram~4131_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4121_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4126_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4136_combout & ( \datamem|ram~4131_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4121_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~4126_combout )))
+// ) ) ) # ( \datamem|ram~4136_combout & ( !\datamem|ram~4131_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & ((\datamem|ram~4121_combout )))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4126_combout )) #
+// (\alu_unit|Mux9~4_combout ))) ) ) ) # ( !\datamem|ram~4136_combout & ( !\datamem|ram~4131_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4121_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4126_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4126_combout ),
+ .datad(!\datamem|ram~4121_combout ),
+ .datae(!\datamem|ram~4136_combout ),
+ .dataf(!\datamem|ram~4131_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4137_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4137 .extended_lut = "off";
+defparam \datamem|ram~4137 .lut_mask = 64'h048C159D26AE37BF;
+defparam \datamem|ram~4137 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y10_N54
+cyclonev_lcell_comb \datamem|ram~4180 (
+// Equation(s):
+// \datamem|ram~4180_combout = ( \datamem|ram~4158_combout & ( \datamem|ram~4137_combout & ( (!\alu_unit|Mux7~2_combout & (((\alu_unit|Mux8~2_combout )) # (\datamem|ram~4116_combout ))) # (\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout ) #
+// (\datamem|ram~4179_combout )))) ) ) ) # ( !\datamem|ram~4158_combout & ( \datamem|ram~4137_combout & ( (!\alu_unit|Mux7~2_combout & (((\alu_unit|Mux8~2_combout )) # (\datamem|ram~4116_combout ))) # (\alu_unit|Mux7~2_combout &
+// (((\datamem|ram~4179_combout & \alu_unit|Mux8~2_combout )))) ) ) ) # ( \datamem|ram~4158_combout & ( !\datamem|ram~4137_combout & ( (!\alu_unit|Mux7~2_combout & (\datamem|ram~4116_combout & ((!\alu_unit|Mux8~2_combout )))) # (\alu_unit|Mux7~2_combout
+// & (((!\alu_unit|Mux8~2_combout ) # (\datamem|ram~4179_combout )))) ) ) ) # ( !\datamem|ram~4158_combout & ( !\datamem|ram~4137_combout & ( (!\alu_unit|Mux7~2_combout & (\datamem|ram~4116_combout & ((!\alu_unit|Mux8~2_combout )))) #
+// (\alu_unit|Mux7~2_combout & (((\datamem|ram~4179_combout & \alu_unit|Mux8~2_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4116_combout ),
+ .datab(!\alu_unit|Mux7~2_combout ),
+ .datac(!\datamem|ram~4179_combout ),
+ .datad(!\alu_unit|Mux8~2_combout ),
+ .datae(!\datamem|ram~4158_combout ),
+ .dataf(!\datamem|ram~4137_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4180_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4180 .extended_lut = "off";
+defparam \datamem|ram~4180 .lut_mask = 64'h4403770344CF77CF;
+defparam \datamem|ram~4180 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N48
+cyclonev_lcell_comb \reg_write_data[1]~2 (
+// Equation(s):
+// \reg_write_data[1]~2_combout = ( \datamem|ram~4180_combout & ( (!\reg_write_data[1]~0_combout & (\alu_unit|Mux14~6_combout )) # (\reg_write_data[1]~0_combout & (((\Add0~1_sumout ) # (\reg_write_data[1]~1_combout )))) ) ) # ( !\datamem|ram~4180_combout
+// & ( (!\reg_write_data[1]~0_combout & (\alu_unit|Mux14~6_combout )) # (\reg_write_data[1]~0_combout & (((!\reg_write_data[1]~1_combout & \Add0~1_sumout )))) ) )
+
+ .dataa(!\reg_write_data[1]~0_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\reg_write_data[1]~1_combout ),
+ .datad(!\Add0~1_sumout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~4180_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[1]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[1]~2 .extended_lut = "off";
+defparam \reg_write_data[1]~2 .lut_mask = 64'h2272227227772777;
+defparam \reg_write_data[1]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N6
+cyclonev_lcell_comb \reg_file|reg_array[0][1]~feeder (
+// Equation(s):
+// \reg_file|reg_array[0][1]~feeder_combout = ( \reg_write_data[1]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[1]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[0][1]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[0][1]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[0][1]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[0][1]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N7
+dffeas \reg_file|reg_array[0][1] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[0][1]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][1]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][1] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y12_N55
+dffeas \reg_file|reg_array[1][1] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[1]~2_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][1]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][1] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][1] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y13_N32
+dffeas \reg_file|reg_array[2][1] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[1]~2_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][1]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][1] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N33
+cyclonev_lcell_comb \reg_file|reg_read_data_2[1]~0 (
+// Equation(s):
+// \reg_file|reg_read_data_2[1]~0_combout = ( \instrucion_memory|rom~18_combout & ( \instrucion_memory|rom~17_combout & ( \reg_file|reg_array[3][1]~q ) ) ) # ( !\instrucion_memory|rom~18_combout & ( \instrucion_memory|rom~17_combout & (
+// \reg_file|reg_array[1][1]~q ) ) ) # ( \instrucion_memory|rom~18_combout & ( !\instrucion_memory|rom~17_combout & ( \reg_file|reg_array[2][1]~q ) ) ) # ( !\instrucion_memory|rom~18_combout & ( !\instrucion_memory|rom~17_combout & (
+// \reg_file|reg_array[0][1]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[0][1]~q ),
+ .datab(!\reg_file|reg_array[1][1]~q ),
+ .datac(!\reg_file|reg_array[2][1]~q ),
+ .datad(!\reg_file|reg_array[3][1]~q ),
+ .datae(!\instrucion_memory|rom~18_combout ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[1]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[1]~0 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[1]~0 .lut_mask = 64'h55550F0F333300FF;
+defparam \reg_file|reg_read_data_2[1]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N48
+cyclonev_lcell_comb \read_data2[1]~0 (
+// Equation(s):
+// \read_data2[1]~0_combout = ( \reg_file|Equal1~0_combout & ( \reg_file|reg_read_data_2[1]~0_combout & ( (\instrucion_memory|rom~16_combout & \control_unit|WideOr0~0_combout ) ) ) ) # ( !\reg_file|Equal1~0_combout & (
+// \reg_file|reg_read_data_2[1]~0_combout & ( (!\control_unit|WideOr0~0_combout ) # (\instrucion_memory|rom~16_combout ) ) ) ) # ( \reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_2[1]~0_combout & ( (\instrucion_memory|rom~16_combout &
+// \control_unit|WideOr0~0_combout ) ) ) ) # ( !\reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_2[1]~0_combout & ( (\instrucion_memory|rom~16_combout & \control_unit|WideOr0~0_combout ) ) ) )
+
+ .dataa(!\instrucion_memory|rom~16_combout ),
+ .datab(gnd),
+ .datac(!\control_unit|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(!\reg_file|Equal1~0_combout ),
+ .dataf(!\reg_file|reg_read_data_2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[1]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[1]~0 .extended_lut = "off";
+defparam \read_data2[1]~0 .lut_mask = 64'h05050505F5F50505;
+defparam \read_data2[1]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N42
+cyclonev_lcell_comb \alu_unit|Mux13~2 (
+// Equation(s):
+// \alu_unit|Mux13~2_combout = ( \reg_file|reg_read_data_1[4]~7_combout & ( \read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[3]~5_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[5]~17_combout )))
+// ) ) ) # ( !\reg_file|reg_read_data_1[4]~7_combout & ( \read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[3]~5_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[5]~17_combout ))) ) ) ) # (
+// \reg_file|reg_read_data_1[4]~7_combout & ( !\read_data2[0]~1_combout & ( (\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[2]~3_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[4]~7_combout & ( !\read_data2[0]~1_combout & (
+// (\reg_file|reg_read_data_1[2]~3_combout & !\read_data2[1]~0_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .datab(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datac(!\read_data2[1]~0_combout ),
+ .datad(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datae(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .dataf(!\read_data2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux13~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux13~2 .extended_lut = "off";
+defparam \alu_unit|Mux13~2 .lut_mask = 64'h50505F5F303F303F;
+defparam \alu_unit|Mux13~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N0
+cyclonev_lcell_comb \alu_unit|Mux13~3 (
+// Equation(s):
+// \alu_unit|Mux13~3_combout = ( \alu_unit|shifter_right|st2[1]~6_combout & ( \alu_unit|shifter_right|st2[9]~4_combout & ( ((!\read_data2[3]~2_combout & (\alu_unit|Mux13~2_combout )) # (\read_data2[3]~2_combout &
+// ((\alu_unit|shifter_right|st2[5]~3_combout )))) # (\read_data2[2]~3_combout ) ) ) ) # ( !\alu_unit|shifter_right|st2[1]~6_combout & ( \alu_unit|shifter_right|st2[9]~4_combout & ( (!\read_data2[3]~2_combout & (((\alu_unit|Mux13~2_combout )) #
+// (\read_data2[2]~3_combout ))) # (\read_data2[3]~2_combout & (!\read_data2[2]~3_combout & ((\alu_unit|shifter_right|st2[5]~3_combout )))) ) ) ) # ( \alu_unit|shifter_right|st2[1]~6_combout & ( !\alu_unit|shifter_right|st2[9]~4_combout & (
+// (!\read_data2[3]~2_combout & (!\read_data2[2]~3_combout & (\alu_unit|Mux13~2_combout ))) # (\read_data2[3]~2_combout & (((\alu_unit|shifter_right|st2[5]~3_combout )) # (\read_data2[2]~3_combout ))) ) ) ) # ( !\alu_unit|shifter_right|st2[1]~6_combout &
+// ( !\alu_unit|shifter_right|st2[9]~4_combout & ( (!\read_data2[2]~3_combout & ((!\read_data2[3]~2_combout & (\alu_unit|Mux13~2_combout )) # (\read_data2[3]~2_combout & ((\alu_unit|shifter_right|st2[5]~3_combout ))))) ) ) )
+
+ .dataa(!\read_data2[3]~2_combout ),
+ .datab(!\read_data2[2]~3_combout ),
+ .datac(!\alu_unit|Mux13~2_combout ),
+ .datad(!\alu_unit|shifter_right|st2[5]~3_combout ),
+ .datae(!\alu_unit|shifter_right|st2[1]~6_combout ),
+ .dataf(!\alu_unit|shifter_right|st2[9]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux13~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux13~3 .extended_lut = "off";
+defparam \alu_unit|Mux13~3 .lut_mask = 64'h084C195D2A6E3B7F;
+defparam \alu_unit|Mux13~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N6
+cyclonev_lcell_comb \alu_unit|Add0~5 (
+// Equation(s):
+// \alu_unit|Add0~5_sumout = SUM(( \read_data2[2]~3_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[2]~2_combout ))) ) + ( \alu_unit|Add0~2 ))
+// \alu_unit|Add0~6 = CARRY(( \read_data2[2]~3_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[2]~2_combout ))) ) + ( \alu_unit|Add0~2 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[2]~3_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[2]~2_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~2 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~5_sumout ),
+ .cout(\alu_unit|Add0~6 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~5 .extended_lut = "off";
+defparam \alu_unit|Add0~5 .lut_mask = 64'h0000FFF7000000FF;
+defparam \alu_unit|Add0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N42
+cyclonev_lcell_comb \alu_unit|Mux13~1 (
+// Equation(s):
+// \alu_unit|Mux13~1_combout = ( \alu_unit|Add1~5_sumout & ( \alu_unit|Add0~5_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout ) # ((!\ALU_Control_unit|WideOr2~0_combout & ((\reg_file|reg_read_data_1[2]~3_combout ) # (\read_data2[2]~3_combout ))) #
+// (\ALU_Control_unit|WideOr2~0_combout & (\read_data2[2]~3_combout & \reg_file|reg_read_data_1[2]~3_combout ))) ) ) ) # ( !\alu_unit|Add1~5_sumout & ( \alu_unit|Add0~5_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout &
+// (\ALU_Control_unit|WideOr1~0_combout & ((\reg_file|reg_read_data_1[2]~3_combout ) # (\read_data2[2]~3_combout )))) # (\ALU_Control_unit|WideOr2~0_combout & ((!\ALU_Control_unit|WideOr1~0_combout ) # ((\read_data2[2]~3_combout &
+// \reg_file|reg_read_data_1[2]~3_combout )))) ) ) ) # ( \alu_unit|Add1~5_sumout & ( !\alu_unit|Add0~5_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout & (((!\ALU_Control_unit|WideOr1~0_combout ) # (\reg_file|reg_read_data_1[2]~3_combout )) #
+// (\read_data2[2]~3_combout ))) # (\ALU_Control_unit|WideOr2~0_combout & (\read_data2[2]~3_combout & (\ALU_Control_unit|WideOr1~0_combout & \reg_file|reg_read_data_1[2]~3_combout ))) ) ) ) # ( !\alu_unit|Add1~5_sumout & ( !\alu_unit|Add0~5_sumout & (
+// (\ALU_Control_unit|WideOr1~0_combout & ((!\ALU_Control_unit|WideOr2~0_combout & ((\reg_file|reg_read_data_1[2]~3_combout ) # (\read_data2[2]~3_combout ))) # (\ALU_Control_unit|WideOr2~0_combout & (\read_data2[2]~3_combout &
+// \reg_file|reg_read_data_1[2]~3_combout )))) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datab(!\read_data2[2]~3_combout ),
+ .datac(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datad(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .datae(!\alu_unit|Add1~5_sumout ),
+ .dataf(!\alu_unit|Add0~5_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux13~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux13~1 .extended_lut = "off";
+defparam \alu_unit|Mux13~1 .lut_mask = 64'h020BA2AB525BF2FB;
+defparam \alu_unit|Mux13~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N18
+cyclonev_lcell_comb \alu_unit|Mux13~6 (
+// Equation(s):
+// \alu_unit|Mux13~6_combout = ( \alu_unit|Mux13~1_combout & ( (!\ALU_Control_unit|WideOr0~0_combout ) # ((\alu_unit|Mux13~3_combout & \alu_unit|Mux14~3_combout )) ) ) # ( !\alu_unit|Mux13~1_combout & ( (\alu_unit|Mux13~3_combout &
+// \alu_unit|Mux14~3_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\alu_unit|Mux13~3_combout ),
+ .datac(!\alu_unit|Mux14~3_combout ),
+ .datad(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux13~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux13~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux13~6 .extended_lut = "off";
+defparam \alu_unit|Mux13~6 .lut_mask = 64'h03030303FF03FF03;
+defparam \alu_unit|Mux13~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N12
+cyclonev_lcell_comb \alu_unit|Mux13~4 (
+// Equation(s):
+// \alu_unit|Mux13~4_combout = ( \alu_unit|Mult0~10 & ( ((\alu_unit|Mux14~4_combout & ((!\alu_unit|Mux13~0_combout ) # (\alu_unit|shifter_left|st2[2]~2_combout )))) # (\alu_unit|Mux13~6_combout ) ) ) # ( !\alu_unit|Mult0~10 & (
+// ((\alu_unit|Mux13~0_combout & (\alu_unit|Mux14~4_combout & \alu_unit|shifter_left|st2[2]~2_combout ))) # (\alu_unit|Mux13~6_combout ) ) )
+
+ .dataa(!\alu_unit|Mux13~0_combout ),
+ .datab(!\alu_unit|Mux14~4_combout ),
+ .datac(!\alu_unit|Mux13~6_combout ),
+ .datad(!\alu_unit|shifter_left|st2[2]~2_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mult0~10 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux13~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux13~4 .extended_lut = "off";
+defparam \alu_unit|Mux13~4 .lut_mask = 64'h0F1F0F1F2F3F2F3F;
+defparam \alu_unit|Mux13~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N1
+dffeas \datamem|ram~2754 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2754_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2754 .is_wysiwyg = "true";
+defparam \datamem|ram~2754 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N28
+dffeas \datamem|ram~2626 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2626_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2626 .is_wysiwyg = "true";
+defparam \datamem|ram~2626 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N7
+dffeas \datamem|ram~2690 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2690_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2690 .is_wysiwyg = "true";
+defparam \datamem|ram~2690 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N50
+dffeas \datamem|ram~2562 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2562_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2562 .is_wysiwyg = "true";
+defparam \datamem|ram~2562 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N57
+cyclonev_lcell_comb \datamem|ram~4233 (
+// Equation(s):
+// \datamem|ram~4233_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2754_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2690_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2626_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2562_q ) ) )
+
+ .dataa(!\datamem|ram~2754_q ),
+ .datab(!\datamem|ram~2626_q ),
+ .datac(!\datamem|ram~2690_q ),
+ .datad(!\datamem|ram~2562_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4233_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4233 .extended_lut = "off";
+defparam \datamem|ram~4233 .lut_mask = 64'h00FF33330F0F5555;
+defparam \datamem|ram~4233 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N40
+dffeas \datamem|ram~2674 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2674_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2674 .is_wysiwyg = "true";
+defparam \datamem|ram~2674 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N29
+dffeas \datamem|ram~2738 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2738_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2738 .is_wysiwyg = "true";
+defparam \datamem|ram~2738 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N3
+cyclonev_lcell_comb \datamem|ram~2610feeder (
+// Equation(s):
+// \datamem|ram~2610feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2610feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2610feeder .extended_lut = "off";
+defparam \datamem|ram~2610feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~2610feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N4
+dffeas \datamem|ram~2610 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2610feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2610_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2610 .is_wysiwyg = "true";
+defparam \datamem|ram~2610 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y9_N29
+dffeas \datamem|ram~2802 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2802_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2802 .is_wysiwyg = "true";
+defparam \datamem|ram~2802 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N30
+cyclonev_lcell_comb \datamem|ram~4236 (
+// Equation(s):
+// \datamem|ram~4236_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2802_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2738_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2674_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2610_q ) ) )
+
+ .dataa(!\datamem|ram~2674_q ),
+ .datab(!\datamem|ram~2738_q ),
+ .datac(!\datamem|ram~2610_q ),
+ .datad(!\datamem|ram~2802_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4236_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4236 .extended_lut = "off";
+defparam \datamem|ram~4236 .lut_mask = 64'h0F0F5555333300FF;
+defparam \datamem|ram~4236 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y7_N40
+dffeas \datamem|ram~2658 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2658_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2658 .is_wysiwyg = "true";
+defparam \datamem|ram~2658 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y13_N46
+dffeas \datamem|ram~2786 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2786_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2786 .is_wysiwyg = "true";
+defparam \datamem|ram~2786 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N52
+dffeas \datamem|ram~2594 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2594_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2594 .is_wysiwyg = "true";
+defparam \datamem|ram~2594 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y7_N46
+dffeas \datamem|ram~2722 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2722_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2722 .is_wysiwyg = "true";
+defparam \datamem|ram~2722 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N0
+cyclonev_lcell_comb \datamem|ram~4235 (
+// Equation(s):
+// \datamem|ram~4235_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2786_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2722_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2658_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2594_q ) ) )
+
+ .dataa(!\datamem|ram~2658_q ),
+ .datab(!\datamem|ram~2786_q ),
+ .datac(!\datamem|ram~2594_q ),
+ .datad(!\datamem|ram~2722_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4235_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4235 .extended_lut = "off";
+defparam \datamem|ram~4235 .lut_mask = 64'h0F0F555500FF3333;
+defparam \datamem|ram~4235 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N24
+cyclonev_lcell_comb \datamem|ram~2706feeder (
+// Equation(s):
+// \datamem|ram~2706feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2706feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2706feeder .extended_lut = "off";
+defparam \datamem|ram~2706feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2706feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N26
+dffeas \datamem|ram~2706 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2706feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2706_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2706 .is_wysiwyg = "true";
+defparam \datamem|ram~2706 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N7
+dffeas \datamem|ram~2578 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2578_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2578 .is_wysiwyg = "true";
+defparam \datamem|ram~2578 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y12_N48
+cyclonev_lcell_comb \datamem|ram~2642feeder (
+// Equation(s):
+// \datamem|ram~2642feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2642feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2642feeder .extended_lut = "off";
+defparam \datamem|ram~2642feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2642feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N49
+dffeas \datamem|ram~2642 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2642feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2642_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2642 .is_wysiwyg = "true";
+defparam \datamem|ram~2642 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N8
+dffeas \datamem|ram~2770 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2770_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2770 .is_wysiwyg = "true";
+defparam \datamem|ram~2770 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N6
+cyclonev_lcell_comb \datamem|ram~4234 (
+// Equation(s):
+// \datamem|ram~4234_combout = ( \datamem|ram~2770_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2642_q ) ) ) ) # ( !\datamem|ram~2770_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2642_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2770_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2578_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2706_q )) ) ) ) # ( !\datamem|ram~2770_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2578_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2706_q )) ) ) )
+
+ .dataa(!\datamem|ram~2706_q ),
+ .datab(!\datamem|ram~2578_q ),
+ .datac(!\datamem|ram~2642_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2770_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4234_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4234 .extended_lut = "off";
+defparam \datamem|ram~4234 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4234 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N42
+cyclonev_lcell_comb \datamem|ram~4237 (
+// Equation(s):
+// \datamem|ram~4237_combout = ( \datamem|ram~4235_combout & ( \datamem|ram~4234_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~4233_combout ))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~4236_combout )))) ) ) ) # ( !\datamem|ram~4235_combout & ( \datamem|ram~4234_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4233_combout & (!\alu_unit|Mux13~4_combout ))) # (\alu_unit|Mux14~6_combout &
+// (((!\alu_unit|Mux13~4_combout ) # (\datamem|ram~4236_combout )))) ) ) ) # ( \datamem|ram~4235_combout & ( !\datamem|ram~4234_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~4233_combout ))) #
+// (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout & \datamem|ram~4236_combout )))) ) ) ) # ( !\datamem|ram~4235_combout & ( !\datamem|ram~4234_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4233_combout & (!\alu_unit|Mux13~4_combout
+// ))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout & \datamem|ram~4236_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4233_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4236_combout ),
+ .datae(!\datamem|ram~4235_combout ),
+ .dataf(!\datamem|ram~4234_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4237_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4237 .extended_lut = "off";
+defparam \datamem|ram~4237 .lut_mask = 64'h20252A2F70757A7F;
+defparam \datamem|ram~4237 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N6
+cyclonev_lcell_comb \datamem|ram~2402feeder (
+// Equation(s):
+// \datamem|ram~2402feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2402feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2402feeder .extended_lut = "off";
+defparam \datamem|ram~2402feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2402feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N7
+dffeas \datamem|ram~2402 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2402feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2402_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2402 .is_wysiwyg = "true";
+defparam \datamem|ram~2402 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N40
+dffeas \datamem|ram~2466 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2466_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2466 .is_wysiwyg = "true";
+defparam \datamem|ram~2466 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N42
+cyclonev_lcell_comb \datamem|ram~2338feeder (
+// Equation(s):
+// \datamem|ram~2338feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2338feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2338feeder .extended_lut = "off";
+defparam \datamem|ram~2338feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2338feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N43
+dffeas \datamem|ram~2338 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2338feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2338_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2338 .is_wysiwyg = "true";
+defparam \datamem|ram~2338 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N44
+dffeas \datamem|ram~2530 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2530_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2530 .is_wysiwyg = "true";
+defparam \datamem|ram~2530 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y19_N42
+cyclonev_lcell_comb \datamem|ram~4230 (
+// Equation(s):
+// \datamem|ram~4230_combout = ( \datamem|ram~2530_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2466_q ) ) ) ) # ( !\datamem|ram~2530_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2466_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2530_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2338_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2402_q )) ) ) ) # ( !\datamem|ram~2530_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2338_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2402_q )) ) ) )
+
+ .dataa(!\datamem|ram~2402_q ),
+ .datab(!\datamem|ram~2466_q ),
+ .datac(!\datamem|ram~2338_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2530_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4230_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4230 .extended_lut = "off";
+defparam \datamem|ram~4230 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4230 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y15_N19
+dffeas \datamem|ram~2434 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2434_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2434 .is_wysiwyg = "true";
+defparam \datamem|ram~2434 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N12
+cyclonev_lcell_comb \datamem|ram~2370feeder (
+// Equation(s):
+// \datamem|ram~2370feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2370feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2370feeder .extended_lut = "off";
+defparam \datamem|ram~2370feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2370feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N14
+dffeas \datamem|ram~2370 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2370feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2370_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2370 .is_wysiwyg = "true";
+defparam \datamem|ram~2370 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N0
+cyclonev_lcell_comb \datamem|ram~2306feeder (
+// Equation(s):
+// \datamem|ram~2306feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2306feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2306feeder .extended_lut = "off";
+defparam \datamem|ram~2306feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2306feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N1
+dffeas \datamem|ram~2306 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2306feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2306_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2306 .is_wysiwyg = "true";
+defparam \datamem|ram~2306 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N8
+dffeas \datamem|ram~2498 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2498_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2498 .is_wysiwyg = "true";
+defparam \datamem|ram~2498 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N6
+cyclonev_lcell_comb \datamem|ram~4228 (
+// Equation(s):
+// \datamem|ram~4228_combout = ( \datamem|ram~2498_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2434_q ) ) ) ) # ( !\datamem|ram~2498_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2434_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2498_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2306_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2370_q )) ) ) ) # ( !\datamem|ram~2498_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2306_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2370_q )) ) ) )
+
+ .dataa(!\datamem|ram~2434_q ),
+ .datab(!\datamem|ram~2370_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2306_q ),
+ .datae(!\datamem|ram~2498_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4228_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4228 .extended_lut = "off";
+defparam \datamem|ram~4228 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4228 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N27
+cyclonev_lcell_comb \datamem|ram~2386feeder (
+// Equation(s):
+// \datamem|ram~2386feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2386feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2386feeder .extended_lut = "off";
+defparam \datamem|ram~2386feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2386feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N28
+dffeas \datamem|ram~2386 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2386feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2386_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2386 .is_wysiwyg = "true";
+defparam \datamem|ram~2386 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N40
+dffeas \datamem|ram~2450 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2450_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2450 .is_wysiwyg = "true";
+defparam \datamem|ram~2450 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N32
+dffeas \datamem|ram~2322 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2322_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2322 .is_wysiwyg = "true";
+defparam \datamem|ram~2322 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N14
+dffeas \datamem|ram~2514 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2514_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2514 .is_wysiwyg = "true";
+defparam \datamem|ram~2514 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y19_N12
+cyclonev_lcell_comb \datamem|ram~4229 (
+// Equation(s):
+// \datamem|ram~4229_combout = ( \datamem|ram~2514_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2450_q ) ) ) ) # ( !\datamem|ram~2514_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2450_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2514_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2322_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2386_q )) ) ) ) # ( !\datamem|ram~2514_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2322_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2386_q )) ) ) )
+
+ .dataa(!\datamem|ram~2386_q ),
+ .datab(!\datamem|ram~2450_q ),
+ .datac(!\datamem|ram~2322_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2514_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4229_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4229 .extended_lut = "off";
+defparam \datamem|ram~4229 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4229 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y19_N39
+cyclonev_lcell_comb \datamem|ram~2354feeder (
+// Equation(s):
+// \datamem|ram~2354feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2354feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2354feeder .extended_lut = "off";
+defparam \datamem|ram~2354feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2354feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N40
+dffeas \datamem|ram~2354 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2354feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2354_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2354 .is_wysiwyg = "true";
+defparam \datamem|ram~2354 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N25
+dffeas \datamem|ram~2482 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2482_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2482 .is_wysiwyg = "true";
+defparam \datamem|ram~2482 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N49
+dffeas \datamem|ram~2418 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2418_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2418 .is_wysiwyg = "true";
+defparam \datamem|ram~2418 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N50
+dffeas \datamem|ram~2546 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2546_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2546 .is_wysiwyg = "true";
+defparam \datamem|ram~2546 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y19_N48
+cyclonev_lcell_comb \datamem|ram~4231 (
+// Equation(s):
+// \datamem|ram~4231_combout = ( \datamem|ram~2546_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2482_q ) ) ) ) # ( !\datamem|ram~2546_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2482_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2546_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2354_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2418_q ))) ) ) ) # ( !\datamem|ram~2546_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2354_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2418_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2354_q ),
+ .datab(!\datamem|ram~2482_q ),
+ .datac(!\datamem|ram~2418_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2546_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4231_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4231 .extended_lut = "off";
+defparam \datamem|ram~4231 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4231 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y19_N0
+cyclonev_lcell_comb \datamem|ram~4232 (
+// Equation(s):
+// \datamem|ram~4232_combout = ( \alu_unit|Mux14~6_combout & ( \datamem|ram~4231_combout & ( (\datamem|ram~4229_combout ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~4231_combout & ( (!\alu_unit|Mux13~4_combout
+// & ((\datamem|ram~4228_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4230_combout )) ) ) ) # ( \alu_unit|Mux14~6_combout & ( !\datamem|ram~4231_combout & ( (!\alu_unit|Mux13~4_combout & \datamem|ram~4229_combout ) ) ) ) # (
+// !\alu_unit|Mux14~6_combout & ( !\datamem|ram~4231_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4228_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4230_combout )) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~4230_combout ),
+ .datac(!\datamem|ram~4228_combout ),
+ .datad(!\datamem|ram~4229_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\datamem|ram~4231_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4232_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4232 .extended_lut = "off";
+defparam \datamem|ram~4232 .lut_mask = 64'h1B1B00AA1B1B55FF;
+defparam \datamem|ram~4232 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y8_N18
+cyclonev_lcell_comb \datamem|ram~2210feeder (
+// Equation(s):
+// \datamem|ram~2210feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2210feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2210feeder .extended_lut = "off";
+defparam \datamem|ram~2210feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2210feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y8_N19
+dffeas \datamem|ram~2210 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2210feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2210_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2210 .is_wysiwyg = "true";
+defparam \datamem|ram~2210 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N52
+dffeas \datamem|ram~2082 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2082_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2082 .is_wysiwyg = "true";
+defparam \datamem|ram~2082 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N52
+dffeas \datamem|ram~2146 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2146_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2146 .is_wysiwyg = "true";
+defparam \datamem|ram~2146 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y10_N32
+dffeas \datamem|ram~2274 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2274_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2274 .is_wysiwyg = "true";
+defparam \datamem|ram~2274 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y10_N30
+cyclonev_lcell_comb \datamem|ram~4225 (
+// Equation(s):
+// \datamem|ram~4225_combout = ( \datamem|ram~2274_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2210_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2274_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2210_q ) ) ) ) # ( \datamem|ram~2274_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2082_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2146_q ))) ) ) ) # ( !\datamem|ram~2274_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2082_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2146_q ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~2210_q ),
+ .datac(!\datamem|ram~2082_q ),
+ .datad(!\datamem|ram~2146_q ),
+ .datae(!\datamem|ram~2274_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4225_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4225 .extended_lut = "off";
+defparam \datamem|ram~4225 .lut_mask = 64'h0A5F0A5F22227777;
+defparam \datamem|ram~4225 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N9
+cyclonev_lcell_comb \datamem|ram~2130feeder (
+// Equation(s):
+// \datamem|ram~2130feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2130feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2130feeder .extended_lut = "off";
+defparam \datamem|ram~2130feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~2130feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N11
+dffeas \datamem|ram~2130 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2130feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2130_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2130 .is_wysiwyg = "true";
+defparam \datamem|ram~2130 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y9_N54
+cyclonev_lcell_comb \datamem|ram~2194feeder (
+// Equation(s):
+// \datamem|ram~2194feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2194feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2194feeder .extended_lut = "off";
+defparam \datamem|ram~2194feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2194feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y9_N55
+dffeas \datamem|ram~2194 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2194feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2194_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2194 .is_wysiwyg = "true";
+defparam \datamem|ram~2194 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N24
+cyclonev_lcell_comb \datamem|ram~2066feeder (
+// Equation(s):
+// \datamem|ram~2066feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2066feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2066feeder .extended_lut = "off";
+defparam \datamem|ram~2066feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2066feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N25
+dffeas \datamem|ram~2066 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2066feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2066_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2066 .is_wysiwyg = "true";
+defparam \datamem|ram~2066 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y10_N50
+dffeas \datamem|ram~2258 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2258_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2258 .is_wysiwyg = "true";
+defparam \datamem|ram~2258 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y10_N48
+cyclonev_lcell_comb \datamem|ram~4224 (
+// Equation(s):
+// \datamem|ram~4224_combout = ( \datamem|ram~2258_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2194_q ) ) ) ) # ( !\datamem|ram~2258_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2194_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2258_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2066_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2130_q )) ) ) ) # ( !\datamem|ram~2258_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2066_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2130_q )) ) ) )
+
+ .dataa(!\datamem|ram~2130_q ),
+ .datab(!\datamem|ram~2194_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2066_q ),
+ .datae(!\datamem|ram~2258_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4224_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4224 .extended_lut = "off";
+defparam \datamem|ram~4224 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4224 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y11_N28
+dffeas \datamem|ram~2162 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2162_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2162 .is_wysiwyg = "true";
+defparam \datamem|ram~2162 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N16
+dffeas \datamem|ram~2098 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2098_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2098 .is_wysiwyg = "true";
+defparam \datamem|ram~2098 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y12_N18
+cyclonev_lcell_comb \datamem|ram~2226feeder (
+// Equation(s):
+// \datamem|ram~2226feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2226feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2226feeder .extended_lut = "off";
+defparam \datamem|ram~2226feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2226feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N19
+dffeas \datamem|ram~2226 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2226feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2226_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2226 .is_wysiwyg = "true";
+defparam \datamem|ram~2226 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y10_N14
+dffeas \datamem|ram~2290 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2290_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2290 .is_wysiwyg = "true";
+defparam \datamem|ram~2290 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y10_N12
+cyclonev_lcell_comb \datamem|ram~4226 (
+// Equation(s):
+// \datamem|ram~4226_combout = ( \datamem|ram~2290_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2226_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2290_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2226_q ) ) ) ) # ( \datamem|ram~2290_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2098_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2162_q )) ) ) ) # ( !\datamem|ram~2290_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2098_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2162_q )) ) ) )
+
+ .dataa(!\datamem|ram~2162_q ),
+ .datab(!\datamem|ram~2098_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2226_q ),
+ .datae(!\datamem|ram~2290_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4226_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4226 .extended_lut = "off";
+defparam \datamem|ram~4226 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4226 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y11_N5
+dffeas \datamem|ram~2178 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2178_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2178 .is_wysiwyg = "true";
+defparam \datamem|ram~2178 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X46_Y13_N3
+cyclonev_lcell_comb \datamem|ram~2114feeder (
+// Equation(s):
+// \datamem|ram~2114feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2114feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2114feeder .extended_lut = "off";
+defparam \datamem|ram~2114feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2114feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X46_Y13_N4
+dffeas \datamem|ram~2114 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2114feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2114_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2114 .is_wysiwyg = "true";
+defparam \datamem|ram~2114 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N42
+cyclonev_lcell_comb \datamem|ram~2050feeder (
+// Equation(s):
+// \datamem|ram~2050feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2050feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2050feeder .extended_lut = "off";
+defparam \datamem|ram~2050feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2050feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N43
+dffeas \datamem|ram~2050 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2050feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2050_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2050 .is_wysiwyg = "true";
+defparam \datamem|ram~2050 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N26
+dffeas \datamem|ram~2242 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2242_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2242 .is_wysiwyg = "true";
+defparam \datamem|ram~2242 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N24
+cyclonev_lcell_comb \datamem|ram~4223 (
+// Equation(s):
+// \datamem|ram~4223_combout = ( \datamem|ram~2242_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2178_q ) ) ) ) # ( !\datamem|ram~2242_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2178_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2242_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2050_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2114_q )) ) ) ) # ( !\datamem|ram~2242_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2050_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2114_q )) ) ) )
+
+ .dataa(!\datamem|ram~2178_q ),
+ .datab(!\datamem|ram~2114_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2050_q ),
+ .datae(!\datamem|ram~2242_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4223_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4223 .extended_lut = "off";
+defparam \datamem|ram~4223 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4223 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4227 (
+// Equation(s):
+// \datamem|ram~4227_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~4223_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4225_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4226_combout ))) ) ) ) # ( !\alu_unit|Mux13~4_combout
+// & ( \datamem|ram~4223_combout & ( (!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4224_combout ) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~4223_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4225_combout )) #
+// (\alu_unit|Mux14~6_combout & ((\datamem|ram~4226_combout ))) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\datamem|ram~4223_combout & ( (\alu_unit|Mux14~6_combout & \datamem|ram~4224_combout ) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4225_combout ),
+ .datac(!\datamem|ram~4224_combout ),
+ .datad(!\datamem|ram~4226_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~4223_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4227_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4227 .extended_lut = "off";
+defparam \datamem|ram~4227 .lut_mask = 64'h05052277AFAF2277;
+defparam \datamem|ram~4227 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N10
+dffeas \datamem|ram~2914 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2914_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2914 .is_wysiwyg = "true";
+defparam \datamem|ram~2914 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N10
+dffeas \datamem|ram~2898 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2898_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2898 .is_wysiwyg = "true";
+defparam \datamem|ram~2898 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y11_N43
+dffeas \datamem|ram~2882 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2882_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2882 .is_wysiwyg = "true";
+defparam \datamem|ram~2882 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y10_N50
+dffeas \datamem|ram~2930 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2930_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2930 .is_wysiwyg = "true";
+defparam \datamem|ram~2930 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y10_N48
+cyclonev_lcell_comb \datamem|ram~4239 (
+// Equation(s):
+// \datamem|ram~4239_combout = ( \datamem|ram~2930_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2898_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2930_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2898_q ) ) ) ) # ( \datamem|ram~2930_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2882_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2914_q )) ) ) ) # ( !\datamem|ram~2930_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2882_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2914_q )) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~2914_q ),
+ .datac(!\datamem|ram~2898_q ),
+ .datad(!\datamem|ram~2882_q ),
+ .datae(!\datamem|ram~2930_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4239_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4239 .extended_lut = "off";
+defparam \datamem|ram~4239 .lut_mask = 64'h11BB11BB0A0A5F5F;
+defparam \datamem|ram~4239 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y10_N6
+cyclonev_lcell_comb \datamem|ram~2866feeder (
+// Equation(s):
+// \datamem|ram~2866feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2866feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2866feeder .extended_lut = "off";
+defparam \datamem|ram~2866feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2866feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y10_N7
+dffeas \datamem|ram~2866 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2866feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2866_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2866 .is_wysiwyg = "true";
+defparam \datamem|ram~2866 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N53
+dffeas \datamem|ram~2850 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2850_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2850 .is_wysiwyg = "true";
+defparam \datamem|ram~2850 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y12_N52
+dffeas \datamem|ram~2834 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2834_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2834 .is_wysiwyg = "true";
+defparam \datamem|ram~2834 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N16
+dffeas \datamem|ram~2818 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2818_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2818 .is_wysiwyg = "true";
+defparam \datamem|ram~2818 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y11_N33
+cyclonev_lcell_comb \datamem|ram~4238 (
+// Equation(s):
+// \datamem|ram~4238_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2866_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2834_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2850_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2818_q ) ) )
+
+ .dataa(!\datamem|ram~2866_q ),
+ .datab(!\datamem|ram~2850_q ),
+ .datac(!\datamem|ram~2834_q ),
+ .datad(!\datamem|ram~2818_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4238_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4238 .extended_lut = "off";
+defparam \datamem|ram~4238 .lut_mask = 64'h00FF33330F0F5555;
+defparam \datamem|ram~4238 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X46_Y14_N15
+cyclonev_lcell_comb \datamem|ram~2978feeder (
+// Equation(s):
+// \datamem|ram~2978feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2978feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2978feeder .extended_lut = "off";
+defparam \datamem|ram~2978feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2978feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X46_Y14_N16
+dffeas \datamem|ram~2978 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2978feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2978_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2978 .is_wysiwyg = "true";
+defparam \datamem|ram~2978 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y10_N41
+dffeas \datamem|ram~2962 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2962_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2962 .is_wysiwyg = "true";
+defparam \datamem|ram~2962 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N46
+dffeas \datamem|ram~2946 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2946_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2946 .is_wysiwyg = "true";
+defparam \datamem|ram~2946 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y18_N29
+dffeas \datamem|ram~2994 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2994_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2994 .is_wysiwyg = "true";
+defparam \datamem|ram~2994 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y18_N27
+cyclonev_lcell_comb \datamem|ram~4240 (
+// Equation(s):
+// \datamem|ram~4240_combout = ( \datamem|ram~2994_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2978_q ) ) ) ) # ( !\datamem|ram~2994_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2978_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2994_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2946_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2962_q )) ) ) ) # ( !\datamem|ram~2994_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2946_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2962_q )) ) ) )
+
+ .dataa(!\datamem|ram~2978_q ),
+ .datab(!\datamem|ram~2962_q ),
+ .datac(!\datamem|ram~2946_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2994_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4240_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4240 .extended_lut = "off";
+defparam \datamem|ram~4240 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4240 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N28
+dffeas \datamem|ram~3010 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3010_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3010 .is_wysiwyg = "true";
+defparam \datamem|ram~3010 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N37
+dffeas \datamem|ram~3026 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3026_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3026 .is_wysiwyg = "true";
+defparam \datamem|ram~3026 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N40
+dffeas \datamem|ram~3042 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3042_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3042 .is_wysiwyg = "true";
+defparam \datamem|ram~3042 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N8
+dffeas \datamem|ram~3058 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3058_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3058 .is_wysiwyg = "true";
+defparam \datamem|ram~3058 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N6
+cyclonev_lcell_comb \datamem|ram~4241 (
+// Equation(s):
+// \datamem|ram~4241_combout = ( \datamem|ram~3058_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3042_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~3058_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~3042_q ) ) ) ) # ( \datamem|ram~3058_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3010_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3026_q ))) ) ) ) # ( !\datamem|ram~3058_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3010_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3026_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3010_q ),
+ .datab(!\datamem|ram~3026_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3042_q ),
+ .datae(!\datamem|ram~3058_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4241_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4241 .extended_lut = "off";
+defparam \datamem|ram~4241 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4241 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N18
+cyclonev_lcell_comb \datamem|ram~4242 (
+// Equation(s):
+// \datamem|ram~4242_combout = ( \datamem|ram~4240_combout & ( \datamem|ram~4241_combout & ( ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4238_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4239_combout ))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4240_combout & ( \datamem|ram~4241_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4238_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4239_combout )))) #
+// (\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout )) ) ) ) # ( \datamem|ram~4240_combout & ( !\datamem|ram~4241_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4238_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~4239_combout )))) # (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout )) ) ) ) # ( !\datamem|ram~4240_combout & ( !\datamem|ram~4241_combout & ( (!\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4238_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4239_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4239_combout ),
+ .datad(!\datamem|ram~4238_combout ),
+ .datae(!\datamem|ram~4240_combout ),
+ .dataf(!\datamem|ram~4241_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4242_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4242 .extended_lut = "off";
+defparam \datamem|ram~4242 .lut_mask = 64'h028A46CE139B57DF;
+defparam \datamem|ram~4242 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N42
+cyclonev_lcell_comb \datamem|ram~4243 (
+// Equation(s):
+// \datamem|ram~4243_combout = ( \datamem|ram~4227_combout & ( \datamem|ram~4242_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4232_combout )))) # (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) #
+// (\datamem|ram~4237_combout ))) ) ) ) # ( !\datamem|ram~4227_combout & ( \datamem|ram~4242_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout & \datamem|ram~4232_combout )))) # (\alu_unit|Mux9~4_combout &
+// (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~4237_combout ))) ) ) ) # ( \datamem|ram~4227_combout & ( !\datamem|ram~4242_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4232_combout )))) #
+// (\alu_unit|Mux9~4_combout & (\datamem|ram~4237_combout & (!\alu_unit|Mux10~6_combout ))) ) ) ) # ( !\datamem|ram~4227_combout & ( !\datamem|ram~4242_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout & \datamem|ram~4232_combout
+// )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4237_combout & (!\alu_unit|Mux10~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~4237_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~4232_combout ),
+ .datae(!\datamem|ram~4227_combout ),
+ .dataf(!\datamem|ram~4242_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4243_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4243 .extended_lut = "off";
+defparam \datamem|ram~4243 .lut_mask = 64'h101AB0BA151FB5BF;
+defparam \datamem|ram~4243 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N40
+dffeas \datamem|ram~4066 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4066_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4066 .is_wysiwyg = "true";
+defparam \datamem|ram~4066 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N58
+dffeas \datamem|ram~3554 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3554_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3554 .is_wysiwyg = "true";
+defparam \datamem|ram~3554 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N16
+dffeas \datamem|ram~3810 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3810_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3810 .is_wysiwyg = "true";
+defparam \datamem|ram~3810 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y20_N49
+dffeas \datamem|ram~3298 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3298_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3298 .is_wysiwyg = "true";
+defparam \datamem|ram~3298 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y16_N27
+cyclonev_lcell_comb \datamem|ram~4257 (
+// Equation(s):
+// \datamem|ram~4257_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~4066_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~3810_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~3554_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~3298_q ) ) )
+
+ .dataa(!\datamem|ram~4066_q ),
+ .datab(!\datamem|ram~3554_q ),
+ .datac(!\datamem|ram~3810_q ),
+ .datad(!\datamem|ram~3298_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4257_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4257 .extended_lut = "off";
+defparam \datamem|ram~4257 .lut_mask = 64'h00FF33330F0F5555;
+defparam \datamem|ram~4257 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N42
+cyclonev_lcell_comb \datamem|ram~3426feeder (
+// Equation(s):
+// \datamem|ram~3426feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3426feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3426feeder .extended_lut = "off";
+defparam \datamem|ram~3426feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3426feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N44
+dffeas \datamem|ram~3426 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3426feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3426_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3426 .is_wysiwyg = "true";
+defparam \datamem|ram~3426 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N45
+cyclonev_lcell_comb \datamem|ram~3682feeder (
+// Equation(s):
+// \datamem|ram~3682feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3682feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3682feeder .extended_lut = "off";
+defparam \datamem|ram~3682feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3682feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N46
+dffeas \datamem|ram~3682 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3682feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3682_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3682 .is_wysiwyg = "true";
+defparam \datamem|ram~3682 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N26
+dffeas \datamem|ram~3170 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3170_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3170 .is_wysiwyg = "true";
+defparam \datamem|ram~3170 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N20
+dffeas \datamem|ram~3938 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3938_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3938 .is_wysiwyg = "true";
+defparam \datamem|ram~3938 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y17_N18
+cyclonev_lcell_comb \datamem|ram~4255 (
+// Equation(s):
+// \datamem|ram~4255_combout = ( \datamem|ram~3938_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3426_q ) ) ) ) # ( !\datamem|ram~3938_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3426_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3938_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3170_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3682_q )) ) ) ) # ( !\datamem|ram~3938_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3170_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3682_q )) ) ) )
+
+ .dataa(!\datamem|ram~3426_q ),
+ .datab(!\datamem|ram~3682_q ),
+ .datac(!\datamem|ram~3170_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~3938_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4255_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4255 .extended_lut = "off";
+defparam \datamem|ram~4255 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4255 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N57
+cyclonev_lcell_comb \datamem|ram~3234feeder (
+// Equation(s):
+// \datamem|ram~3234feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3234feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3234feeder .extended_lut = "off";
+defparam \datamem|ram~3234feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3234feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N58
+dffeas \datamem|ram~3234 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3234feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3234_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3234 .is_wysiwyg = "true";
+defparam \datamem|ram~3234 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N39
+cyclonev_lcell_comb \datamem|ram~3746feeder (
+// Equation(s):
+// \datamem|ram~3746feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3746feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3746feeder .extended_lut = "off";
+defparam \datamem|ram~3746feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3746feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N41
+dffeas \datamem|ram~3746 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3746feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3746_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3746 .is_wysiwyg = "true";
+defparam \datamem|ram~3746 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N48
+cyclonev_lcell_comb \datamem|ram~4002feeder (
+// Equation(s):
+// \datamem|ram~4002feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4002feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4002feeder .extended_lut = "off";
+defparam \datamem|ram~4002feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4002feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N50
+dffeas \datamem|ram~4002 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4002feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4002_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4002 .is_wysiwyg = "true";
+defparam \datamem|ram~4002 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N7
+dffeas \datamem|ram~3490 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3490_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3490 .is_wysiwyg = "true";
+defparam \datamem|ram~3490 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y18_N57
+cyclonev_lcell_comb \datamem|ram~4256 (
+// Equation(s):
+// \datamem|ram~4256_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~4002_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3490_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~3746_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~3234_q ) ) )
+
+ .dataa(!\datamem|ram~3234_q ),
+ .datab(!\datamem|ram~3746_q ),
+ .datac(!\datamem|ram~4002_q ),
+ .datad(!\datamem|ram~3490_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4256_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4256 .extended_lut = "off";
+defparam \datamem|ram~4256 .lut_mask = 64'h5555333300FF0F0F;
+defparam \datamem|ram~4256 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N5
+dffeas \datamem|ram~3106 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3106_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3106 .is_wysiwyg = "true";
+defparam \datamem|ram~3106 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N32
+dffeas \datamem|ram~3618 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3618_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3618 .is_wysiwyg = "true";
+defparam \datamem|ram~3618 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N55
+dffeas \datamem|ram~3362 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3362_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3362 .is_wysiwyg = "true";
+defparam \datamem|ram~3362 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N56
+dffeas \datamem|ram~3874 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3874_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3874 .is_wysiwyg = "true";
+defparam \datamem|ram~3874 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y16_N54
+cyclonev_lcell_comb \datamem|ram~4254 (
+// Equation(s):
+// \datamem|ram~4254_combout = ( \datamem|ram~3874_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3618_q ) ) ) ) # ( !\datamem|ram~3874_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3618_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3874_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3106_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3362_q ))) ) ) ) # ( !\datamem|ram~3874_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3106_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3362_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3106_q ),
+ .datab(!\datamem|ram~3618_q ),
+ .datac(!\datamem|ram~3362_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3874_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4254_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4254 .extended_lut = "off";
+defparam \datamem|ram~4254 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4254 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N48
+cyclonev_lcell_comb \datamem|ram~4258 (
+// Equation(s):
+// \datamem|ram~4258_combout = ( \datamem|ram~4256_combout & ( \datamem|ram~4254_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4255_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4257_combout ))) ) )
+// ) # ( !\datamem|ram~4256_combout & ( \datamem|ram~4254_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~4255_combout )))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4257_combout & ((\alu_unit|Mux12~2_combout
+// )))) ) ) ) # ( \datamem|ram~4256_combout & ( !\datamem|ram~4254_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4255_combout & \alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~4257_combout ))) ) ) ) # ( !\datamem|ram~4256_combout & ( !\datamem|ram~4254_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4255_combout ))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4257_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4257_combout ),
+ .datac(!\datamem|ram~4255_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4256_combout ),
+ .dataf(!\datamem|ram~4254_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4258_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4258 .extended_lut = "off";
+defparam \datamem|ram~4258 .lut_mask = 64'h001B551BAA1BFF1B;
+defparam \datamem|ram~4258 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N57
+cyclonev_lcell_comb \datamem|ram~3762feeder (
+// Equation(s):
+// \datamem|ram~3762feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3762feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3762feeder .extended_lut = "off";
+defparam \datamem|ram~3762feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3762feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N58
+dffeas \datamem|ram~3762 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3762feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3762_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3762 .is_wysiwyg = "true";
+defparam \datamem|ram~3762 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N17
+dffeas \datamem|ram~3698 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3698_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3698 .is_wysiwyg = "true";
+defparam \datamem|ram~3698 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N51
+cyclonev_lcell_comb \datamem|ram~3634feeder (
+// Equation(s):
+// \datamem|ram~3634feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3634feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3634feeder .extended_lut = "off";
+defparam \datamem|ram~3634feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3634feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N52
+dffeas \datamem|ram~3634 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3634feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3634_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3634 .is_wysiwyg = "true";
+defparam \datamem|ram~3634 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y13_N26
+dffeas \datamem|ram~3826 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3826_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3826 .is_wysiwyg = "true";
+defparam \datamem|ram~3826 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N24
+cyclonev_lcell_comb \datamem|ram~4261 (
+// Equation(s):
+// \datamem|ram~4261_combout = ( \datamem|ram~3826_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3762_q ) ) ) ) # ( !\datamem|ram~3826_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3762_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3826_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3634_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3698_q )) ) ) ) # ( !\datamem|ram~3826_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3634_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3698_q )) ) ) )
+
+ .dataa(!\datamem|ram~3762_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3698_q ),
+ .datad(!\datamem|ram~3634_q ),
+ .datae(!\datamem|ram~3826_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4261_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4261 .extended_lut = "off";
+defparam \datamem|ram~4261 .lut_mask = 64'h03CF03CF44447777;
+defparam \datamem|ram~4261 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N27
+cyclonev_lcell_comb \datamem|ram~3122feeder (
+// Equation(s):
+// \datamem|ram~3122feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3122feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3122feeder .extended_lut = "off";
+defparam \datamem|ram~3122feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3122feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N28
+dffeas \datamem|ram~3122 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3122feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3122_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3122 .is_wysiwyg = "true";
+defparam \datamem|ram~3122 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N13
+dffeas \datamem|ram~3186 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3186_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3186 .is_wysiwyg = "true";
+defparam \datamem|ram~3186 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y21_N52
+dffeas \datamem|ram~3250 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3250_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3250 .is_wysiwyg = "true";
+defparam \datamem|ram~3250 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y13_N14
+dffeas \datamem|ram~3314 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3314_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3314 .is_wysiwyg = "true";
+defparam \datamem|ram~3314 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N12
+cyclonev_lcell_comb \datamem|ram~4259 (
+// Equation(s):
+// \datamem|ram~4259_combout = ( \datamem|ram~3314_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3250_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3314_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3250_q ) ) ) ) # ( \datamem|ram~3314_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3122_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3186_q ))) ) ) ) # ( !\datamem|ram~3314_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3122_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3186_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3122_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3186_q ),
+ .datad(!\datamem|ram~3250_q ),
+ .datae(!\datamem|ram~3314_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4259_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4259 .extended_lut = "off";
+defparam \datamem|ram~4259 .lut_mask = 64'h4747474700CC33FF;
+defparam \datamem|ram~4259 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y13_N3
+cyclonev_lcell_comb \datamem|ram~3890feeder (
+// Equation(s):
+// \datamem|ram~3890feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3890feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3890feeder .extended_lut = "off";
+defparam \datamem|ram~3890feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3890feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y13_N4
+dffeas \datamem|ram~3890 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3890feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3890_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3890 .is_wysiwyg = "true";
+defparam \datamem|ram~3890 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y12_N28
+dffeas \datamem|ram~3954 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3954_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3954 .is_wysiwyg = "true";
+defparam \datamem|ram~3954 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y13_N6
+cyclonev_lcell_comb \datamem|ram~4018feeder (
+// Equation(s):
+// \datamem|ram~4018feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4018feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4018feeder .extended_lut = "off";
+defparam \datamem|ram~4018feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4018feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y13_N7
+dffeas \datamem|ram~4018 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4018feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4018_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4018 .is_wysiwyg = "true";
+defparam \datamem|ram~4018 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y13_N39
+cyclonev_lcell_comb \datamem|ram~4082feeder (
+// Equation(s):
+// \datamem|ram~4082feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4082feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4082feeder .extended_lut = "off";
+defparam \datamem|ram~4082feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4082feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y13_N40
+dffeas \datamem|ram~4082 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4082feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4082_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4082 .is_wysiwyg = "true";
+defparam \datamem|ram~4082 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N57
+cyclonev_lcell_comb \datamem|ram~4262 (
+// Equation(s):
+// \datamem|ram~4262_combout = ( \datamem|ram~4082_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~4018_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~4082_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~4018_q ) ) ) ) # ( \datamem|ram~4082_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3890_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3954_q ))) ) ) ) # ( !\datamem|ram~4082_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3890_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3954_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3890_q ),
+ .datab(!\datamem|ram~3954_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~4018_q ),
+ .datae(!\datamem|ram~4082_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4262_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4262 .extended_lut = "off";
+defparam \datamem|ram~4262 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4262 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N17
+dffeas \datamem|ram~3378 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3378_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3378 .is_wysiwyg = "true";
+defparam \datamem|ram~3378 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N49
+dffeas \datamem|ram~3442 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3442_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3442 .is_wysiwyg = "true";
+defparam \datamem|ram~3442 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N31
+dffeas \datamem|ram~3506 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3506_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3506 .is_wysiwyg = "true";
+defparam \datamem|ram~3506 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y13_N8
+dffeas \datamem|ram~3570 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3570_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3570 .is_wysiwyg = "true";
+defparam \datamem|ram~3570 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N6
+cyclonev_lcell_comb \datamem|ram~4260 (
+// Equation(s):
+// \datamem|ram~4260_combout = ( \datamem|ram~3570_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3506_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3570_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3506_q ) ) ) ) # ( \datamem|ram~3570_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3378_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3442_q ))) ) ) ) # ( !\datamem|ram~3570_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3378_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3442_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3378_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3442_q ),
+ .datad(!\datamem|ram~3506_q ),
+ .datae(!\datamem|ram~3570_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4260_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4260 .extended_lut = "off";
+defparam \datamem|ram~4260 .lut_mask = 64'h4747474700CC33FF;
+defparam \datamem|ram~4260 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y13_N48
+cyclonev_lcell_comb \datamem|ram~4263 (
+// Equation(s):
+// \datamem|ram~4263_combout = ( \datamem|ram~4262_combout & ( \datamem|ram~4260_combout & ( ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4259_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4261_combout ))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~4262_combout & ( \datamem|ram~4260_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4259_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4261_combout )))) # (\alu_unit|Mux10~6_combout &
+// (!\alu_unit|Mux9~4_combout )) ) ) ) # ( \datamem|ram~4262_combout & ( !\datamem|ram~4260_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4259_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4261_combout
+// )))) # (\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout )) ) ) ) # ( !\datamem|ram~4262_combout & ( !\datamem|ram~4260_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4259_combout ))) #
+// (\alu_unit|Mux9~4_combout & (\datamem|ram~4261_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4261_combout ),
+ .datad(!\datamem|ram~4259_combout ),
+ .datae(!\datamem|ram~4262_combout ),
+ .dataf(!\datamem|ram~4260_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4263_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4263 .extended_lut = "off";
+defparam \datamem|ram~4263 .lut_mask = 64'h028A139B46CE57DF;
+defparam \datamem|ram~4263 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N6
+cyclonev_lcell_comb \datamem|ram~3410feeder (
+// Equation(s):
+// \datamem|ram~3410feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3410feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3410feeder .extended_lut = "off";
+defparam \datamem|ram~3410feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3410feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N8
+dffeas \datamem|ram~3410 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3410feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3410_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3410 .is_wysiwyg = "true";
+defparam \datamem|ram~3410 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N44
+dffeas \datamem|ram~3154 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3154_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3154 .is_wysiwyg = "true";
+defparam \datamem|ram~3154 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y19_N21
+cyclonev_lcell_comb \datamem|ram~3666feeder (
+// Equation(s):
+// \datamem|ram~3666feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3666feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3666feeder .extended_lut = "off";
+defparam \datamem|ram~3666feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3666feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y19_N22
+dffeas \datamem|ram~3666 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3666feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3666_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3666 .is_wysiwyg = "true";
+defparam \datamem|ram~3666 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N26
+dffeas \datamem|ram~3922 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3922_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3922 .is_wysiwyg = "true";
+defparam \datamem|ram~3922 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y15_N24
+cyclonev_lcell_comb \datamem|ram~4250 (
+// Equation(s):
+// \datamem|ram~4250_combout = ( \datamem|ram~3922_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3666_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~3922_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~3666_q ) ) ) ) # ( \datamem|ram~3922_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3154_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3410_q )) ) ) ) # ( !\datamem|ram~3922_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3154_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3410_q )) ) ) )
+
+ .dataa(!\datamem|ram~3410_q ),
+ .datab(!\datamem|ram~3154_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3666_q ),
+ .datae(!\datamem|ram~3922_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4250_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4250 .extended_lut = "off";
+defparam \datamem|ram~4250 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4250 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N35
+dffeas \datamem|ram~3730 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3730_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3730 .is_wysiwyg = "true";
+defparam \datamem|ram~3730 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N12
+cyclonev_lcell_comb \datamem|ram~3218feeder (
+// Equation(s):
+// \datamem|ram~3218feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3218feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3218feeder .extended_lut = "off";
+defparam \datamem|ram~3218feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3218feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N13
+dffeas \datamem|ram~3218 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3218feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3218_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3218 .is_wysiwyg = "true";
+defparam \datamem|ram~3218 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N55
+dffeas \datamem|ram~3474 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3474_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3474 .is_wysiwyg = "true";
+defparam \datamem|ram~3474 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N50
+dffeas \datamem|ram~3986 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3986_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3986 .is_wysiwyg = "true";
+defparam \datamem|ram~3986 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N48
+cyclonev_lcell_comb \datamem|ram~4251 (
+// Equation(s):
+// \datamem|ram~4251_combout = ( \datamem|ram~3986_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3474_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~3986_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~3474_q ) ) ) ) # ( \datamem|ram~3986_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3218_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3730_q )) ) ) ) # ( !\datamem|ram~3986_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3218_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3730_q )) ) ) )
+
+ .dataa(!\datamem|ram~3730_q ),
+ .datab(!\datamem|ram~3218_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3474_q ),
+ .datae(!\datamem|ram~3986_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4251_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4251 .extended_lut = "off";
+defparam \datamem|ram~4251 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4251 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N23
+dffeas \datamem|ram~3794 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3794_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3794 .is_wysiwyg = "true";
+defparam \datamem|ram~3794 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N16
+dffeas \datamem|ram~3538 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3538_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3538 .is_wysiwyg = "true";
+defparam \datamem|ram~3538 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N2
+dffeas \datamem|ram~3282 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3282_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3282 .is_wysiwyg = "true";
+defparam \datamem|ram~3282 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N8
+dffeas \datamem|ram~4050 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4050_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4050 .is_wysiwyg = "true";
+defparam \datamem|ram~4050 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N6
+cyclonev_lcell_comb \datamem|ram~4252 (
+// Equation(s):
+// \datamem|ram~4252_combout = ( \datamem|ram~4050_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3794_q ) ) ) ) # ( !\datamem|ram~4050_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3794_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4050_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3282_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3538_q )) ) ) ) # ( !\datamem|ram~4050_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3282_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3538_q )) ) ) )
+
+ .dataa(!\datamem|ram~3794_q ),
+ .datab(!\datamem|ram~3538_q ),
+ .datac(!\datamem|ram~3282_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4050_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4252_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4252 .extended_lut = "off";
+defparam \datamem|ram~4252 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4252 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N51
+cyclonev_lcell_comb \datamem|ram~3346feeder (
+// Equation(s):
+// \datamem|ram~3346feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3346feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3346feeder .extended_lut = "off";
+defparam \datamem|ram~3346feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3346feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N52
+dffeas \datamem|ram~3346 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3346feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3346_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3346 .is_wysiwyg = "true";
+defparam \datamem|ram~3346 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N26
+dffeas \datamem|ram~3090 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3090_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3090 .is_wysiwyg = "true";
+defparam \datamem|ram~3090 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N44
+dffeas \datamem|ram~3602 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3602_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3602 .is_wysiwyg = "true";
+defparam \datamem|ram~3602 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N50
+dffeas \datamem|ram~3858 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3858_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3858 .is_wysiwyg = "true";
+defparam \datamem|ram~3858 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y20_N48
+cyclonev_lcell_comb \datamem|ram~4249 (
+// Equation(s):
+// \datamem|ram~4249_combout = ( \datamem|ram~3858_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3602_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~3858_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~3602_q ) ) ) ) # ( \datamem|ram~3858_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3090_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3346_q )) ) ) ) # ( !\datamem|ram~3858_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3090_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3346_q )) ) ) )
+
+ .dataa(!\datamem|ram~3346_q ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~3090_q ),
+ .datad(!\datamem|ram~3602_q ),
+ .datae(!\datamem|ram~3858_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4249_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4249 .extended_lut = "off";
+defparam \datamem|ram~4249 .lut_mask = 64'h1D1D1D1D00CC33FF;
+defparam \datamem|ram~4249 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N0
+cyclonev_lcell_comb \datamem|ram~4253 (
+// Equation(s):
+// \datamem|ram~4253_combout = ( \datamem|ram~4252_combout & ( \datamem|ram~4249_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~4250_combout ))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~4251_combout )))) ) ) ) # ( !\datamem|ram~4252_combout & ( \datamem|ram~4249_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~4250_combout ))) # (\alu_unit|Mux11~4_combout &
+// (((\datamem|ram~4251_combout & !\alu_unit|Mux12~2_combout )))) ) ) ) # ( \datamem|ram~4252_combout & ( !\datamem|ram~4249_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~4250_combout & ((\alu_unit|Mux12~2_combout )))) #
+// (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~4251_combout )))) ) ) ) # ( !\datamem|ram~4252_combout & ( !\datamem|ram~4249_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~4250_combout &
+// ((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~4251_combout & !\alu_unit|Mux12~2_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4250_combout ),
+ .datac(!\datamem|ram~4251_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4252_combout ),
+ .dataf(!\datamem|ram~4249_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4253_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4253 .extended_lut = "off";
+defparam \datamem|ram~4253 .lut_mask = 64'h05220577AF22AF77;
+defparam \datamem|ram~4253 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N31
+dffeas \datamem|ram~3202 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3202_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3202 .is_wysiwyg = "true";
+defparam \datamem|ram~3202 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y22_N17
+dffeas \datamem|ram~3714 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3714_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3714 .is_wysiwyg = "true";
+defparam \datamem|ram~3714 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y23_N0
+cyclonev_lcell_comb \datamem|ram~3458feeder (
+// Equation(s):
+// \datamem|ram~3458feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3458feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3458feeder .extended_lut = "off";
+defparam \datamem|ram~3458feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3458feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y23_N1
+dffeas \datamem|ram~3458 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3458feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3458_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3458 .is_wysiwyg = "true";
+defparam \datamem|ram~3458 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y22_N56
+dffeas \datamem|ram~3970 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3970_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3970 .is_wysiwyg = "true";
+defparam \datamem|ram~3970 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y22_N54
+cyclonev_lcell_comb \datamem|ram~4246 (
+// Equation(s):
+// \datamem|ram~4246_combout = ( \datamem|ram~3970_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3458_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~3970_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~3458_q ) ) ) ) # ( \datamem|ram~3970_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3202_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3714_q ))) ) ) ) # ( !\datamem|ram~3970_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3202_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3714_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3202_q ),
+ .datab(!\datamem|ram~3714_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3458_q ),
+ .datae(!\datamem|ram~3970_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4246_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4246 .extended_lut = "off";
+defparam \datamem|ram~4246 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4246 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y22_N24
+cyclonev_lcell_comb \datamem|ram~3074feeder (
+// Equation(s):
+// \datamem|ram~3074feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3074feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3074feeder .extended_lut = "off";
+defparam \datamem|ram~3074feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3074feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y22_N25
+dffeas \datamem|ram~3074 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3074feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3074_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3074 .is_wysiwyg = "true";
+defparam \datamem|ram~3074 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N44
+dffeas \datamem|ram~3586 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3586_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3586 .is_wysiwyg = "true";
+defparam \datamem|ram~3586 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y5_N18
+cyclonev_lcell_comb \datamem|ram~3330feeder (
+// Equation(s):
+// \datamem|ram~3330feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3330feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3330feeder .extended_lut = "off";
+defparam \datamem|ram~3330feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3330feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N19
+dffeas \datamem|ram~3330 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3330feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3330_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3330 .is_wysiwyg = "true";
+defparam \datamem|ram~3330 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N2
+dffeas \datamem|ram~3842 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3842_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3842 .is_wysiwyg = "true";
+defparam \datamem|ram~3842 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y21_N0
+cyclonev_lcell_comb \datamem|ram~4244 (
+// Equation(s):
+// \datamem|ram~4244_combout = ( \datamem|ram~3842_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3330_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~3842_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~3330_q ) ) ) ) # ( \datamem|ram~3842_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3074_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3586_q ))) ) ) ) # ( !\datamem|ram~3842_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3074_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3586_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3074_q ),
+ .datab(!\datamem|ram~3586_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3330_q ),
+ .datae(!\datamem|ram~3842_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4244_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4244 .extended_lut = "off";
+defparam \datamem|ram~4244 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4244 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N22
+dffeas \datamem|ram~3522 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3522_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3522 .is_wysiwyg = "true";
+defparam \datamem|ram~3522 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y22_N25
+dffeas \datamem|ram~3266 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3266_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3266 .is_wysiwyg = "true";
+defparam \datamem|ram~3266 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N5
+dffeas \datamem|ram~3778 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3778_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3778 .is_wysiwyg = "true";
+defparam \datamem|ram~3778 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y19_N26
+dffeas \datamem|ram~4034 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4034_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4034 .is_wysiwyg = "true";
+defparam \datamem|ram~4034 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y19_N24
+cyclonev_lcell_comb \datamem|ram~4247 (
+// Equation(s):
+// \datamem|ram~4247_combout = ( \datamem|ram~4034_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3778_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~4034_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~3778_q ) ) ) ) # ( \datamem|ram~4034_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3266_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3522_q )) ) ) ) # ( !\datamem|ram~4034_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3266_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3522_q )) ) ) )
+
+ .dataa(!\datamem|ram~3522_q ),
+ .datab(!\datamem|ram~3266_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3778_q ),
+ .datae(!\datamem|ram~4034_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4247_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4247 .extended_lut = "off";
+defparam \datamem|ram~4247 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4247 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y17_N21
+cyclonev_lcell_comb \datamem|ram~3138feeder (
+// Equation(s):
+// \datamem|ram~3138feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3138feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3138feeder .extended_lut = "off";
+defparam \datamem|ram~3138feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3138feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N23
+dffeas \datamem|ram~3138 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3138feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3138_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3138 .is_wysiwyg = "true";
+defparam \datamem|ram~3138 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N28
+dffeas \datamem|ram~3650 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3650_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3650 .is_wysiwyg = "true";
+defparam \datamem|ram~3650 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N52
+dffeas \datamem|ram~3394 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3394_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3394 .is_wysiwyg = "true";
+defparam \datamem|ram~3394 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N56
+dffeas \datamem|ram~3906 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3906_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3906 .is_wysiwyg = "true";
+defparam \datamem|ram~3906 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y17_N54
+cyclonev_lcell_comb \datamem|ram~4245 (
+// Equation(s):
+// \datamem|ram~4245_combout = ( \datamem|ram~3906_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3650_q ) ) ) ) # ( !\datamem|ram~3906_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3650_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3906_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3138_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3394_q ))) ) ) ) # ( !\datamem|ram~3906_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3138_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3394_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3138_q ),
+ .datab(!\datamem|ram~3650_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3394_q ),
+ .datae(!\datamem|ram~3906_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4245_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4245 .extended_lut = "off";
+defparam \datamem|ram~4245 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4245 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N24
+cyclonev_lcell_comb \datamem|ram~4248 (
+// Equation(s):
+// \datamem|ram~4248_combout = ( \datamem|ram~4247_combout & ( \datamem|ram~4245_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4244_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4246_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~4247_combout & ( \datamem|ram~4245_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4244_combout )) # (\alu_unit|Mux12~2_combout ))) # (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & (\datamem|ram~4246_combout
+// ))) ) ) ) # ( \datamem|ram~4247_combout & ( !\datamem|ram~4245_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & ((\datamem|ram~4244_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~4246_combout )) #
+// (\alu_unit|Mux12~2_combout ))) ) ) ) # ( !\datamem|ram~4247_combout & ( !\datamem|ram~4245_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4244_combout ))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4246_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4246_combout ),
+ .datad(!\datamem|ram~4244_combout ),
+ .datae(!\datamem|ram~4247_combout ),
+ .dataf(!\datamem|ram~4245_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4248_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4248 .extended_lut = "off";
+defparam \datamem|ram~4248 .lut_mask = 64'h048C159D26AE37BF;
+defparam \datamem|ram~4248 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N12
+cyclonev_lcell_comb \datamem|ram~4264 (
+// Equation(s):
+// \datamem|ram~4264_combout = ( \datamem|ram~4253_combout & ( \datamem|ram~4248_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4258_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4263_combout )))) ) )
+// ) # ( !\datamem|ram~4253_combout & ( \datamem|ram~4248_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4258_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~4263_combout
+// )))) ) ) ) # ( \datamem|ram~4253_combout & ( !\datamem|ram~4248_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~4258_combout ))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) #
+// ((\datamem|ram~4263_combout )))) ) ) ) # ( !\datamem|ram~4253_combout & ( !\datamem|ram~4248_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4258_combout )) # (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~4263_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4258_combout ),
+ .datad(!\datamem|ram~4263_combout ),
+ .datae(!\datamem|ram~4253_combout ),
+ .dataf(!\datamem|ram~4248_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4264_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4264 .extended_lut = "off";
+defparam \datamem|ram~4264 .lut_mask = 64'h021346578A9BCEDF;
+defparam \datamem|ram~4264 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N49
+dffeas \datamem|ram~1122 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1122_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1122 .is_wysiwyg = "true";
+defparam \datamem|ram~1122 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N58
+dffeas \datamem|ram~1090 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1090_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1090 .is_wysiwyg = "true";
+defparam \datamem|ram~1090 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N33
+cyclonev_lcell_comb \datamem|ram~1138feeder (
+// Equation(s):
+// \datamem|ram~1138feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1138feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1138feeder .extended_lut = "off";
+defparam \datamem|ram~1138feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1138feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N35
+dffeas \datamem|ram~1138 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1138feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1138_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1138 .is_wysiwyg = "true";
+defparam \datamem|ram~1138 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y14_N14
+dffeas \datamem|ram~1106 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1106_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1106 .is_wysiwyg = "true";
+defparam \datamem|ram~1106 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y14_N12
+cyclonev_lcell_comb \datamem|ram~4207 (
+// Equation(s):
+// \datamem|ram~4207_combout = ( \datamem|ram~1106_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1122_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1138_q ))) ) ) ) # ( !\datamem|ram~1106_q & (
+// \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1122_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1138_q ))) ) ) ) # ( \datamem|ram~1106_q & ( !\alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~1090_q ) ) ) ) # ( !\datamem|ram~1106_q & ( !\alu_unit|Mux13~4_combout & ( (\datamem|ram~1090_q & !\alu_unit|Mux14~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1122_q ),
+ .datab(!\datamem|ram~1090_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1138_q ),
+ .datae(!\datamem|ram~1106_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4207_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4207 .extended_lut = "off";
+defparam \datamem|ram~4207 .lut_mask = 64'h30303F3F505F505F;
+defparam \datamem|ram~4207 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N5
+dffeas \datamem|ram~1858 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1858_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1858 .is_wysiwyg = "true";
+defparam \datamem|ram~1858 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N11
+dffeas \datamem|ram~1874 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1874_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1874 .is_wysiwyg = "true";
+defparam \datamem|ram~1874 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N52
+dffeas \datamem|ram~1890 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1890_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1890 .is_wysiwyg = "true";
+defparam \datamem|ram~1890 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N26
+dffeas \datamem|ram~1906 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1906_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1906 .is_wysiwyg = "true";
+defparam \datamem|ram~1906 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N24
+cyclonev_lcell_comb \datamem|ram~4210 (
+// Equation(s):
+// \datamem|ram~4210_combout = ( \datamem|ram~1906_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1874_q ) ) ) ) # ( !\datamem|ram~1906_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1874_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1906_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1858_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1890_q ))) ) ) ) # ( !\datamem|ram~1906_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1858_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1890_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1858_q ),
+ .datab(!\datamem|ram~1874_q ),
+ .datac(!\datamem|ram~1890_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1906_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4210_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4210 .extended_lut = "off";
+defparam \datamem|ram~4210 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4210 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N51
+cyclonev_lcell_comb \datamem|ram~1378feeder (
+// Equation(s):
+// \datamem|ram~1378feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1378feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1378feeder .extended_lut = "off";
+defparam \datamem|ram~1378feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1378feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N53
+dffeas \datamem|ram~1378 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1378feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1378_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1378 .is_wysiwyg = "true";
+defparam \datamem|ram~1378 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N47
+dffeas \datamem|ram~1346 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1346_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1346 .is_wysiwyg = "true";
+defparam \datamem|ram~1346 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N32
+dffeas \datamem|ram~1394 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1394_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1394 .is_wysiwyg = "true";
+defparam \datamem|ram~1394 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N8
+dffeas \datamem|ram~1362 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1362_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1362 .is_wysiwyg = "true";
+defparam \datamem|ram~1362 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N6
+cyclonev_lcell_comb \datamem|ram~4208 (
+// Equation(s):
+// \datamem|ram~4208_combout = ( \datamem|ram~1362_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1394_q ) ) ) ) # ( !\datamem|ram~1362_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1394_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1362_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1346_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1378_q )) ) ) ) # ( !\datamem|ram~1362_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1346_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1378_q )) ) ) )
+
+ .dataa(!\datamem|ram~1378_q ),
+ .datab(!\datamem|ram~1346_q ),
+ .datac(!\datamem|ram~1394_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1362_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4208_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4208 .extended_lut = "off";
+defparam \datamem|ram~4208 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~4208 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N21
+cyclonev_lcell_comb \datamem|ram~1634feeder (
+// Equation(s):
+// \datamem|ram~1634feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1634feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1634feeder .extended_lut = "off";
+defparam \datamem|ram~1634feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1634feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N23
+dffeas \datamem|ram~1634 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1634feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1634_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1634 .is_wysiwyg = "true";
+defparam \datamem|ram~1634 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N10
+dffeas \datamem|ram~1618 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1618_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1618 .is_wysiwyg = "true";
+defparam \datamem|ram~1618 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N15
+cyclonev_lcell_comb \datamem|ram~1602feeder (
+// Equation(s):
+// \datamem|ram~1602feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1602feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1602feeder .extended_lut = "off";
+defparam \datamem|ram~1602feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1602feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N17
+dffeas \datamem|ram~1602 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1602feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1602_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1602 .is_wysiwyg = "true";
+defparam \datamem|ram~1602 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N2
+dffeas \datamem|ram~1650 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1650_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1650 .is_wysiwyg = "true";
+defparam \datamem|ram~1650 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N0
+cyclonev_lcell_comb \datamem|ram~4209 (
+// Equation(s):
+// \datamem|ram~4209_combout = ( \datamem|ram~1650_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1634_q ) ) ) ) # ( !\datamem|ram~1650_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1634_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1650_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1602_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1618_q )) ) ) ) # ( !\datamem|ram~1650_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1602_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1618_q )) ) ) )
+
+ .dataa(!\datamem|ram~1634_q ),
+ .datab(!\datamem|ram~1618_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1602_q ),
+ .datae(!\datamem|ram~1650_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4209_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4209 .extended_lut = "off";
+defparam \datamem|ram~4209 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4209 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N54
+cyclonev_lcell_comb \datamem|ram~4211 (
+// Equation(s):
+// \datamem|ram~4211_combout = ( \datamem|ram~4208_combout & ( \datamem|ram~4209_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~4207_combout ))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) #
+// (\datamem|ram~4210_combout )))) ) ) ) # ( !\datamem|ram~4208_combout & ( \datamem|ram~4209_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~4207_combout ))) # (\alu_unit|Mux10~6_combout &
+// (((\datamem|ram~4210_combout & \alu_unit|Mux9~4_combout )))) ) ) ) # ( \datamem|ram~4208_combout & ( !\datamem|ram~4209_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~4207_combout & ((!\alu_unit|Mux9~4_combout )))) #
+// (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) # (\datamem|ram~4210_combout )))) ) ) ) # ( !\datamem|ram~4208_combout & ( !\datamem|ram~4209_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~4207_combout &
+// ((!\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4210_combout & \alu_unit|Mux9~4_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\datamem|ram~4207_combout ),
+ .datac(!\datamem|ram~4210_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4208_combout ),
+ .dataf(!\datamem|ram~4209_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4211_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4211 .extended_lut = "off";
+defparam \datamem|ram~4211 .lut_mask = 64'h2205770522AF77AF;
+defparam \datamem|ram~4211 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N18
+cyclonev_lcell_comb \datamem|ram~1186feeder (
+// Equation(s):
+// \datamem|ram~1186feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1186feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1186feeder .extended_lut = "off";
+defparam \datamem|ram~1186feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1186feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N19
+dffeas \datamem|ram~1186 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1186feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1186_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1186 .is_wysiwyg = "true";
+defparam \datamem|ram~1186 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y23_N15
+cyclonev_lcell_comb \datamem|ram~1442feeder (
+// Equation(s):
+// \datamem|ram~1442feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1442feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1442feeder .extended_lut = "off";
+defparam \datamem|ram~1442feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1442feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N16
+dffeas \datamem|ram~1442 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1442feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1442_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1442 .is_wysiwyg = "true";
+defparam \datamem|ram~1442 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N0
+cyclonev_lcell_comb \datamem|ram~1698feeder (
+// Equation(s):
+// \datamem|ram~1698feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1698feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1698feeder .extended_lut = "off";
+defparam \datamem|ram~1698feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1698feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N1
+dffeas \datamem|ram~1698 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1698feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1698_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1698 .is_wysiwyg = "true";
+defparam \datamem|ram~1698 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N53
+dffeas \datamem|ram~1954 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1954_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1954 .is_wysiwyg = "true";
+defparam \datamem|ram~1954 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y12_N51
+cyclonev_lcell_comb \datamem|ram~4214 (
+// Equation(s):
+// \datamem|ram~4214_combout = ( \datamem|ram~1954_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1698_q ) ) ) ) # ( !\datamem|ram~1954_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1698_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1954_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1186_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1442_q ))) ) ) ) # ( !\datamem|ram~1954_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1186_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1442_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1186_q ),
+ .datab(!\datamem|ram~1442_q ),
+ .datac(!\datamem|ram~1698_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1954_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4214_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4214 .extended_lut = "off";
+defparam \datamem|ram~4214 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4214 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N19
+dffeas \datamem|ram~1714 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1714_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1714 .is_wysiwyg = "true";
+defparam \datamem|ram~1714 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N51
+cyclonev_lcell_comb \datamem|ram~1970feeder (
+// Equation(s):
+// \datamem|ram~1970feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1970feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1970feeder .extended_lut = "off";
+defparam \datamem|ram~1970feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1970feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y12_N52
+dffeas \datamem|ram~1970 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1970feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1970_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1970 .is_wysiwyg = "true";
+defparam \datamem|ram~1970 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N19
+dffeas \datamem|ram~1202 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1202_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1202 .is_wysiwyg = "true";
+defparam \datamem|ram~1202 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y16_N0
+cyclonev_lcell_comb \datamem|ram~1458feeder (
+// Equation(s):
+// \datamem|ram~1458feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1458feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1458feeder .extended_lut = "off";
+defparam \datamem|ram~1458feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1458feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N1
+dffeas \datamem|ram~1458 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1458feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1458_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1458 .is_wysiwyg = "true";
+defparam \datamem|ram~1458 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N15
+cyclonev_lcell_comb \datamem|ram~4215 (
+// Equation(s):
+// \datamem|ram~4215_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~1970_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~1714_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~1458_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~1202_q ) ) )
+
+ .dataa(!\datamem|ram~1714_q ),
+ .datab(!\datamem|ram~1970_q ),
+ .datac(!\datamem|ram~1202_q ),
+ .datad(!\datamem|ram~1458_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4215_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4215 .extended_lut = "off";
+defparam \datamem|ram~4215 .lut_mask = 64'h0F0F00FF55553333;
+defparam \datamem|ram~4215 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N6
+cyclonev_lcell_comb \datamem|ram~1682feeder (
+// Equation(s):
+// \datamem|ram~1682feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1682feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1682feeder .extended_lut = "off";
+defparam \datamem|ram~1682feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1682feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N7
+dffeas \datamem|ram~1682 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1682feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1682_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1682 .is_wysiwyg = "true";
+defparam \datamem|ram~1682 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N55
+dffeas \datamem|ram~1426 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1426_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1426 .is_wysiwyg = "true";
+defparam \datamem|ram~1426 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N17
+dffeas \datamem|ram~1170 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1170_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1170 .is_wysiwyg = "true";
+defparam \datamem|ram~1170 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y11_N5
+dffeas \datamem|ram~1938 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1938_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1938 .is_wysiwyg = "true";
+defparam \datamem|ram~1938 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y11_N3
+cyclonev_lcell_comb \datamem|ram~4213 (
+// Equation(s):
+// \datamem|ram~4213_combout = ( \datamem|ram~1938_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1426_q ) ) ) ) # ( !\datamem|ram~1938_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1426_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1938_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1170_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1682_q )) ) ) ) # ( !\datamem|ram~1938_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1170_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1682_q )) ) ) )
+
+ .dataa(!\datamem|ram~1682_q ),
+ .datab(!\datamem|ram~1426_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1170_q ),
+ .datae(!\datamem|ram~1938_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4213_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4213 .extended_lut = "off";
+defparam \datamem|ram~4213 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4213 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y18_N42
+cyclonev_lcell_comb \datamem|ram~1410feeder (
+// Equation(s):
+// \datamem|ram~1410feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1410feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1410feeder .extended_lut = "off";
+defparam \datamem|ram~1410feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1410feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N44
+dffeas \datamem|ram~1410 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1410feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1410_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1410 .is_wysiwyg = "true";
+defparam \datamem|ram~1410 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N41
+dffeas \datamem|ram~1666 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1666_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1666 .is_wysiwyg = "true";
+defparam \datamem|ram~1666 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N38
+dffeas \datamem|ram~1154 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1154_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1154 .is_wysiwyg = "true";
+defparam \datamem|ram~1154 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N20
+dffeas \datamem|ram~1922 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1922_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1922 .is_wysiwyg = "true";
+defparam \datamem|ram~1922 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N18
+cyclonev_lcell_comb \datamem|ram~4212 (
+// Equation(s):
+// \datamem|ram~4212_combout = ( \datamem|ram~1922_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1666_q ) ) ) ) # ( !\datamem|ram~1922_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1666_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1922_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1154_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1410_q )) ) ) ) # ( !\datamem|ram~1922_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1154_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1410_q )) ) ) )
+
+ .dataa(!\datamem|ram~1410_q ),
+ .datab(!\datamem|ram~1666_q ),
+ .datac(!\datamem|ram~1154_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1922_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4212_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4212 .extended_lut = "off";
+defparam \datamem|ram~4212 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4212 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N48
+cyclonev_lcell_comb \datamem|ram~4216 (
+// Equation(s):
+// \datamem|ram~4216_combout = ( \datamem|ram~4213_combout & ( \datamem|ram~4212_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4214_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4215_combout )))) ) )
+// ) # ( !\datamem|ram~4213_combout & ( \datamem|ram~4212_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4214_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~4215_combout
+// )))) ) ) ) # ( \datamem|ram~4213_combout & ( !\datamem|ram~4212_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~4214_combout ))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) #
+// ((\datamem|ram~4215_combout )))) ) ) ) # ( !\datamem|ram~4213_combout & ( !\datamem|ram~4212_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4214_combout )) # (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~4215_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4214_combout ),
+ .datad(!\datamem|ram~4215_combout ),
+ .datae(!\datamem|ram~4213_combout ),
+ .dataf(!\datamem|ram~4212_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4216_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4216 .extended_lut = "off";
+defparam \datamem|ram~4216 .lut_mask = 64'h021346578A9BCEDF;
+defparam \datamem|ram~4216 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N19
+dffeas \datamem|ram~1506 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1506_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1506 .is_wysiwyg = "true";
+defparam \datamem|ram~1506 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N40
+dffeas \datamem|ram~1474 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1474_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1474 .is_wysiwyg = "true";
+defparam \datamem|ram~1474 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N48
+cyclonev_lcell_comb \datamem|ram~1490feeder (
+// Equation(s):
+// \datamem|ram~1490feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1490feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1490feeder .extended_lut = "off";
+defparam \datamem|ram~1490feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1490feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N49
+dffeas \datamem|ram~1490 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1490feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1490_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1490 .is_wysiwyg = "true";
+defparam \datamem|ram~1490 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y12_N26
+dffeas \datamem|ram~1522 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1522_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1522 .is_wysiwyg = "true";
+defparam \datamem|ram~1522 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y12_N24
+cyclonev_lcell_comb \datamem|ram~4218 (
+// Equation(s):
+// \datamem|ram~4218_combout = ( \datamem|ram~1522_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1490_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~1522_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~1490_q ) ) ) ) # ( \datamem|ram~1522_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1474_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1506_q )) ) ) ) # ( !\datamem|ram~1522_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1474_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1506_q )) ) ) )
+
+ .dataa(!\datamem|ram~1506_q ),
+ .datab(!\datamem|ram~1474_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1490_q ),
+ .datae(!\datamem|ram~1522_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4218_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4218 .extended_lut = "off";
+defparam \datamem|ram~4218 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4218 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N43
+dffeas \datamem|ram~2018 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2018_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2018 .is_wysiwyg = "true";
+defparam \datamem|ram~2018 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y12_N22
+dffeas \datamem|ram~1986 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1986_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1986 .is_wysiwyg = "true";
+defparam \datamem|ram~1986 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N10
+dffeas \datamem|ram~2002 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2002_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2002 .is_wysiwyg = "true";
+defparam \datamem|ram~2002 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y12_N14
+dffeas \datamem|ram~2034 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2034_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2034 .is_wysiwyg = "true";
+defparam \datamem|ram~2034 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y12_N12
+cyclonev_lcell_comb \datamem|ram~4220 (
+// Equation(s):
+// \datamem|ram~4220_combout = ( \datamem|ram~2034_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2002_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2034_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2002_q ) ) ) ) # ( \datamem|ram~2034_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1986_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2018_q )) ) ) ) # ( !\datamem|ram~2034_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1986_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2018_q )) ) ) )
+
+ .dataa(!\datamem|ram~2018_q ),
+ .datab(!\datamem|ram~1986_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2002_q ),
+ .datae(!\datamem|ram~2034_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4220_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4220 .extended_lut = "off";
+defparam \datamem|ram~4220 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4220 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y13_N19
+dffeas \datamem|ram~1266 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1266_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1266 .is_wysiwyg = "true";
+defparam \datamem|ram~1266 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N22
+dffeas \datamem|ram~1250 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1250_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1250 .is_wysiwyg = "true";
+defparam \datamem|ram~1250 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N43
+dffeas \datamem|ram~1234 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1234_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1234 .is_wysiwyg = "true";
+defparam \datamem|ram~1234 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y13_N7
+dffeas \datamem|ram~1218 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1218_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1218 .is_wysiwyg = "true";
+defparam \datamem|ram~1218 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y12_N54
+cyclonev_lcell_comb \datamem|ram~4217 (
+// Equation(s):
+// \datamem|ram~4217_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1266_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1234_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~1250_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~1218_q ) ) )
+
+ .dataa(!\datamem|ram~1266_q ),
+ .datab(!\datamem|ram~1250_q ),
+ .datac(!\datamem|ram~1234_q ),
+ .datad(!\datamem|ram~1218_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4217_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4217 .extended_lut = "off";
+defparam \datamem|ram~4217 .lut_mask = 64'h00FF33330F0F5555;
+defparam \datamem|ram~4217 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N46
+dffeas \datamem|ram~1746 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1746_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1746 .is_wysiwyg = "true";
+defparam \datamem|ram~1746 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N22
+dffeas \datamem|ram~1730 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1730_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1730 .is_wysiwyg = "true";
+defparam \datamem|ram~1730 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N28
+dffeas \datamem|ram~1762 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1762_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1762 .is_wysiwyg = "true";
+defparam \datamem|ram~1762 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y13_N38
+dffeas \datamem|ram~1778 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1778_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1778 .is_wysiwyg = "true";
+defparam \datamem|ram~1778 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y13_N36
+cyclonev_lcell_comb \datamem|ram~4219 (
+// Equation(s):
+// \datamem|ram~4219_combout = ( \datamem|ram~1778_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1762_q ) ) ) ) # ( !\datamem|ram~1778_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1762_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1778_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1730_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1746_q )) ) ) ) # ( !\datamem|ram~1778_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1730_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1746_q )) ) ) )
+
+ .dataa(!\datamem|ram~1746_q ),
+ .datab(!\datamem|ram~1730_q ),
+ .datac(!\datamem|ram~1762_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1778_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4219_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4219 .extended_lut = "off";
+defparam \datamem|ram~4219 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4219 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y12_N3
+cyclonev_lcell_comb \datamem|ram~4221 (
+// Equation(s):
+// \datamem|ram~4221_combout = ( \datamem|ram~4217_combout & ( \datamem|ram~4219_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4218_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4220_combout )))) ) ) )
+// # ( !\datamem|ram~4217_combout & ( \datamem|ram~4219_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4218_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4220_combout ))))) ) ) ) # ( \datamem|ram~4217_combout & ( !\datamem|ram~4219_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4218_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4220_combout ))))) ) ) ) # ( !\datamem|ram~4217_combout & ( !\datamem|ram~4219_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4218_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4220_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4218_combout ),
+ .datab(!\datamem|ram~4220_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4217_combout ),
+ .dataf(!\datamem|ram~4219_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4221_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4221 .extended_lut = "off";
+defparam \datamem|ram~4221 .lut_mask = 64'h0503F50305F3F5F3;
+defparam \datamem|ram~4221 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N23
+dffeas \datamem|ram~1314 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1314_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1314 .is_wysiwyg = "true";
+defparam \datamem|ram~1314 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N49
+dffeas \datamem|ram~1282 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1282_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1282 .is_wysiwyg = "true";
+defparam \datamem|ram~1282 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y18_N33
+cyclonev_lcell_comb \datamem|ram~1330feeder (
+// Equation(s):
+// \datamem|ram~1330feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1330feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1330feeder .extended_lut = "off";
+defparam \datamem|ram~1330feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1330feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y18_N34
+dffeas \datamem|ram~1330 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1330feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1330_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1330 .is_wysiwyg = "true";
+defparam \datamem|ram~1330 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N2
+dffeas \datamem|ram~1298 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1298_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1298 .is_wysiwyg = "true";
+defparam \datamem|ram~1298 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y16_N0
+cyclonev_lcell_comb \datamem|ram~4203 (
+// Equation(s):
+// \datamem|ram~4203_combout = ( \datamem|ram~1298_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1330_q ) ) ) ) # ( !\datamem|ram~1298_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1330_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1298_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1282_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1314_q )) ) ) ) # ( !\datamem|ram~1298_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1282_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1314_q )) ) ) )
+
+ .dataa(!\datamem|ram~1314_q ),
+ .datab(!\datamem|ram~1282_q ),
+ .datac(!\datamem|ram~1330_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1298_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4203_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4203 .extended_lut = "off";
+defparam \datamem|ram~4203 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~4203 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N13
+dffeas \datamem|ram~1810 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1810_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1810 .is_wysiwyg = "true";
+defparam \datamem|ram~1810 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N51
+cyclonev_lcell_comb \datamem|ram~1826feeder (
+// Equation(s):
+// \datamem|ram~1826feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1826feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1826feeder .extended_lut = "off";
+defparam \datamem|ram~1826feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1826feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N52
+dffeas \datamem|ram~1826 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1826feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1826_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1826 .is_wysiwyg = "true";
+defparam \datamem|ram~1826 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y18_N3
+cyclonev_lcell_comb \datamem|ram~1794feeder (
+// Equation(s):
+// \datamem|ram~1794feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1794feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1794feeder .extended_lut = "off";
+defparam \datamem|ram~1794feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1794feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N5
+dffeas \datamem|ram~1794 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1794feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1794_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1794 .is_wysiwyg = "true";
+defparam \datamem|ram~1794 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N53
+dffeas \datamem|ram~1842 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1842_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1842 .is_wysiwyg = "true";
+defparam \datamem|ram~1842 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y16_N51
+cyclonev_lcell_comb \datamem|ram~4205 (
+// Equation(s):
+// \datamem|ram~4205_combout = ( \datamem|ram~1842_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1826_q ) ) ) ) # ( !\datamem|ram~1842_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1826_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1842_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1794_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1810_q )) ) ) ) # ( !\datamem|ram~1842_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1794_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1810_q )) ) ) )
+
+ .dataa(!\datamem|ram~1810_q ),
+ .datab(!\datamem|ram~1826_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1794_q ),
+ .datae(!\datamem|ram~1842_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4205_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4205 .extended_lut = "off";
+defparam \datamem|ram~4205 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4205 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N2
+dffeas \datamem|ram~1074 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1074_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1074 .is_wysiwyg = "true";
+defparam \datamem|ram~1074 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y11_N45
+cyclonev_lcell_comb \datamem|ram~1058feeder (
+// Equation(s):
+// \datamem|ram~1058feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1058feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1058feeder .extended_lut = "off";
+defparam \datamem|ram~1058feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1058feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N47
+dffeas \datamem|ram~1058 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1058feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1058_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1058 .is_wysiwyg = "true";
+defparam \datamem|ram~1058 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y10_N39
+cyclonev_lcell_comb \datamem|ram~1026feeder (
+// Equation(s):
+// \datamem|ram~1026feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1026feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1026feeder .extended_lut = "off";
+defparam \datamem|ram~1026feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1026feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y10_N41
+dffeas \datamem|ram~1026 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1026feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1026_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1026 .is_wysiwyg = "true";
+defparam \datamem|ram~1026 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N32
+dffeas \datamem|ram~1042 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1042_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1042 .is_wysiwyg = "true";
+defparam \datamem|ram~1042 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y11_N30
+cyclonev_lcell_comb \datamem|ram~4202 (
+// Equation(s):
+// \datamem|ram~4202_combout = ( \datamem|ram~1042_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1058_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1074_q )) ) ) ) # ( !\datamem|ram~1042_q & (
+// \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1058_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1074_q )) ) ) ) # ( \datamem|ram~1042_q & ( !\alu_unit|Mux13~4_combout & ( (\datamem|ram~1026_q ) #
+// (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~1042_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & \datamem|ram~1026_q ) ) ) )
+
+ .dataa(!\datamem|ram~1074_q ),
+ .datab(!\datamem|ram~1058_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1026_q ),
+ .datae(!\datamem|ram~1042_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4202_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4202 .extended_lut = "off";
+defparam \datamem|ram~4202 .lut_mask = 64'h00F00FFF35353535;
+defparam \datamem|ram~4202 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N9
+cyclonev_lcell_comb \datamem|ram~1570feeder (
+// Equation(s):
+// \datamem|ram~1570feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1570feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1570feeder .extended_lut = "off";
+defparam \datamem|ram~1570feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1570feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N10
+dffeas \datamem|ram~1570 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1570feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1570_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1570 .is_wysiwyg = "true";
+defparam \datamem|ram~1570 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y8_N36
+cyclonev_lcell_comb \datamem|ram~1538feeder (
+// Equation(s):
+// \datamem|ram~1538feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1538feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1538feeder .extended_lut = "off";
+defparam \datamem|ram~1538feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1538feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y8_N37
+dffeas \datamem|ram~1538 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1538feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1538_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1538 .is_wysiwyg = "true";
+defparam \datamem|ram~1538 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N58
+dffeas \datamem|ram~1554 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1554_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1554 .is_wysiwyg = "true";
+defparam \datamem|ram~1554 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N32
+dffeas \datamem|ram~1586 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1586_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1586 .is_wysiwyg = "true";
+defparam \datamem|ram~1586 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N30
+cyclonev_lcell_comb \datamem|ram~4204 (
+// Equation(s):
+// \datamem|ram~4204_combout = ( \datamem|ram~1586_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1554_q ) ) ) ) # ( !\datamem|ram~1586_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1554_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1586_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1538_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1570_q )) ) ) ) # ( !\datamem|ram~1586_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1538_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1570_q )) ) ) )
+
+ .dataa(!\datamem|ram~1570_q ),
+ .datab(!\datamem|ram~1538_q ),
+ .datac(!\datamem|ram~1554_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1586_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4204_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4204 .extended_lut = "off";
+defparam \datamem|ram~4204 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4204 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y16_N27
+cyclonev_lcell_comb \datamem|ram~4206 (
+// Equation(s):
+// \datamem|ram~4206_combout = ( \datamem|ram~4202_combout & ( \datamem|ram~4204_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4203_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4205_combout )))) ) ) )
+// # ( !\datamem|ram~4202_combout & ( \datamem|ram~4204_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~4203_combout & ((\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4205_combout
+// )))) ) ) ) # ( \datamem|ram~4202_combout & ( !\datamem|ram~4204_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~4203_combout ))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~4205_combout &
+// \alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4202_combout & ( !\datamem|ram~4204_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4203_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4205_combout
+// ))))) ) ) )
+
+ .dataa(!\datamem|ram~4203_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4205_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4202_combout ),
+ .dataf(!\datamem|ram~4204_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4206_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4206 .extended_lut = "off";
+defparam \datamem|ram~4206 .lut_mask = 64'h0047CC473347FF47;
+defparam \datamem|ram~4206 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N36
+cyclonev_lcell_comb \datamem|ram~4222 (
+// Equation(s):
+// \datamem|ram~4222_combout = ( \datamem|ram~4221_combout & ( \datamem|ram~4206_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) # ((\datamem|ram~4211_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~4216_combout )) #
+// (\alu_unit|Mux12~2_combout ))) ) ) ) # ( !\datamem|ram~4221_combout & ( \datamem|ram~4206_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) # ((\datamem|ram~4211_combout )))) # (\alu_unit|Mux11~4_combout &
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~4216_combout )))) ) ) ) # ( \datamem|ram~4221_combout & ( !\datamem|ram~4206_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & (\datamem|ram~4211_combout ))) #
+// (\alu_unit|Mux11~4_combout & (((\datamem|ram~4216_combout )) # (\alu_unit|Mux12~2_combout ))) ) ) ) # ( !\datamem|ram~4221_combout & ( !\datamem|ram~4206_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4211_combout ))) # (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & ((\datamem|ram~4216_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4211_combout ),
+ .datad(!\datamem|ram~4216_combout ),
+ .datae(!\datamem|ram~4221_combout ),
+ .dataf(!\datamem|ram~4206_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4222_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4222 .extended_lut = "off";
+defparam \datamem|ram~4222 .lut_mask = 64'h024613578ACE9BDF;
+defparam \datamem|ram~4222 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N16
+dffeas \datamem|ram~946 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~946_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~946 .is_wysiwyg = "true";
+defparam \datamem|ram~946 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N52
+dffeas \datamem|ram~882 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~882_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~882 .is_wysiwyg = "true";
+defparam \datamem|ram~882 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y5_N39
+cyclonev_lcell_comb \datamem|ram~818feeder (
+// Equation(s):
+// \datamem|ram~818feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~818feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~818feeder .extended_lut = "off";
+defparam \datamem|ram~818feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~818feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N40
+dffeas \datamem|ram~818 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~818feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~818_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~818 .is_wysiwyg = "true";
+defparam \datamem|ram~818 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y9_N26
+dffeas \datamem|ram~1010 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1010_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1010 .is_wysiwyg = "true";
+defparam \datamem|ram~1010 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y9_N24
+cyclonev_lcell_comb \datamem|ram~4199 (
+// Equation(s):
+// \datamem|ram~4199_combout = ( \datamem|ram~1010_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~882_q ) # (\alu_unit|Mux11~4_combout ) ) ) ) # ( !\datamem|ram~1010_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout &
+// \datamem|ram~882_q ) ) ) ) # ( \datamem|ram~1010_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~818_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~946_q )) ) ) ) # ( !\datamem|ram~1010_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~818_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~946_q )) ) ) )
+
+ .dataa(!\datamem|ram~946_q ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~882_q ),
+ .datad(!\datamem|ram~818_q ),
+ .datae(!\datamem|ram~1010_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4199_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4199 .extended_lut = "off";
+defparam \datamem|ram~4199 .lut_mask = 64'h11DD11DD0C0C3F3F;
+defparam \datamem|ram~4199 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N14
+dffeas \datamem|ram~50 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~50_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~50 .is_wysiwyg = "true";
+defparam \datamem|ram~50 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N4
+dffeas \datamem|ram~178 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~178_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~178 .is_wysiwyg = "true";
+defparam \datamem|ram~178 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N34
+dffeas \datamem|ram~114 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~114_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~114 .is_wysiwyg = "true";
+defparam \datamem|ram~114 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y9_N2
+dffeas \datamem|ram~242 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~242_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~242 .is_wysiwyg = "true";
+defparam \datamem|ram~242 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y9_N0
+cyclonev_lcell_comb \datamem|ram~4196 (
+// Equation(s):
+// \datamem|ram~4196_combout = ( \datamem|ram~242_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~114_q ) ) ) ) # ( !\datamem|ram~242_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~114_q & !\alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~242_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~50_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~178_q ))) ) ) ) # ( !\datamem|ram~242_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & (\datamem|ram~50_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~178_q ))) ) ) )
+
+ .dataa(!\datamem|ram~50_q ),
+ .datab(!\datamem|ram~178_q ),
+ .datac(!\datamem|ram~114_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~242_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4196_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4196 .extended_lut = "off";
+defparam \datamem|ram~4196 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4196 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N44
+dffeas \datamem|ram~626 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~626_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~626 .is_wysiwyg = "true";
+defparam \datamem|ram~626 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N25
+dffeas \datamem|ram~562 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~562_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~562 .is_wysiwyg = "true";
+defparam \datamem|ram~562 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N17
+dffeas \datamem|ram~690 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~690_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~690 .is_wysiwyg = "true";
+defparam \datamem|ram~690 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y9_N56
+dffeas \datamem|ram~754 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~754_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~754 .is_wysiwyg = "true";
+defparam \datamem|ram~754 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N54
+cyclonev_lcell_comb \datamem|ram~4198 (
+// Equation(s):
+// \datamem|ram~4198_combout = ( \datamem|ram~754_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~626_q ) ) ) ) # ( !\datamem|ram~754_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~626_q & !\alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~754_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~562_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~690_q ))) ) ) ) # ( !\datamem|ram~754_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & (\datamem|ram~562_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~690_q ))) ) ) )
+
+ .dataa(!\datamem|ram~626_q ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~562_q ),
+ .datad(!\datamem|ram~690_q ),
+ .datae(!\datamem|ram~754_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4198_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4198 .extended_lut = "off";
+defparam \datamem|ram~4198 .lut_mask = 64'h0C3F0C3F44447777;
+defparam \datamem|ram~4198 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N10
+dffeas \datamem|ram~370 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~370_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~370 .is_wysiwyg = "true";
+defparam \datamem|ram~370 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N34
+dffeas \datamem|ram~434 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~434_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~434 .is_wysiwyg = "true";
+defparam \datamem|ram~434 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y7_N21
+cyclonev_lcell_comb \datamem|ram~306feeder (
+// Equation(s):
+// \datamem|ram~306feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~306feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~306feeder .extended_lut = "off";
+defparam \datamem|ram~306feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~306feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N22
+dffeas \datamem|ram~306 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~306feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~306_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~306 .is_wysiwyg = "true";
+defparam \datamem|ram~306 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y9_N44
+dffeas \datamem|ram~498 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~498_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~498 .is_wysiwyg = "true";
+defparam \datamem|ram~498 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y9_N42
+cyclonev_lcell_comb \datamem|ram~4197 (
+// Equation(s):
+// \datamem|ram~4197_combout = ( \datamem|ram~498_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~370_q ) ) ) ) # ( !\datamem|ram~498_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~370_q & !\alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~498_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~306_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~434_q )) ) ) ) # ( !\datamem|ram~498_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~306_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~434_q )) ) ) )
+
+ .dataa(!\datamem|ram~370_q ),
+ .datab(!\datamem|ram~434_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~306_q ),
+ .datae(!\datamem|ram~498_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4197_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4197 .extended_lut = "off";
+defparam \datamem|ram~4197 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4197 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y9_N12
+cyclonev_lcell_comb \datamem|ram~4200 (
+// Equation(s):
+// \datamem|ram~4200_combout = ( \datamem|ram~4198_combout & ( \datamem|ram~4197_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) # (\datamem|ram~4196_combout )))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )) #
+// (\datamem|ram~4199_combout ))) ) ) ) # ( !\datamem|ram~4198_combout & ( \datamem|ram~4197_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4196_combout & !\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout &
+// (((!\alu_unit|Mux9~4_combout )) # (\datamem|ram~4199_combout ))) ) ) ) # ( \datamem|ram~4198_combout & ( !\datamem|ram~4197_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) # (\datamem|ram~4196_combout )))) #
+// (\alu_unit|Mux10~6_combout & (\datamem|ram~4199_combout & ((\alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~4198_combout & ( !\datamem|ram~4197_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4196_combout & !\alu_unit|Mux9~4_combout
+// )))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4199_combout & ((\alu_unit|Mux9~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4199_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4196_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4198_combout ),
+ .dataf(!\datamem|ram~4197_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4200_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4200 .extended_lut = "off";
+defparam \datamem|ram~4200 .lut_mask = 64'h0C110CDD3F113FDD;
+defparam \datamem|ram~4200 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N22
+dffeas \datamem|ram~962 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~962_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~962 .is_wysiwyg = "true";
+defparam \datamem|ram~962 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y5_N57
+cyclonev_lcell_comb \datamem|ram~194feeder (
+// Equation(s):
+// \datamem|ram~194feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~194feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~194feeder .extended_lut = "off";
+defparam \datamem|ram~194feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~194feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y5_N58
+dffeas \datamem|ram~194 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~194feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~194_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~194 .is_wysiwyg = "true";
+defparam \datamem|ram~194 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N37
+dffeas \datamem|ram~450 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~450_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~450 .is_wysiwyg = "true";
+defparam \datamem|ram~450 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y9_N9
+cyclonev_lcell_comb \datamem|ram~706feeder (
+// Equation(s):
+// \datamem|ram~706feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~706feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~706feeder .extended_lut = "off";
+defparam \datamem|ram~706feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~706feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y9_N10
+dffeas \datamem|ram~706 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~706feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~706_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~706 .is_wysiwyg = "true";
+defparam \datamem|ram~706 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y8_N6
+cyclonev_lcell_comb \datamem|ram~4184 (
+// Equation(s):
+// \datamem|ram~4184_combout = ( \alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~962_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( \alu_unit|Mux9~4_combout & ( \datamem|ram~706_q ) ) ) # ( \alu_unit|Mux10~6_combout & (
+// !\alu_unit|Mux9~4_combout & ( \datamem|ram~450_q ) ) ) # ( !\alu_unit|Mux10~6_combout & ( !\alu_unit|Mux9~4_combout & ( \datamem|ram~194_q ) ) )
+
+ .dataa(!\datamem|ram~962_q ),
+ .datab(!\datamem|ram~194_q ),
+ .datac(!\datamem|ram~450_q ),
+ .datad(!\datamem|ram~706_q ),
+ .datae(!\alu_unit|Mux10~6_combout ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4184_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4184 .extended_lut = "off";
+defparam \datamem|ram~4184 .lut_mask = 64'h33330F0F00FF5555;
+defparam \datamem|ram~4184 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N5
+dffeas \datamem|ram~386 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~386_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~386 .is_wysiwyg = "true";
+defparam \datamem|ram~386 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N0
+cyclonev_lcell_comb \datamem|ram~642feeder (
+// Equation(s):
+// \datamem|ram~642feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~642feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~642feeder .extended_lut = "off";
+defparam \datamem|ram~642feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~642feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N1
+dffeas \datamem|ram~642 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~642feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~642_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~642 .is_wysiwyg = "true";
+defparam \datamem|ram~642 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N36
+cyclonev_lcell_comb \datamem|ram~130feeder (
+// Equation(s):
+// \datamem|ram~130feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~130feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~130feeder .extended_lut = "off";
+defparam \datamem|ram~130feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~130feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N38
+dffeas \datamem|ram~130 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~130feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~130_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~130 .is_wysiwyg = "true";
+defparam \datamem|ram~130 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N38
+dffeas \datamem|ram~898 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~898_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~898 .is_wysiwyg = "true";
+defparam \datamem|ram~898 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y8_N36
+cyclonev_lcell_comb \datamem|ram~4183 (
+// Equation(s):
+// \datamem|ram~4183_combout = ( \datamem|ram~898_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~642_q ) ) ) ) # ( !\datamem|ram~898_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~642_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~898_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~130_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~386_q )) ) ) ) # ( !\datamem|ram~898_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~130_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~386_q )) ) ) )
+
+ .dataa(!\datamem|ram~386_q ),
+ .datab(!\datamem|ram~642_q ),
+ .datac(!\datamem|ram~130_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~898_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4183_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4183 .extended_lut = "off";
+defparam \datamem|ram~4183 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4183 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y9_N1
+dffeas \datamem|ram~514 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~514_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~514 .is_wysiwyg = "true";
+defparam \datamem|ram~514 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N21
+cyclonev_lcell_comb \datamem|ram~770feeder (
+// Equation(s):
+// \datamem|ram~770feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~770feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~770feeder .extended_lut = "off";
+defparam \datamem|ram~770feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~770feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N23
+dffeas \datamem|ram~770 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~770feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~770_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~770 .is_wysiwyg = "true";
+defparam \datamem|ram~770 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N45
+cyclonev_lcell_comb \datamem|ram~2feeder (
+// Equation(s):
+// \datamem|ram~2feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2feeder .extended_lut = "off";
+defparam \datamem|ram~2feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y9_N47
+dffeas \datamem|ram~2 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2 .is_wysiwyg = "true";
+defparam \datamem|ram~2 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y9_N14
+dffeas \datamem|ram~258 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~258_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~258 .is_wysiwyg = "true";
+defparam \datamem|ram~258 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N12
+cyclonev_lcell_comb \datamem|ram~4181 (
+// Equation(s):
+// \datamem|ram~4181_combout = ( \datamem|ram~258_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~770_q ) ) ) ) # ( !\datamem|ram~258_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~770_q & \alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~258_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~514_q )) ) ) ) # ( !\datamem|ram~258_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~514_q )) ) ) )
+
+ .dataa(!\datamem|ram~514_q ),
+ .datab(!\datamem|ram~770_q ),
+ .datac(!\datamem|ram~2_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~258_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4181_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4181 .extended_lut = "off";
+defparam \datamem|ram~4181 .lut_mask = 64'h0F550F550033FF33;
+defparam \datamem|ram~4181 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N24
+cyclonev_lcell_comb \datamem|ram~834feeder (
+// Equation(s):
+// \datamem|ram~834feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~834feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~834feeder .extended_lut = "off";
+defparam \datamem|ram~834feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~834feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N25
+dffeas \datamem|ram~834 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~834feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~834_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~834 .is_wysiwyg = "true";
+defparam \datamem|ram~834 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N11
+dffeas \datamem|ram~66 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~66_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~66 .is_wysiwyg = "true";
+defparam \datamem|ram~66 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N21
+cyclonev_lcell_comb \datamem|ram~578feeder (
+// Equation(s):
+// \datamem|ram~578feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~578feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~578feeder .extended_lut = "off";
+defparam \datamem|ram~578feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~578feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N23
+dffeas \datamem|ram~578 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~578feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~578_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~578 .is_wysiwyg = "true";
+defparam \datamem|ram~578 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N38
+dffeas \datamem|ram~322 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~322_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~322 .is_wysiwyg = "true";
+defparam \datamem|ram~322 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N36
+cyclonev_lcell_comb \datamem|ram~4182 (
+// Equation(s):
+// \datamem|ram~4182_combout = ( \datamem|ram~322_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~578_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~834_q )) ) ) ) # ( !\datamem|ram~322_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~578_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~834_q )) ) ) ) # ( \datamem|ram~322_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~66_q ) ) ) ) # (
+// !\datamem|ram~322_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~66_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~834_q ),
+ .datab(!\datamem|ram~66_q ),
+ .datac(!\datamem|ram~578_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~322_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4182_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4182 .extended_lut = "off";
+defparam \datamem|ram~4182 .lut_mask = 64'h330033FF0F550F55;
+defparam \datamem|ram~4182 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y8_N15
+cyclonev_lcell_comb \datamem|ram~4185 (
+// Equation(s):
+// \datamem|ram~4185_combout = ( \datamem|ram~4181_combout & ( \datamem|ram~4182_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4183_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4184_combout ))) ) )
+// ) # ( !\datamem|ram~4181_combout & ( \datamem|ram~4182_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~4183_combout )))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4184_combout
+// )))) ) ) ) # ( \datamem|ram~4181_combout & ( !\datamem|ram~4182_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4183_combout )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4184_combout ))) ) ) ) # ( !\datamem|ram~4181_combout & ( !\datamem|ram~4182_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4183_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4184_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4184_combout ),
+ .datad(!\datamem|ram~4183_combout ),
+ .datae(!\datamem|ram~4181_combout ),
+ .dataf(!\datamem|ram~4182_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4185_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4185 .extended_lut = "off";
+defparam \datamem|ram~4185 .lut_mask = 64'h012389AB4567CDEF;
+defparam \datamem|ram~4185 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N25
+dffeas \datamem|ram~226 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~226_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~226 .is_wysiwyg = "true";
+defparam \datamem|ram~226 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X46_Y12_N28
+dffeas \datamem|ram~994 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~994_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~994 .is_wysiwyg = "true";
+defparam \datamem|ram~994 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N28
+dffeas \datamem|ram~738 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~738_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~738 .is_wysiwyg = "true";
+defparam \datamem|ram~738 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N25
+dffeas \datamem|ram~482 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~482_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~482 .is_wysiwyg = "true";
+defparam \datamem|ram~482 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N48
+cyclonev_lcell_comb \datamem|ram~4194 (
+// Equation(s):
+// \datamem|ram~4194_combout = ( \datamem|ram~482_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~738_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~994_q )) ) ) ) # ( !\datamem|ram~482_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~738_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~994_q )) ) ) ) # ( \datamem|ram~482_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~226_q ) ) ) ) # (
+// !\datamem|ram~482_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~226_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~226_q ),
+ .datab(!\datamem|ram~994_q ),
+ .datac(!\datamem|ram~738_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~482_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4194_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4194 .extended_lut = "off";
+defparam \datamem|ram~4194 .lut_mask = 64'h550055FF0F330F33;
+defparam \datamem|ram~4194 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N56
+dffeas \datamem|ram~674 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~674_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~674 .is_wysiwyg = "true";
+defparam \datamem|ram~674 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N14
+dffeas \datamem|ram~162 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~162_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~162 .is_wysiwyg = "true";
+defparam \datamem|ram~162 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y13_N6
+cyclonev_lcell_comb \datamem|ram~418feeder (
+// Equation(s):
+// \datamem|ram~418feeder_combout = \reg_file|reg_read_data_2[2]~3_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~418feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~418feeder .extended_lut = "off";
+defparam \datamem|ram~418feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~418feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N8
+dffeas \datamem|ram~418 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~418feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~418_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~418 .is_wysiwyg = "true";
+defparam \datamem|ram~418 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N2
+dffeas \datamem|ram~930 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~930_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~930 .is_wysiwyg = "true";
+defparam \datamem|ram~930 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N0
+cyclonev_lcell_comb \datamem|ram~4193 (
+// Equation(s):
+// \datamem|ram~4193_combout = ( \datamem|ram~930_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~674_q ) ) ) ) # ( !\datamem|ram~930_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~674_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~930_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~162_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~418_q ))) ) ) ) # ( !\datamem|ram~930_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & (\datamem|ram~162_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~418_q ))) ) ) )
+
+ .dataa(!\datamem|ram~674_q ),
+ .datab(!\datamem|ram~162_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~418_q ),
+ .datae(!\datamem|ram~930_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4193_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4193 .extended_lut = "off";
+defparam \datamem|ram~4193 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4193 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y6_N39
+cyclonev_lcell_comb \datamem|ram~98feeder (
+// Equation(s):
+// \datamem|ram~98feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~98feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~98feeder .extended_lut = "off";
+defparam \datamem|ram~98feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~98feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y6_N41
+dffeas \datamem|ram~98 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~98feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~98_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~98 .is_wysiwyg = "true";
+defparam \datamem|ram~98 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N17
+dffeas \datamem|ram~354 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~354_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~354 .is_wysiwyg = "true";
+defparam \datamem|ram~354 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N41
+dffeas \datamem|ram~610 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~610_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~610 .is_wysiwyg = "true";
+defparam \datamem|ram~610 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N44
+dffeas \datamem|ram~866 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~866_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~866 .is_wysiwyg = "true";
+defparam \datamem|ram~866 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y13_N42
+cyclonev_lcell_comb \datamem|ram~4192 (
+// Equation(s):
+// \datamem|ram~4192_combout = ( \datamem|ram~866_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~354_q ) ) ) ) # ( !\datamem|ram~866_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~354_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~866_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~98_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~610_q ))) ) ) ) # ( !\datamem|ram~866_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~98_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~610_q ))) ) ) )
+
+ .dataa(!\datamem|ram~98_q ),
+ .datab(!\datamem|ram~354_q ),
+ .datac(!\datamem|ram~610_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~866_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4192_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4192 .extended_lut = "off";
+defparam \datamem|ram~4192 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4192 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N33
+cyclonev_lcell_comb \datamem|ram~546feeder (
+// Equation(s):
+// \datamem|ram~546feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~546feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~546feeder .extended_lut = "off";
+defparam \datamem|ram~546feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~546feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N35
+dffeas \datamem|ram~546 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~546feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~546_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~546 .is_wysiwyg = "true";
+defparam \datamem|ram~546 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N21
+cyclonev_lcell_comb \datamem|ram~34feeder (
+// Equation(s):
+// \datamem|ram~34feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~34feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~34feeder .extended_lut = "off";
+defparam \datamem|ram~34feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~34feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N22
+dffeas \datamem|ram~34 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~34feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~34_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~34 .is_wysiwyg = "true";
+defparam \datamem|ram~34 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y18_N17
+dffeas \datamem|ram~290 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~290_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~290 .is_wysiwyg = "true";
+defparam \datamem|ram~290 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N8
+dffeas \datamem|ram~802 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~802_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~802 .is_wysiwyg = "true";
+defparam \datamem|ram~802 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N6
+cyclonev_lcell_comb \datamem|ram~4191 (
+// Equation(s):
+// \datamem|ram~4191_combout = ( \datamem|ram~802_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~546_q ) ) ) ) # ( !\datamem|ram~802_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~546_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~802_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~34_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~290_q ))) ) ) ) # ( !\datamem|ram~802_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & (\datamem|ram~34_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~290_q ))) ) ) )
+
+ .dataa(!\datamem|ram~546_q ),
+ .datab(!\datamem|ram~34_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~290_q ),
+ .datae(!\datamem|ram~802_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4191_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4191 .extended_lut = "off";
+defparam \datamem|ram~4191 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4191 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y12_N27
+cyclonev_lcell_comb \datamem|ram~4195 (
+// Equation(s):
+// \datamem|ram~4195_combout = ( \datamem|ram~4192_combout & ( \datamem|ram~4191_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4193_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4194_combout ))) ) )
+// ) # ( !\datamem|ram~4192_combout & ( \datamem|ram~4191_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4193_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~4194_combout )))) ) ) ) # ( \datamem|ram~4192_combout & ( !\datamem|ram~4191_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4193_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4194_combout )))) ) ) ) # ( !\datamem|ram~4192_combout & ( !\datamem|ram~4191_combout & ( (\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4193_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4194_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4194_combout ),
+ .datac(!\datamem|ram~4193_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4192_combout ),
+ .dataf(!\datamem|ram~4191_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4195_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4195 .extended_lut = "off";
+defparam \datamem|ram~4195 .lut_mask = 64'h051105BBAF11AFBB;
+defparam \datamem|ram~4195 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N26
+dffeas \datamem|ram~146 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~146_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~146 .is_wysiwyg = "true";
+defparam \datamem|ram~146 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N17
+dffeas \datamem|ram~402 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~402_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~402 .is_wysiwyg = "true";
+defparam \datamem|ram~402 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y9_N22
+dffeas \datamem|ram~658 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~658_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~658 .is_wysiwyg = "true";
+defparam \datamem|ram~658 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N50
+dffeas \datamem|ram~914 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~914_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~914 .is_wysiwyg = "true";
+defparam \datamem|ram~914 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y11_N48
+cyclonev_lcell_comb \datamem|ram~4188 (
+// Equation(s):
+// \datamem|ram~4188_combout = ( \datamem|ram~914_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~402_q ) ) ) ) # ( !\datamem|ram~914_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~402_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~914_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~146_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~658_q ))) ) ) ) # ( !\datamem|ram~914_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~146_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~658_q ))) ) ) )
+
+ .dataa(!\datamem|ram~146_q ),
+ .datab(!\datamem|ram~402_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~658_q ),
+ .datae(!\datamem|ram~914_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4188_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4188 .extended_lut = "off";
+defparam \datamem|ram~4188 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4188 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N1
+dffeas \datamem|ram~850 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~850_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~850 .is_wysiwyg = "true";
+defparam \datamem|ram~850 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y10_N1
+dffeas \datamem|ram~82 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~82_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~82 .is_wysiwyg = "true";
+defparam \datamem|ram~82 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y10_N23
+dffeas \datamem|ram~594 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~594_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~594 .is_wysiwyg = "true";
+defparam \datamem|ram~594 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y10_N38
+dffeas \datamem|ram~338 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~338_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~338 .is_wysiwyg = "true";
+defparam \datamem|ram~338 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y10_N36
+cyclonev_lcell_comb \datamem|ram~4187 (
+// Equation(s):
+// \datamem|ram~4187_combout = ( \datamem|ram~338_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~594_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~850_q )) ) ) ) # ( !\datamem|ram~338_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~594_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~850_q )) ) ) ) # ( \datamem|ram~338_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~82_q ) ) ) ) # (
+// !\datamem|ram~338_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~82_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~850_q ),
+ .datab(!\datamem|ram~82_q ),
+ .datac(!\datamem|ram~594_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~338_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4187_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4187 .extended_lut = "off";
+defparam \datamem|ram~4187 .lut_mask = 64'h330033FF0F550F55;
+defparam \datamem|ram~4187 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N20
+dffeas \datamem|ram~210 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~210_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~210 .is_wysiwyg = "true";
+defparam \datamem|ram~210 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N39
+cyclonev_lcell_comb \datamem|ram~722feeder (
+// Equation(s):
+// \datamem|ram~722feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~722feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~722feeder .extended_lut = "off";
+defparam \datamem|ram~722feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~722feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N41
+dffeas \datamem|ram~722 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~722feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~722_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~722 .is_wysiwyg = "true";
+defparam \datamem|ram~722 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y8_N15
+cyclonev_lcell_comb \datamem|ram~466feeder (
+// Equation(s):
+// \datamem|ram~466feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~466feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~466feeder .extended_lut = "off";
+defparam \datamem|ram~466feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~466feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y8_N16
+dffeas \datamem|ram~466 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~466feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~466_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~466 .is_wysiwyg = "true";
+defparam \datamem|ram~466 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N26
+dffeas \datamem|ram~978 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~978_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~978 .is_wysiwyg = "true";
+defparam \datamem|ram~978 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N24
+cyclonev_lcell_comb \datamem|ram~4189 (
+// Equation(s):
+// \datamem|ram~4189_combout = ( \datamem|ram~978_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~722_q ) ) ) ) # ( !\datamem|ram~978_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~722_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~978_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~210_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~466_q ))) ) ) ) # ( !\datamem|ram~978_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & (\datamem|ram~210_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~466_q ))) ) ) )
+
+ .dataa(!\datamem|ram~210_q ),
+ .datab(!\datamem|ram~722_q ),
+ .datac(!\datamem|ram~466_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~978_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4189_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4189 .extended_lut = "off";
+defparam \datamem|ram~4189 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4189 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N33
+cyclonev_lcell_comb \datamem|ram~786feeder (
+// Equation(s):
+// \datamem|ram~786feeder_combout = ( \reg_file|reg_read_data_2[2]~3_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~786feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~786feeder .extended_lut = "off";
+defparam \datamem|ram~786feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~786feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N34
+dffeas \datamem|ram~786 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~786feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~786_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~786 .is_wysiwyg = "true";
+defparam \datamem|ram~786 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N59
+dffeas \datamem|ram~18 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~18_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~18 .is_wysiwyg = "true";
+defparam \datamem|ram~18 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N22
+dffeas \datamem|ram~530 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~530_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~530 .is_wysiwyg = "true";
+defparam \datamem|ram~530 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N38
+dffeas \datamem|ram~274 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[2]~3_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~274_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~274 .is_wysiwyg = "true";
+defparam \datamem|ram~274 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y18_N36
+cyclonev_lcell_comb \datamem|ram~4186 (
+// Equation(s):
+// \datamem|ram~4186_combout = ( \datamem|ram~274_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~530_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~786_q )) ) ) ) # ( !\datamem|ram~274_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~530_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~786_q )) ) ) ) # ( \datamem|ram~274_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~18_q ) ) ) ) # (
+// !\datamem|ram~274_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~18_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~786_q ),
+ .datab(!\datamem|ram~18_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~530_q ),
+ .datae(!\datamem|ram~274_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4186_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4186 .extended_lut = "off";
+defparam \datamem|ram~4186 .lut_mask = 64'h30303F3F05F505F5;
+defparam \datamem|ram~4186 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y12_N15
+cyclonev_lcell_comb \datamem|ram~4190 (
+// Equation(s):
+// \datamem|ram~4190_combout = ( \datamem|ram~4189_combout & ( \datamem|ram~4186_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4188_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4187_combout )) #
+// (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~4189_combout & ( \datamem|ram~4186_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4188_combout )))) # (\alu_unit|Mux12~2_combout &
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4187_combout )))) ) ) ) # ( \datamem|ram~4189_combout & ( !\datamem|ram~4186_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4188_combout ))) #
+// (\alu_unit|Mux12~2_combout & (((\datamem|ram~4187_combout )) # (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~4189_combout & ( !\datamem|ram~4186_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4188_combout ))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4187_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4188_combout ),
+ .datad(!\datamem|ram~4187_combout ),
+ .datae(!\datamem|ram~4189_combout ),
+ .dataf(!\datamem|ram~4186_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4190_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4190 .extended_lut = "off";
+defparam \datamem|ram~4190 .lut_mask = 64'h024613578ACE9BDF;
+defparam \datamem|ram~4190 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N6
+cyclonev_lcell_comb \datamem|ram~4201 (
+// Equation(s):
+// \datamem|ram~4201_combout = ( \datamem|ram~4195_combout & ( \datamem|ram~4190_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout ) # (\datamem|ram~4185_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~4200_combout ))) ) ) ) # ( !\datamem|ram~4195_combout & ( \datamem|ram~4190_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4185_combout & !\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// (((!\alu_unit|Mux13~4_combout )) # (\datamem|ram~4200_combout ))) ) ) ) # ( \datamem|ram~4195_combout & ( !\datamem|ram~4190_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout ) # (\datamem|ram~4185_combout )))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4200_combout & ((\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4195_combout & ( !\datamem|ram~4190_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4185_combout &
+// !\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4200_combout & ((\alu_unit|Mux13~4_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4200_combout ),
+ .datac(!\datamem|ram~4185_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4195_combout ),
+ .dataf(!\datamem|ram~4190_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4201_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4201 .extended_lut = "off";
+defparam \datamem|ram~4201 .lut_mask = 64'h0A110ABB5F115FBB;
+defparam \datamem|ram~4201 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y12_N30
+cyclonev_lcell_comb \datamem|ram~4265 (
+// Equation(s):
+// \datamem|ram~4265_combout = ( \datamem|ram~4222_combout & ( \datamem|ram~4201_combout & ( (!\alu_unit|Mux7~2_combout ) # ((!\alu_unit|Mux8~2_combout & (\datamem|ram~4243_combout )) # (\alu_unit|Mux8~2_combout & ((\datamem|ram~4264_combout )))) ) ) )
+// # ( !\datamem|ram~4222_combout & ( \datamem|ram~4201_combout & ( (!\alu_unit|Mux8~2_combout & (((!\alu_unit|Mux7~2_combout )) # (\datamem|ram~4243_combout ))) # (\alu_unit|Mux8~2_combout & (((\alu_unit|Mux7~2_combout & \datamem|ram~4264_combout ))))
+// ) ) ) # ( \datamem|ram~4222_combout & ( !\datamem|ram~4201_combout & ( (!\alu_unit|Mux8~2_combout & (\datamem|ram~4243_combout & (\alu_unit|Mux7~2_combout ))) # (\alu_unit|Mux8~2_combout & (((!\alu_unit|Mux7~2_combout ) # (\datamem|ram~4264_combout
+// )))) ) ) ) # ( !\datamem|ram~4222_combout & ( !\datamem|ram~4201_combout & ( (\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout & (\datamem|ram~4243_combout )) # (\alu_unit|Mux8~2_combout & ((\datamem|ram~4264_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux8~2_combout ),
+ .datab(!\datamem|ram~4243_combout ),
+ .datac(!\alu_unit|Mux7~2_combout ),
+ .datad(!\datamem|ram~4264_combout ),
+ .datae(!\datamem|ram~4222_combout ),
+ .dataf(!\datamem|ram~4201_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4265_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4265 .extended_lut = "off";
+defparam \datamem|ram~4265 .lut_mask = 64'h02075257A2A7F2F7;
+defparam \datamem|ram~4265 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N42
+cyclonev_lcell_comb \reg_write_data[2]~3 (
+// Equation(s):
+// \reg_write_data[2]~3_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~4265_combout & ( (!\reg_write_data[1]~0_combout ) # ((\Add0~5_sumout ) # (\reg_write_data[1]~1_combout )) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~4265_combout &
+// ( (\reg_write_data[1]~0_combout & ((\Add0~5_sumout ) # (\reg_write_data[1]~1_combout ))) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~4265_combout & ( (!\reg_write_data[1]~0_combout ) # ((!\reg_write_data[1]~1_combout & \Add0~5_sumout )) ) )
+// ) # ( !\alu_unit|Mux13~4_combout & ( !\datamem|ram~4265_combout & ( (\reg_write_data[1]~0_combout & (!\reg_write_data[1]~1_combout & \Add0~5_sumout )) ) ) )
+
+ .dataa(!\reg_write_data[1]~0_combout ),
+ .datab(!\reg_write_data[1]~1_combout ),
+ .datac(!\Add0~5_sumout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~4265_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[2]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[2]~3 .extended_lut = "off";
+defparam \reg_write_data[2]~3 .lut_mask = 64'h0404AEAE1515BFBF;
+defparam \reg_write_data[2]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N2
+dffeas \reg_file|reg_array[0][2] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[2]~3_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][2]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][2] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y13_N8
+dffeas \reg_file|reg_array[2][2] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[2]~3_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][2]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][2] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][2] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N49
+dffeas \reg_file|reg_array[1][2] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[2]~3_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][2]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][2] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N9
+cyclonev_lcell_comb \reg_file|reg_read_data_2[2]~3 (
+// Equation(s):
+// \reg_file|reg_read_data_2[2]~3_combout = ( \instrucion_memory|rom~18_combout & ( \instrucion_memory|rom~17_combout & ( \reg_file|reg_array[3][2]~q ) ) ) # ( !\instrucion_memory|rom~18_combout & ( \instrucion_memory|rom~17_combout & (
+// \reg_file|reg_array[1][2]~q ) ) ) # ( \instrucion_memory|rom~18_combout & ( !\instrucion_memory|rom~17_combout & ( \reg_file|reg_array[2][2]~q ) ) ) # ( !\instrucion_memory|rom~18_combout & ( !\instrucion_memory|rom~17_combout & (
+// \reg_file|reg_array[0][2]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[0][2]~q ),
+ .datab(!\reg_file|reg_array[2][2]~q ),
+ .datac(!\reg_file|reg_array[3][2]~q ),
+ .datad(!\reg_file|reg_array[1][2]~q ),
+ .datae(!\instrucion_memory|rom~18_combout ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[2]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[2]~3 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[2]~3 .lut_mask = 64'h5555333300FF0F0F;
+defparam \reg_file|reg_read_data_2[2]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N42
+cyclonev_lcell_comb \read_data2[2]~3 (
+// Equation(s):
+// \read_data2[2]~3_combout = ( \instrucion_memory|rom~6_combout & ( ((!\reg_file|Equal1~0_combout & \reg_file|reg_read_data_2[2]~3_combout )) # (\control_unit|WideOr0~0_combout ) ) ) # ( !\instrucion_memory|rom~6_combout & ( (!\reg_file|Equal1~0_combout
+// & (\reg_file|reg_read_data_2[2]~3_combout & !\control_unit|WideOr0~0_combout )) ) )
+
+ .dataa(gnd),
+ .datab(!\reg_file|Equal1~0_combout ),
+ .datac(!\reg_file|reg_read_data_2[2]~3_combout ),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[2]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[2]~3 .extended_lut = "off";
+defparam \read_data2[2]~3 .lut_mask = 64'h0C000C000CFF0CFF;
+defparam \read_data2[2]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N18
+cyclonev_lcell_comb \alu_unit|shifter_left|op[0]~0 (
+// Equation(s):
+// \alu_unit|shifter_left|op[0]~0_combout = ( \reg_file|reg_read_data_1[0]~31_combout & ( (!\read_data2[2]~3_combout & (!\read_data2[3]~2_combout & (!\read_data2[0]~1_combout & !\read_data2[1]~0_combout ))) ) )
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\read_data2[0]~1_combout ),
+ .datad(!\read_data2[1]~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[0]~31_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|op[0]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|op[0]~0 .extended_lut = "off";
+defparam \alu_unit|shifter_left|op[0]~0 .lut_mask = 64'h0000000080008000;
+defparam \alu_unit|shifter_left|op[0]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N6
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[15]~14 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[15]~14_combout = ( \reg_file|reg_read_data_1[2]~3_combout & ( \reg_file|reg_read_data_1[1]~1_combout & ( (!\read_data2[1]~0_combout & (((\reg_file|reg_read_data_1[0]~31_combout ) # (\read_data2[0]~1_combout )))) #
+// (\read_data2[1]~0_combout & (((!\read_data2[0]~1_combout )) # (\reg_file|reg_read_data_1[3]~5_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[2]~3_combout & ( \reg_file|reg_read_data_1[1]~1_combout & ( (!\read_data2[1]~0_combout &
+// (((\reg_file|reg_read_data_1[0]~31_combout ) # (\read_data2[0]~1_combout )))) # (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[3]~5_combout & (\read_data2[0]~1_combout ))) ) ) ) # ( \reg_file|reg_read_data_1[2]~3_combout & (
+// !\reg_file|reg_read_data_1[1]~1_combout & ( (!\read_data2[1]~0_combout & (((!\read_data2[0]~1_combout & \reg_file|reg_read_data_1[0]~31_combout )))) # (\read_data2[1]~0_combout & (((!\read_data2[0]~1_combout )) #
+// (\reg_file|reg_read_data_1[3]~5_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[2]~3_combout & ( !\reg_file|reg_read_data_1[1]~1_combout & ( (!\read_data2[1]~0_combout & (((!\read_data2[0]~1_combout & \reg_file|reg_read_data_1[0]~31_combout )))) #
+// (\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[3]~5_combout & (\read_data2[0]~1_combout ))) ) ) )
+
+ .dataa(!\read_data2[1]~0_combout ),
+ .datab(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datac(!\read_data2[0]~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[0]~31_combout ),
+ .datae(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .dataf(!\reg_file|reg_read_data_1[1]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[15]~14_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[15]~14 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[15]~14 .lut_mask = 64'h01A151F10BAB5BFB;
+defparam \alu_unit|shifter_right|st2[15]~14 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N57
+cyclonev_lcell_comb \alu_unit|shifter_right|st2[11]~11 (
+// Equation(s):
+// \alu_unit|shifter_right|st2[11]~11_combout = ( \read_data2[1]~0_combout & ( \read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[7]~21_combout ) ) ) # ( !\read_data2[1]~0_combout & ( \read_data2[0]~1_combout & (
+// \reg_file|reg_read_data_1[5]~17_combout ) ) ) # ( \read_data2[1]~0_combout & ( !\read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[6]~19_combout ) ) ) # ( !\read_data2[1]~0_combout & ( !\read_data2[0]~1_combout & (
+// \reg_file|reg_read_data_1[4]~7_combout ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .datab(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datac(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .datad(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datae(!\read_data2[1]~0_combout ),
+ .dataf(!\read_data2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|st2[11]~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|st2[11]~11 .extended_lut = "off";
+defparam \alu_unit|shifter_right|st2[11]~11 .lut_mask = 64'h0F0F5555333300FF;
+defparam \alu_unit|shifter_right|st2[11]~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N48
+cyclonev_lcell_comb \alu_unit|shifter_right|op[15]~0 (
+// Equation(s):
+// \alu_unit|shifter_right|op[15]~0_combout = ( \alu_unit|shifter_right|st2[11]~11_combout & ( \alu_unit|shifter_right|st2[7]~12_combout & ( (!\read_data2[3]~2_combout & (((\alu_unit|shifter_right|st2[15]~14_combout ) # (\read_data2[2]~3_combout )))) #
+// (\read_data2[3]~2_combout & (((!\read_data2[2]~3_combout )) # (\alu_unit|shifter_right|st2[3]~13_combout ))) ) ) ) # ( !\alu_unit|shifter_right|st2[11]~11_combout & ( \alu_unit|shifter_right|st2[7]~12_combout & ( (!\read_data2[3]~2_combout &
+// (((!\read_data2[2]~3_combout & \alu_unit|shifter_right|st2[15]~14_combout )))) # (\read_data2[3]~2_combout & (((!\read_data2[2]~3_combout )) # (\alu_unit|shifter_right|st2[3]~13_combout ))) ) ) ) # ( \alu_unit|shifter_right|st2[11]~11_combout & (
+// !\alu_unit|shifter_right|st2[7]~12_combout & ( (!\read_data2[3]~2_combout & (((\alu_unit|shifter_right|st2[15]~14_combout ) # (\read_data2[2]~3_combout )))) # (\read_data2[3]~2_combout & (\alu_unit|shifter_right|st2[3]~13_combout &
+// (\read_data2[2]~3_combout ))) ) ) ) # ( !\alu_unit|shifter_right|st2[11]~11_combout & ( !\alu_unit|shifter_right|st2[7]~12_combout & ( (!\read_data2[3]~2_combout & (((!\read_data2[2]~3_combout & \alu_unit|shifter_right|st2[15]~14_combout )))) #
+// (\read_data2[3]~2_combout & (\alu_unit|shifter_right|st2[3]~13_combout & (\read_data2[2]~3_combout ))) ) ) )
+
+ .dataa(!\alu_unit|shifter_right|st2[3]~13_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\read_data2[2]~3_combout ),
+ .datad(!\alu_unit|shifter_right|st2[15]~14_combout ),
+ .datae(!\alu_unit|shifter_right|st2[11]~11_combout ),
+ .dataf(!\alu_unit|shifter_right|st2[7]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_right|op[15]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_right|op[15]~0 .extended_lut = "off";
+defparam \alu_unit|shifter_right|op[15]~0 .lut_mask = 64'h01C10DCD31F13DFD;
+defparam \alu_unit|shifter_right|op[15]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N57
+cyclonev_lcell_comb \alu_unit|LessThan0~13 (
+// Equation(s):
+// \alu_unit|LessThan0~13_combout = ( \reg_file|reg_read_data_1[8]~22_combout & ( \reg_file|reg_read_data_2[8]~8_combout & ( (!\reg_file|Equal0~0_combout & ((!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) #
+// (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))))) ) ) ) # ( !\reg_file|reg_read_data_1[8]~22_combout & ( \reg_file|reg_read_data_2[8]~8_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) #
+// (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))) ) ) ) # ( \reg_file|reg_read_data_1[8]~22_combout & ( !\reg_file|reg_read_data_2[8]~8_combout & ( (\control_unit|WideOr0~0_combout & (!\imm_ext[15]~0_combout &
+// !\reg_file|Equal0~0_combout )) ) ) ) # ( !\reg_file|reg_read_data_1[8]~22_combout & ( !\reg_file|reg_read_data_2[8]~8_combout & ( (\control_unit|WideOr0~0_combout & !\imm_ext[15]~0_combout ) ) ) )
+
+ .dataa(!\control_unit|WideOr0~0_combout ),
+ .datab(!\reg_file|Equal1~0_combout ),
+ .datac(!\imm_ext[15]~0_combout ),
+ .datad(!\reg_file|Equal0~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[8]~22_combout ),
+ .dataf(!\reg_file|reg_read_data_2[8]~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~13_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~13 .extended_lut = "off";
+defparam \alu_unit|LessThan0~13 .lut_mask = 64'h50505000D8D8D800;
+defparam \alu_unit|LessThan0~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N48
+cyclonev_lcell_comb \alu_unit|LessThan0~14 (
+// Equation(s):
+// \alu_unit|LessThan0~14_combout = ( \reg_file|reg_read_data_1[13]~27_combout & ( \reg_file|reg_read_data_1[12]~15_combout & ( (!\reg_file|reg_read_data_1[14]~29_combout & \read_data2[14]~14_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[13]~27_combout
+// & ( \reg_file|reg_read_data_1[12]~15_combout & ( (!\reg_file|reg_read_data_1[14]~29_combout & ((\read_data2[14]~14_combout ) # (\read_data2[13]~13_combout ))) # (\reg_file|reg_read_data_1[14]~29_combout & (\read_data2[13]~13_combout &
+// \read_data2[14]~14_combout )) ) ) ) # ( \reg_file|reg_read_data_1[13]~27_combout & ( !\reg_file|reg_read_data_1[12]~15_combout & ( (!\reg_file|reg_read_data_1[14]~29_combout & (((\read_data2[13]~13_combout & \read_data2[12]~12_combout )) #
+// (\read_data2[14]~14_combout ))) # (\reg_file|reg_read_data_1[14]~29_combout & (\read_data2[13]~13_combout & (\read_data2[12]~12_combout & \read_data2[14]~14_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[13]~27_combout & (
+// !\reg_file|reg_read_data_1[12]~15_combout & ( (!\reg_file|reg_read_data_1[14]~29_combout & (((\read_data2[14]~14_combout ) # (\read_data2[12]~12_combout )) # (\read_data2[13]~13_combout ))) # (\reg_file|reg_read_data_1[14]~29_combout &
+// (\read_data2[14]~14_combout & ((\read_data2[12]~12_combout ) # (\read_data2[13]~13_combout )))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[14]~29_combout ),
+ .datab(!\read_data2[13]~13_combout ),
+ .datac(!\read_data2[12]~12_combout ),
+ .datad(!\read_data2[14]~14_combout ),
+ .datae(!\reg_file|reg_read_data_1[13]~27_combout ),
+ .dataf(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~14_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~14 .extended_lut = "off";
+defparam \alu_unit|LessThan0~14 .lut_mask = 64'h2ABF02AB22BB00AA;
+defparam \alu_unit|LessThan0~14 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N54
+cyclonev_lcell_comb \alu_unit|LessThan0~9 (
+// Equation(s):
+// \alu_unit|LessThan0~9_combout = ( \reg_file|reg_read_data_1[13]~26_combout & ( \reg_file|reg_read_data_2[13]~13_combout & ( !\reg_file|Equal0~0_combout $ (((!\control_unit|WideOr0~0_combout & (\reg_file|Equal1~0_combout )) #
+// (\control_unit|WideOr0~0_combout & ((\imm_ext[15]~0_combout ))))) ) ) ) # ( !\reg_file|reg_read_data_1[13]~26_combout & ( \reg_file|reg_read_data_2[13]~13_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) #
+// (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))) ) ) ) # ( \reg_file|reg_read_data_1[13]~26_combout & ( !\reg_file|reg_read_data_2[13]~13_combout & ( !\reg_file|Equal0~0_combout $ (((!\control_unit|WideOr0~0_combout ) #
+// (\imm_ext[15]~0_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[13]~26_combout & ( !\reg_file|reg_read_data_2[13]~13_combout & ( (\control_unit|WideOr0~0_combout & !\imm_ext[15]~0_combout ) ) ) )
+
+ .dataa(!\control_unit|WideOr0~0_combout ),
+ .datab(!\reg_file|Equal0~0_combout ),
+ .datac(!\reg_file|Equal1~0_combout ),
+ .datad(!\imm_ext[15]~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[13]~26_combout ),
+ .dataf(!\reg_file|reg_read_data_2[13]~13_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~9 .extended_lut = "off";
+defparam \alu_unit|LessThan0~9 .lut_mask = 64'h55006633F5A0C693;
+defparam \alu_unit|LessThan0~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N57
+cyclonev_lcell_comb \alu_unit|LessThan0~8 (
+// Equation(s):
+// \alu_unit|LessThan0~8_combout = ( \reg_file|reg_read_data_1[12]~14_combout & ( \reg_file|reg_read_data_2[12]~12_combout & ( !\reg_file|Equal0~0_combout $ (((!\control_unit|WideOr0~0_combout & ((\reg_file|Equal1~0_combout ))) #
+// (\control_unit|WideOr0~0_combout & (\imm_ext[15]~0_combout )))) ) ) ) # ( !\reg_file|reg_read_data_1[12]~14_combout & ( \reg_file|reg_read_data_2[12]~12_combout & ( (!\control_unit|WideOr0~0_combout & ((!\reg_file|Equal1~0_combout ))) #
+// (\control_unit|WideOr0~0_combout & (!\imm_ext[15]~0_combout )) ) ) ) # ( \reg_file|reg_read_data_1[12]~14_combout & ( !\reg_file|reg_read_data_2[12]~12_combout & ( !\reg_file|Equal0~0_combout $ (((!\control_unit|WideOr0~0_combout ) #
+// (\imm_ext[15]~0_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[12]~14_combout & ( !\reg_file|reg_read_data_2[12]~12_combout & ( (\control_unit|WideOr0~0_combout & !\imm_ext[15]~0_combout ) ) ) )
+
+ .dataa(!\control_unit|WideOr0~0_combout ),
+ .datab(!\reg_file|Equal0~0_combout ),
+ .datac(!\imm_ext[15]~0_combout ),
+ .datad(!\reg_file|Equal1~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[12]~14_combout ),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~8 .extended_lut = "off";
+defparam \alu_unit|LessThan0~8 .lut_mask = 64'h50506363FA50C963;
+defparam \alu_unit|LessThan0~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N21
+cyclonev_lcell_comb \alu_unit|LessThan0~7 (
+// Equation(s):
+// \alu_unit|LessThan0~7_combout = ( \reg_file|reg_read_data_1[10]~10_combout & ( \reg_file|reg_read_data_2[10]~10_combout & ( !\reg_file|Equal0~0_combout $ (((!\control_unit|WideOr0~0_combout & ((\reg_file|Equal1~0_combout ))) #
+// (\control_unit|WideOr0~0_combout & (\imm_ext[15]~0_combout )))) ) ) ) # ( !\reg_file|reg_read_data_1[10]~10_combout & ( \reg_file|reg_read_data_2[10]~10_combout & ( (!\control_unit|WideOr0~0_combout & ((!\reg_file|Equal1~0_combout ))) #
+// (\control_unit|WideOr0~0_combout & (!\imm_ext[15]~0_combout )) ) ) ) # ( \reg_file|reg_read_data_1[10]~10_combout & ( !\reg_file|reg_read_data_2[10]~10_combout & ( !\reg_file|Equal0~0_combout $ (((!\control_unit|WideOr0~0_combout ) #
+// (\imm_ext[15]~0_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[10]~10_combout & ( !\reg_file|reg_read_data_2[10]~10_combout & ( (\control_unit|WideOr0~0_combout & !\imm_ext[15]~0_combout ) ) ) )
+
+ .dataa(!\control_unit|WideOr0~0_combout ),
+ .datab(!\reg_file|Equal0~0_combout ),
+ .datac(!\imm_ext[15]~0_combout ),
+ .datad(!\reg_file|Equal1~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[10]~10_combout ),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~7 .extended_lut = "off";
+defparam \alu_unit|LessThan0~7 .lut_mask = 64'h50506363FA50C963;
+defparam \alu_unit|LessThan0~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N18
+cyclonev_lcell_comb \alu_unit|LessThan0~10 (
+// Equation(s):
+// \alu_unit|LessThan0~10_combout = ( \reg_file|reg_read_data_1[14]~28_combout & ( \reg_file|reg_read_data_2[14]~14_combout & ( !\reg_file|Equal0~0_combout $ (((!\control_unit|WideOr0~0_combout & (\reg_file|Equal1~0_combout )) #
+// (\control_unit|WideOr0~0_combout & ((\imm_ext[15]~0_combout ))))) ) ) ) # ( !\reg_file|reg_read_data_1[14]~28_combout & ( \reg_file|reg_read_data_2[14]~14_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) #
+// (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))) ) ) ) # ( \reg_file|reg_read_data_1[14]~28_combout & ( !\reg_file|reg_read_data_2[14]~14_combout & ( !\reg_file|Equal0~0_combout $ (((!\control_unit|WideOr0~0_combout ) #
+// (\imm_ext[15]~0_combout ))) ) ) ) # ( !\reg_file|reg_read_data_1[14]~28_combout & ( !\reg_file|reg_read_data_2[14]~14_combout & ( (\control_unit|WideOr0~0_combout & !\imm_ext[15]~0_combout ) ) ) )
+
+ .dataa(!\control_unit|WideOr0~0_combout ),
+ .datab(!\reg_file|Equal0~0_combout ),
+ .datac(!\reg_file|Equal1~0_combout ),
+ .datad(!\imm_ext[15]~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[14]~28_combout ),
+ .dataf(!\reg_file|reg_read_data_2[14]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~10 .extended_lut = "off";
+defparam \alu_unit|LessThan0~10 .lut_mask = 64'h55006633F5A0C693;
+defparam \alu_unit|LessThan0~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N6
+cyclonev_lcell_comb \alu_unit|LessThan0~11 (
+// Equation(s):
+// \alu_unit|LessThan0~11_combout = ( !\alu_unit|LessThan0~7_combout & ( !\alu_unit|LessThan0~10_combout & ( (!\alu_unit|LessThan0~9_combout & (!\alu_unit|LessThan0~8_combout & (!\read_data2[11]~11_combout $ (\reg_file|reg_read_data_1[11]~13_combout
+// )))) ) ) )
+
+ .dataa(!\read_data2[11]~11_combout ),
+ .datab(!\alu_unit|LessThan0~9_combout ),
+ .datac(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .datad(!\alu_unit|LessThan0~8_combout ),
+ .datae(!\alu_unit|LessThan0~7_combout ),
+ .dataf(!\alu_unit|LessThan0~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~11 .extended_lut = "off";
+defparam \alu_unit|LessThan0~11 .lut_mask = 64'h8400000000000000;
+defparam \alu_unit|LessThan0~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N54
+cyclonev_lcell_comb \alu_unit|LessThan0~15 (
+// Equation(s):
+// \alu_unit|LessThan0~15_combout = ( \reg_file|reg_read_data_1[10]~10_combout & ( \reg_file|reg_read_data_2[10]~10_combout & ( (!\reg_file|Equal0~0_combout & ((!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) #
+// (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))))) ) ) ) # ( !\reg_file|reg_read_data_1[10]~10_combout & ( \reg_file|reg_read_data_2[10]~10_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) #
+// (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))) ) ) ) # ( \reg_file|reg_read_data_1[10]~10_combout & ( !\reg_file|reg_read_data_2[10]~10_combout & ( (\control_unit|WideOr0~0_combout & (!\reg_file|Equal0~0_combout &
+// !\imm_ext[15]~0_combout )) ) ) ) # ( !\reg_file|reg_read_data_1[10]~10_combout & ( !\reg_file|reg_read_data_2[10]~10_combout & ( (\control_unit|WideOr0~0_combout & !\imm_ext[15]~0_combout ) ) ) )
+
+ .dataa(!\control_unit|WideOr0~0_combout ),
+ .datab(!\reg_file|Equal1~0_combout ),
+ .datac(!\reg_file|Equal0~0_combout ),
+ .datad(!\imm_ext[15]~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[10]~10_combout ),
+ .dataf(!\reg_file|reg_read_data_2[10]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~15_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~15 .extended_lut = "off";
+defparam \alu_unit|LessThan0~15 .lut_mask = 64'h55005000DD88D080;
+defparam \alu_unit|LessThan0~15 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N0
+cyclonev_lcell_comb \alu_unit|LessThan0~16 (
+// Equation(s):
+// \alu_unit|LessThan0~16_combout = ( \reg_file|reg_read_data_1[11]~13_combout & ( \alu_unit|LessThan0~15_combout & ( (!\alu_unit|LessThan0~9_combout & (\read_data2[11]~11_combout & (!\alu_unit|LessThan0~10_combout & !\alu_unit|LessThan0~8_combout )))
+// ) ) ) # ( !\reg_file|reg_read_data_1[11]~13_combout & ( \alu_unit|LessThan0~15_combout & ( (!\alu_unit|LessThan0~9_combout & (!\alu_unit|LessThan0~10_combout & !\alu_unit|LessThan0~8_combout )) ) ) ) # ( !\reg_file|reg_read_data_1[11]~13_combout & (
+// !\alu_unit|LessThan0~15_combout & ( (!\alu_unit|LessThan0~9_combout & (\read_data2[11]~11_combout & (!\alu_unit|LessThan0~10_combout & !\alu_unit|LessThan0~8_combout ))) ) ) )
+
+ .dataa(!\alu_unit|LessThan0~9_combout ),
+ .datab(!\read_data2[11]~11_combout ),
+ .datac(!\alu_unit|LessThan0~10_combout ),
+ .datad(!\alu_unit|LessThan0~8_combout ),
+ .datae(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .dataf(!\alu_unit|LessThan0~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~16_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~16 .extended_lut = "off";
+defparam \alu_unit|LessThan0~16 .lut_mask = 64'h20000000A0002000;
+defparam \alu_unit|LessThan0~16 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N24
+cyclonev_lcell_comb \alu_unit|LessThan0~17 (
+// Equation(s):
+// \alu_unit|LessThan0~17_combout = ( \alu_unit|LessThan0~11_combout & ( !\alu_unit|LessThan0~16_combout & ( (!\alu_unit|LessThan0~14_combout & ((!\alu_unit|LessThan0~13_combout & ((!\read_data2[9]~9_combout ) # (\reg_file|reg_read_data_1[9]~9_combout
+// ))) # (\alu_unit|LessThan0~13_combout & (!\read_data2[9]~9_combout & \reg_file|reg_read_data_1[9]~9_combout )))) ) ) ) # ( !\alu_unit|LessThan0~11_combout & ( !\alu_unit|LessThan0~16_combout & ( !\alu_unit|LessThan0~14_combout ) ) )
+
+ .dataa(!\alu_unit|LessThan0~13_combout ),
+ .datab(!\read_data2[9]~9_combout ),
+ .datac(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datad(!\alu_unit|LessThan0~14_combout ),
+ .datae(!\alu_unit|LessThan0~11_combout ),
+ .dataf(!\alu_unit|LessThan0~16_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~17_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~17 .extended_lut = "off";
+defparam \alu_unit|LessThan0~17 .lut_mask = 64'hFF008E0000000000;
+defparam \alu_unit|LessThan0~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N12
+cyclonev_lcell_comb \alu_unit|LessThan0~12 (
+// Equation(s):
+// \alu_unit|LessThan0~12_combout = ( \reg_file|reg_read_data_1[8]~23_combout & ( (\alu_unit|LessThan0~11_combout & (\read_data2[8]~8_combout & (!\read_data2[9]~9_combout $ (\reg_file|reg_read_data_1[9]~9_combout )))) ) ) # (
+// !\reg_file|reg_read_data_1[8]~23_combout & ( (\alu_unit|LessThan0~11_combout & (!\read_data2[8]~8_combout & (!\read_data2[9]~9_combout $ (\reg_file|reg_read_data_1[9]~9_combout )))) ) )
+
+ .dataa(!\alu_unit|LessThan0~11_combout ),
+ .datab(!\read_data2[9]~9_combout ),
+ .datac(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datad(!\read_data2[8]~8_combout ),
+ .datae(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~12_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~12 .extended_lut = "off";
+defparam \alu_unit|LessThan0~12 .lut_mask = 64'h4100004141000041;
+defparam \alu_unit|LessThan0~12 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y13_N4
+dffeas \reg_file|reg_array[2][15] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[15]~15_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][15]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][15] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][15] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N28
+dffeas \reg_file|reg_array[0][15] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[15]~15_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][15]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][15] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][15] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N28
+dffeas \reg_file|reg_array[1][15] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_write_data[15]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][15]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][15] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][15] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N3
+cyclonev_lcell_comb \reg_file|reg_array[3][15]~feeder (
+// Equation(s):
+// \reg_file|reg_array[3][15]~feeder_combout = ( \reg_write_data[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[3][15]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[3][15]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[3][15]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[3][15]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y14_N5
+dffeas \reg_file|reg_array[3][15] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[3][15]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][15]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][15] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y18_N0
+cyclonev_lcell_comb \reg_file|reg_read_data_2[15]~15 (
+// Equation(s):
+// \reg_file|reg_read_data_2[15]~15_combout = ( \reg_file|reg_array[3][15]~q & ( \instrucion_memory|rom~17_combout & ( (\reg_file|reg_array[1][15]~q ) # (\instrucion_memory|rom~18_combout ) ) ) ) # ( !\reg_file|reg_array[3][15]~q & (
+// \instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout & \reg_file|reg_array[1][15]~q ) ) ) ) # ( \reg_file|reg_array[3][15]~q & ( !\instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout &
+// ((\reg_file|reg_array[0][15]~q ))) # (\instrucion_memory|rom~18_combout & (\reg_file|reg_array[2][15]~q )) ) ) ) # ( !\reg_file|reg_array[3][15]~q & ( !\instrucion_memory|rom~17_combout & ( (!\instrucion_memory|rom~18_combout &
+// ((\reg_file|reg_array[0][15]~q ))) # (\instrucion_memory|rom~18_combout & (\reg_file|reg_array[2][15]~q )) ) ) )
+
+ .dataa(!\reg_file|reg_array[2][15]~q ),
+ .datab(!\instrucion_memory|rom~18_combout ),
+ .datac(!\reg_file|reg_array[0][15]~q ),
+ .datad(!\reg_file|reg_array[1][15]~q ),
+ .datae(!\reg_file|reg_array[3][15]~q ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[15]~15_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[15]~15 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[15]~15 .lut_mask = 64'h1D1D1D1D00CC33FF;
+defparam \reg_file|reg_read_data_2[15]~15 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N39
+cyclonev_lcell_comb \read_data2[15]~15 (
+// Equation(s):
+// \read_data2[15]~15_combout = ( \reg_file|reg_read_data_2[15]~15_combout & ( (!\control_unit|WideOr0~0_combout & (!\reg_file|Equal1~0_combout )) # (\control_unit|WideOr0~0_combout & ((!\imm_ext[15]~0_combout ))) ) ) # (
+// !\reg_file|reg_read_data_2[15]~15_combout & ( (!\imm_ext[15]~0_combout & \control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(!\imm_ext[15]~0_combout ),
+ .datac(gnd),
+ .datad(!\control_unit|WideOr0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[15]~15_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[15]~15 .extended_lut = "off";
+defparam \read_data2[15]~15 .lut_mask = 64'h00CC00CCAACCAACC;
+defparam \read_data2[15]~15 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y11_N33
+cyclonev_lcell_comb \alu_unit|LessThan0~3 (
+// Equation(s):
+// \alu_unit|LessThan0~3_combout = ( \read_data2[7]~7_combout & ( \reg_file|reg_read_data_1[5]~17_combout & ( (\read_data2[5]~5_combout & (\reg_file|reg_read_data_1[7]~21_combout & (!\reg_file|reg_read_data_1[6]~19_combout $ (\read_data2[6]~6_combout
+// )))) ) ) ) # ( !\read_data2[7]~7_combout & ( \reg_file|reg_read_data_1[5]~17_combout & ( (\read_data2[5]~5_combout & (!\reg_file|reg_read_data_1[7]~21_combout & (!\reg_file|reg_read_data_1[6]~19_combout $ (\read_data2[6]~6_combout )))) ) ) ) # (
+// \read_data2[7]~7_combout & ( !\reg_file|reg_read_data_1[5]~17_combout & ( (!\read_data2[5]~5_combout & (\reg_file|reg_read_data_1[7]~21_combout & (!\reg_file|reg_read_data_1[6]~19_combout $ (\read_data2[6]~6_combout )))) ) ) ) # (
+// !\read_data2[7]~7_combout & ( !\reg_file|reg_read_data_1[5]~17_combout & ( (!\read_data2[5]~5_combout & (!\reg_file|reg_read_data_1[7]~21_combout & (!\reg_file|reg_read_data_1[6]~19_combout $ (\read_data2[6]~6_combout )))) ) ) )
+
+ .dataa(!\read_data2[5]~5_combout ),
+ .datab(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .datac(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datad(!\read_data2[6]~6_combout ),
+ .datae(!\read_data2[7]~7_combout ),
+ .dataf(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~3 .extended_lut = "off";
+defparam \alu_unit|LessThan0~3 .lut_mask = 64'h8020080240100401;
+defparam \alu_unit|LessThan0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y11_N24
+cyclonev_lcell_comb \alu_unit|LessThan0~5 (
+// Equation(s):
+// \alu_unit|LessThan0~5_combout = ( \read_data2[7]~7_combout & ( \read_data2[6]~6_combout & ( (!\reg_file|reg_read_data_1[7]~21_combout ) # ((!\reg_file|reg_read_data_1[6]~19_combout ) # ((\read_data2[5]~5_combout &
+// !\reg_file|reg_read_data_1[5]~17_combout ))) ) ) ) # ( !\read_data2[7]~7_combout & ( \read_data2[6]~6_combout & ( (!\reg_file|reg_read_data_1[7]~21_combout & ((!\reg_file|reg_read_data_1[6]~19_combout ) # ((\read_data2[5]~5_combout &
+// !\reg_file|reg_read_data_1[5]~17_combout )))) ) ) ) # ( \read_data2[7]~7_combout & ( !\read_data2[6]~6_combout & ( (!\reg_file|reg_read_data_1[7]~21_combout ) # ((!\reg_file|reg_read_data_1[6]~19_combout & (\read_data2[5]~5_combout &
+// !\reg_file|reg_read_data_1[5]~17_combout ))) ) ) ) # ( !\read_data2[7]~7_combout & ( !\read_data2[6]~6_combout & ( (!\reg_file|reg_read_data_1[7]~21_combout & (!\reg_file|reg_read_data_1[6]~19_combout & (\read_data2[5]~5_combout &
+// !\reg_file|reg_read_data_1[5]~17_combout ))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[7]~21_combout ),
+ .datab(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .datac(!\read_data2[5]~5_combout ),
+ .datad(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datae(!\read_data2[7]~7_combout ),
+ .dataf(!\read_data2[6]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~5 .extended_lut = "off";
+defparam \alu_unit|LessThan0~5 .lut_mask = 64'h0800AEAA8A88EFEE;
+defparam \alu_unit|LessThan0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N45
+cyclonev_lcell_comb \alu_unit|LessThan0~6 (
+// Equation(s):
+// \alu_unit|LessThan0~6_combout = ( !\alu_unit|LessThan0~5_combout & ( (!\read_data2[4]~4_combout ) # ((!\alu_unit|LessThan0~3_combout ) # (\reg_file|reg_read_data_1[4]~7_combout )) ) )
+
+ .dataa(!\read_data2[4]~4_combout ),
+ .datab(!\alu_unit|LessThan0~3_combout ),
+ .datac(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|LessThan0~5_combout ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~6 .extended_lut = "off";
+defparam \alu_unit|LessThan0~6 .lut_mask = 64'hEFEF0000EFEF0000;
+defparam \alu_unit|LessThan0~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N33
+cyclonev_lcell_comb \alu_unit|LessThan0~0 (
+// Equation(s):
+// \alu_unit|LessThan0~0_combout = ( \read_data2[1]~0_combout & ( \reg_file|reg_read_data_1[1]~1_combout & ( (\read_data2[0]~1_combout & !\reg_file|reg_read_data_1[0]~31_combout ) ) ) ) # ( \read_data2[1]~0_combout & (
+// !\reg_file|reg_read_data_1[1]~1_combout ) ) # ( !\read_data2[1]~0_combout & ( !\reg_file|reg_read_data_1[1]~1_combout & ( (\read_data2[0]~1_combout & !\reg_file|reg_read_data_1[0]~31_combout ) ) ) )
+
+ .dataa(!\read_data2[0]~1_combout ),
+ .datab(!\reg_file|reg_read_data_1[0]~31_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\read_data2[1]~0_combout ),
+ .dataf(!\reg_file|reg_read_data_1[1]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~0 .extended_lut = "off";
+defparam \alu_unit|LessThan0~0 .lut_mask = 64'h4444FFFF00004444;
+defparam \alu_unit|LessThan0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N21
+cyclonev_lcell_comb \alu_unit|LessThan0~1 (
+// Equation(s):
+// \alu_unit|LessThan0~1_combout = (!\read_data2[2]~3_combout & (!\reg_file|reg_read_data_1[2]~3_combout & (!\read_data2[3]~2_combout $ (\reg_file|reg_read_data_1[3]~5_combout )))) # (\read_data2[2]~3_combout & (\reg_file|reg_read_data_1[2]~3_combout &
+// (!\read_data2[3]~2_combout $ (\reg_file|reg_read_data_1[3]~5_combout ))))
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datad(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~1 .extended_lut = "off";
+defparam \alu_unit|LessThan0~1 .lut_mask = 64'h8241824182418241;
+defparam \alu_unit|LessThan0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y12_N45
+cyclonev_lcell_comb \alu_unit|LessThan0~2 (
+// Equation(s):
+// \alu_unit|LessThan0~2_combout = ( \reg_file|reg_read_data_1[3]~5_combout & ( (\read_data2[2]~3_combout & (\read_data2[3]~2_combout & !\reg_file|reg_read_data_1[2]~3_combout )) ) ) # ( !\reg_file|reg_read_data_1[3]~5_combout & (
+// ((\read_data2[2]~3_combout & !\reg_file|reg_read_data_1[2]~3_combout )) # (\read_data2[3]~2_combout ) ) )
+
+ .dataa(!\read_data2[2]~3_combout ),
+ .datab(!\read_data2[3]~2_combout ),
+ .datac(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~2 .extended_lut = "off";
+defparam \alu_unit|LessThan0~2 .lut_mask = 64'h7373737310101010;
+defparam \alu_unit|LessThan0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N48
+cyclonev_lcell_comb \alu_unit|LessThan0~4 (
+// Equation(s):
+// \alu_unit|LessThan0~4_combout = ( \alu_unit|LessThan0~1_combout & ( \alu_unit|LessThan0~2_combout & ( (\alu_unit|LessThan0~3_combout & (!\read_data2[4]~4_combout $ (\reg_file|reg_read_data_1[4]~7_combout ))) ) ) ) # ( !\alu_unit|LessThan0~1_combout
+// & ( \alu_unit|LessThan0~2_combout & ( (\alu_unit|LessThan0~3_combout & (!\read_data2[4]~4_combout $ (\reg_file|reg_read_data_1[4]~7_combout ))) ) ) ) # ( \alu_unit|LessThan0~1_combout & ( !\alu_unit|LessThan0~2_combout & (
+// (\alu_unit|LessThan0~0_combout & (\alu_unit|LessThan0~3_combout & (!\read_data2[4]~4_combout $ (\reg_file|reg_read_data_1[4]~7_combout )))) ) ) )
+
+ .dataa(!\alu_unit|LessThan0~0_combout ),
+ .datab(!\alu_unit|LessThan0~3_combout ),
+ .datac(!\read_data2[4]~4_combout ),
+ .datad(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .datae(!\alu_unit|LessThan0~1_combout ),
+ .dataf(!\alu_unit|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~4 .extended_lut = "off";
+defparam \alu_unit|LessThan0~4 .lut_mask = 64'h0000100130033003;
+defparam \alu_unit|LessThan0~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N9
+cyclonev_lcell_comb \alu_unit|LessThan0~18 (
+// Equation(s):
+// \alu_unit|LessThan0~18_combout = ( \alu_unit|LessThan0~6_combout & ( \alu_unit|LessThan0~4_combout & ( (!\reg_file|reg_read_data_1[15]~25_combout & ((!\alu_unit|LessThan0~17_combout ) # ((\read_data2[15]~15_combout ) # (\alu_unit|LessThan0~12_combout
+// )))) # (\reg_file|reg_read_data_1[15]~25_combout & (\read_data2[15]~15_combout & ((!\alu_unit|LessThan0~17_combout ) # (\alu_unit|LessThan0~12_combout )))) ) ) ) # ( !\alu_unit|LessThan0~6_combout & ( \alu_unit|LessThan0~4_combout & (
+// (!\reg_file|reg_read_data_1[15]~25_combout & ((!\alu_unit|LessThan0~17_combout ) # ((\read_data2[15]~15_combout ) # (\alu_unit|LessThan0~12_combout )))) # (\reg_file|reg_read_data_1[15]~25_combout & (\read_data2[15]~15_combout &
+// ((!\alu_unit|LessThan0~17_combout ) # (\alu_unit|LessThan0~12_combout )))) ) ) ) # ( \alu_unit|LessThan0~6_combout & ( !\alu_unit|LessThan0~4_combout & ( (!\alu_unit|LessThan0~17_combout & ((!\reg_file|reg_read_data_1[15]~25_combout ) #
+// (\read_data2[15]~15_combout ))) # (\alu_unit|LessThan0~17_combout & (!\reg_file|reg_read_data_1[15]~25_combout & \read_data2[15]~15_combout )) ) ) ) # ( !\alu_unit|LessThan0~6_combout & ( !\alu_unit|LessThan0~4_combout & (
+// (!\reg_file|reg_read_data_1[15]~25_combout & ((!\alu_unit|LessThan0~17_combout ) # ((\read_data2[15]~15_combout ) # (\alu_unit|LessThan0~12_combout )))) # (\reg_file|reg_read_data_1[15]~25_combout & (\read_data2[15]~15_combout &
+// ((!\alu_unit|LessThan0~17_combout ) # (\alu_unit|LessThan0~12_combout )))) ) ) )
+
+ .dataa(!\alu_unit|LessThan0~17_combout ),
+ .datab(!\alu_unit|LessThan0~12_combout ),
+ .datac(!\reg_file|reg_read_data_1[15]~25_combout ),
+ .datad(!\read_data2[15]~15_combout ),
+ .datae(!\alu_unit|LessThan0~6_combout ),
+ .dataf(!\alu_unit|LessThan0~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|LessThan0~18_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|LessThan0~18 .extended_lut = "off";
+defparam \alu_unit|LessThan0~18 .lut_mask = 64'hB0FBA0FAB0FBB0FB;
+defparam \alu_unit|LessThan0~18 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N18
+cyclonev_lcell_comb \alu_unit|result~0 (
+// Equation(s):
+// \alu_unit|result~0_combout = ( \reg_file|Equal1~0_combout & ( \control_unit|WideOr0~0_combout & ( (\reg_file|reg_read_data_1[0]~30_combout & (\reg_file|Equal0~0_combout & \instrucion_memory|rom~20_combout )) ) ) ) # ( !\reg_file|Equal1~0_combout & (
+// \control_unit|WideOr0~0_combout & ( (\reg_file|reg_read_data_1[0]~30_combout & (\reg_file|Equal0~0_combout & \instrucion_memory|rom~20_combout )) ) ) ) # ( !\reg_file|Equal1~0_combout & ( !\control_unit|WideOr0~0_combout & (
+// (\reg_file|reg_read_data_1[0]~30_combout & (\reg_file|Equal0~0_combout & \reg_file|reg_read_data_2[0]~1_combout )) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[0]~30_combout ),
+ .datab(!\reg_file|Equal0~0_combout ),
+ .datac(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datad(!\instrucion_memory|rom~20_combout ),
+ .datae(!\reg_file|Equal1~0_combout ),
+ .dataf(!\control_unit|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|result~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|result~0 .extended_lut = "off";
+defparam \alu_unit|result~0 .lut_mask = 64'h0101000000110011;
+defparam \alu_unit|result~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y16_N48
+cyclonev_lcell_comb \alu_unit|result~1 (
+// Equation(s):
+// \alu_unit|result~1_combout = ( \reg_file|Equal1~0_combout & ( \reg_file|reg_read_data_1[0]~30_combout & ( ((\control_unit|WideOr0~0_combout & \instrucion_memory|rom~20_combout )) # (\reg_file|Equal0~0_combout ) ) ) ) # ( !\reg_file|Equal1~0_combout &
+// ( \reg_file|reg_read_data_1[0]~30_combout & ( ((!\control_unit|WideOr0~0_combout & (\reg_file|reg_read_data_2[0]~1_combout )) # (\control_unit|WideOr0~0_combout & ((\instrucion_memory|rom~20_combout )))) # (\reg_file|Equal0~0_combout ) ) ) ) # (
+// \reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_1[0]~30_combout & ( (\control_unit|WideOr0~0_combout & \instrucion_memory|rom~20_combout ) ) ) ) # ( !\reg_file|Equal1~0_combout & ( !\reg_file|reg_read_data_1[0]~30_combout & (
+// (!\control_unit|WideOr0~0_combout & (\reg_file|reg_read_data_2[0]~1_combout )) # (\control_unit|WideOr0~0_combout & ((\instrucion_memory|rom~20_combout ))) ) ) )
+
+ .dataa(!\control_unit|WideOr0~0_combout ),
+ .datab(!\reg_file|Equal0~0_combout ),
+ .datac(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datad(!\instrucion_memory|rom~20_combout ),
+ .datae(!\reg_file|Equal1~0_combout ),
+ .dataf(!\reg_file|reg_read_data_1[0]~30_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|result~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|result~1 .extended_lut = "off";
+defparam \alu_unit|result~1 .lut_mask = 64'h0A5F00553B7F3377;
+defparam \alu_unit|result~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y13_N48
+cyclonev_lcell_comb \alu_unit|Mux15~4 (
+// Equation(s):
+// \alu_unit|Mux15~4_combout = ( !\ALU_Control_unit|WideOr1~0_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout & (\alu_unit|Add1~61_sumout )) # (\ALU_Control_unit|WideOr2~0_combout & ((\alu_unit|Add0~61_sumout
+// )))))) # (\ALU_Control_unit|WideOr0~0_combout & ((((\ALU_Control_unit|WideOr2~0_combout ))))) ) ) # ( \ALU_Control_unit|WideOr1~0_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout &
+// ((\alu_unit|result~1_combout ))) # (\ALU_Control_unit|WideOr2~0_combout & (\alu_unit|result~0_combout ))))) # (\ALU_Control_unit|WideOr0~0_combout & ((((\ALU_Control_unit|WideOr2~0_combout ))))) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datab(!\alu_unit|result~0_combout ),
+ .datac(!\alu_unit|result~1_combout ),
+ .datad(!\alu_unit|Add0~61_sumout ),
+ .datae(!\ALU_Control_unit|WideOr1~0_combout ),
+ .dataf(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datag(!\alu_unit|Add1~61_sumout ),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux15~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux15~4 .extended_lut = "on";
+defparam \alu_unit|Mux15~4 .lut_mask = 64'h0A0A0A0A55FF7777;
+defparam \alu_unit|Mux15~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y13_N36
+cyclonev_lcell_comb \alu_unit|Mux15~0 (
+// Equation(s):
+// \alu_unit|Mux15~0_combout = ( !\ALU_Control_unit|WideOr1~0_combout & ( ((!\ALU_Control_unit|WideOr0~0_combout & (((\alu_unit|Mux15~4_combout )))) # (\ALU_Control_unit|WideOr0~0_combout & ((!\alu_unit|Mux15~4_combout & (\alu_unit|Mult0~8_resulta )) #
+// (\alu_unit|Mux15~4_combout & ((\alu_unit|LessThan0~18_combout )))))) ) ) # ( \ALU_Control_unit|WideOr1~0_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & ((((\alu_unit|Mux15~4_combout ))))) # (\ALU_Control_unit|WideOr0~0_combout &
+// (((!\alu_unit|Mux15~4_combout & ((\alu_unit|shifter_right|op[15]~0_combout ))) # (\alu_unit|Mux15~4_combout & (\alu_unit|shifter_left|op[0]~0_combout ))))) ) )
+
+ .dataa(!\alu_unit|shifter_left|op[0]~0_combout ),
+ .datab(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datac(!\alu_unit|shifter_right|op[15]~0_combout ),
+ .datad(!\alu_unit|LessThan0~18_combout ),
+ .datae(!\ALU_Control_unit|WideOr1~0_combout ),
+ .dataf(!\alu_unit|Mux15~4_combout ),
+ .datag(!\alu_unit|Mult0~8_resulta ),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux15~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux15~0 .extended_lut = "on";
+defparam \alu_unit|Mux15~0 .lut_mask = 64'h03030303CCFFDDDD;
+defparam \alu_unit|Mux15~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N43
+dffeas \datamem|ram~3088 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3088_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3088 .is_wysiwyg = "true";
+defparam \datamem|ram~3088 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y22_N54
+cyclonev_lcell_comb \datamem|ram~3152feeder (
+// Equation(s):
+// \datamem|ram~3152feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3152feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3152feeder .extended_lut = "off";
+defparam \datamem|ram~3152feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3152feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y22_N55
+dffeas \datamem|ram~3152 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3152feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3152_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3152 .is_wysiwyg = "true";
+defparam \datamem|ram~3152 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y22_N3
+cyclonev_lcell_comb \datamem|ram~3216feeder (
+// Equation(s):
+// \datamem|ram~3216feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3216feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3216feeder .extended_lut = "off";
+defparam \datamem|ram~3216feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3216feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y22_N5
+dffeas \datamem|ram~3216 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3216feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3216_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3216 .is_wysiwyg = "true";
+defparam \datamem|ram~3216 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N38
+dffeas \datamem|ram~3280 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3280_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3280 .is_wysiwyg = "true";
+defparam \datamem|ram~3280 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N36
+cyclonev_lcell_comb \datamem|ram~4500 (
+// Equation(s):
+// \datamem|ram~4500_combout = ( \datamem|ram~3280_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3216_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3280_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3216_q ) ) ) ) # ( \datamem|ram~3280_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3088_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3152_q ))) ) ) ) # ( !\datamem|ram~3280_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3088_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3152_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3088_q ),
+ .datab(!\datamem|ram~3152_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3216_q ),
+ .datae(!\datamem|ram~3280_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4500_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4500 .extended_lut = "off";
+defparam \datamem|ram~4500 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4500 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N39
+cyclonev_lcell_comb \datamem|ram~3248feeder (
+// Equation(s):
+// \datamem|ram~3248feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3248feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3248feeder .extended_lut = "off";
+defparam \datamem|ram~3248feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3248feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N41
+dffeas \datamem|ram~3248 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3248feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3248_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3248 .is_wysiwyg = "true";
+defparam \datamem|ram~3248 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N16
+dffeas \datamem|ram~3184 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3184_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3184 .is_wysiwyg = "true";
+defparam \datamem|ram~3184 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N18
+cyclonev_lcell_comb \datamem|ram~3312feeder (
+// Equation(s):
+// \datamem|ram~3312feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3312feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3312feeder .extended_lut = "off";
+defparam \datamem|ram~3312feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3312feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N20
+dffeas \datamem|ram~3312 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3312feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3312_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3312 .is_wysiwyg = "true";
+defparam \datamem|ram~3312 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N29
+dffeas \datamem|ram~3120 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3120_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3120 .is_wysiwyg = "true";
+defparam \datamem|ram~3120 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N6
+cyclonev_lcell_comb \datamem|ram~4502 (
+// Equation(s):
+// \datamem|ram~4502_combout = ( \datamem|ram~3120_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3248_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3312_q ))) ) ) ) # ( !\datamem|ram~3120_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3248_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3312_q ))) ) ) ) # ( \datamem|ram~3120_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~3184_q ) ) ) ) # ( !\datamem|ram~3120_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~3184_q & \alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~3248_q ),
+ .datab(!\datamem|ram~3184_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3312_q ),
+ .datae(!\datamem|ram~3120_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4502_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4502 .extended_lut = "off";
+defparam \datamem|ram~4502 .lut_mask = 64'h0303F3F3505F505F;
+defparam \datamem|ram~4502 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N43
+dffeas \datamem|ram~3136 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3136_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3136 .is_wysiwyg = "true";
+defparam \datamem|ram~3136 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N49
+dffeas \datamem|ram~3200 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3200_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3200 .is_wysiwyg = "true";
+defparam \datamem|ram~3200 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N1
+dffeas \datamem|ram~3072 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3072_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3072 .is_wysiwyg = "true";
+defparam \datamem|ram~3072 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N8
+dffeas \datamem|ram~3264 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3264_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3264 .is_wysiwyg = "true";
+defparam \datamem|ram~3264 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y20_N6
+cyclonev_lcell_comb \datamem|ram~4499 (
+// Equation(s):
+// \datamem|ram~4499_combout = ( \datamem|ram~3264_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3200_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3264_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3200_q ) ) ) ) # ( \datamem|ram~3264_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3072_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3136_q )) ) ) ) # ( !\datamem|ram~3264_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3072_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3136_q )) ) ) )
+
+ .dataa(!\datamem|ram~3136_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3200_q ),
+ .datad(!\datamem|ram~3072_q ),
+ .datae(!\datamem|ram~3264_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4499_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4499 .extended_lut = "off";
+defparam \datamem|ram~4499 .lut_mask = 64'h11DD11DD0C0C3F3F;
+defparam \datamem|ram~4499 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N51
+cyclonev_lcell_comb \datamem|ram~3104feeder (
+// Equation(s):
+// \datamem|ram~3104feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3104feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3104feeder .extended_lut = "off";
+defparam \datamem|ram~3104feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3104feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N53
+dffeas \datamem|ram~3104 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3104feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3104_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3104 .is_wysiwyg = "true";
+defparam \datamem|ram~3104 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N9
+cyclonev_lcell_comb \datamem|ram~3168feeder (
+// Equation(s):
+// \datamem|ram~3168feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3168feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3168feeder .extended_lut = "off";
+defparam \datamem|ram~3168feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3168feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N11
+dffeas \datamem|ram~3168 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3168feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3168_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3168 .is_wysiwyg = "true";
+defparam \datamem|ram~3168 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y22_N39
+cyclonev_lcell_comb \datamem|ram~3232feeder (
+// Equation(s):
+// \datamem|ram~3232feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3232feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3232feeder .extended_lut = "off";
+defparam \datamem|ram~3232feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3232feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N40
+dffeas \datamem|ram~3232 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3232feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3232_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3232 .is_wysiwyg = "true";
+defparam \datamem|ram~3232 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N26
+dffeas \datamem|ram~3296 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3296_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3296 .is_wysiwyg = "true";
+defparam \datamem|ram~3296 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N24
+cyclonev_lcell_comb \datamem|ram~4501 (
+// Equation(s):
+// \datamem|ram~4501_combout = ( \datamem|ram~3296_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3232_q ) ) ) ) # ( !\datamem|ram~3296_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3232_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3296_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3104_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3168_q ))) ) ) ) # ( !\datamem|ram~3296_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3104_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3168_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3104_q ),
+ .datab(!\datamem|ram~3168_q ),
+ .datac(!\datamem|ram~3232_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3296_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4501_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4501 .extended_lut = "off";
+defparam \datamem|ram~4501 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4501 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N54
+cyclonev_lcell_comb \datamem|ram~4503 (
+// Equation(s):
+// \datamem|ram~4503_combout = ( \datamem|ram~4499_combout & ( \datamem|ram~4501_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4500_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4502_combout )))) ) )
+// ) # ( !\datamem|ram~4499_combout & ( \datamem|ram~4501_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4500_combout & ((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4502_combout
+// )))) ) ) ) # ( \datamem|ram~4499_combout & ( !\datamem|ram~4501_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4500_combout ))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~4502_combout &
+// \alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~4499_combout & ( !\datamem|ram~4501_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4500_combout )) # (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4502_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4500_combout ),
+ .datab(!\datamem|ram~4502_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4499_combout ),
+ .dataf(!\datamem|ram~4501_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4503_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4503 .extended_lut = "off";
+defparam \datamem|ram~4503 .lut_mask = 64'h0053F0530F53FF53;
+defparam \datamem|ram~4503 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y6_N51
+cyclonev_lcell_comb \datamem|ram~3440feeder (
+// Equation(s):
+// \datamem|ram~3440feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3440feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3440feeder .extended_lut = "off";
+defparam \datamem|ram~3440feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3440feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y6_N52
+dffeas \datamem|ram~3440 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3440feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3440_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3440 .is_wysiwyg = "true";
+defparam \datamem|ram~3440 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y6_N0
+cyclonev_lcell_comb \datamem|ram~3376feeder (
+// Equation(s):
+// \datamem|ram~3376feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3376feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3376feeder .extended_lut = "off";
+defparam \datamem|ram~3376feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3376feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N1
+dffeas \datamem|ram~3376 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3376feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3376_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3376 .is_wysiwyg = "true";
+defparam \datamem|ram~3376 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N0
+cyclonev_lcell_comb \datamem|ram~3504feeder (
+// Equation(s):
+// \datamem|ram~3504feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3504feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3504feeder .extended_lut = "off";
+defparam \datamem|ram~3504feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3504feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N1
+dffeas \datamem|ram~3504 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3504feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3504_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3504 .is_wysiwyg = "true";
+defparam \datamem|ram~3504 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N32
+dffeas \datamem|ram~3568 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3568_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3568 .is_wysiwyg = "true";
+defparam \datamem|ram~3568 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N30
+cyclonev_lcell_comb \datamem|ram~4507 (
+// Equation(s):
+// \datamem|ram~4507_combout = ( \datamem|ram~3568_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3504_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3568_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3504_q ) ) ) ) # ( \datamem|ram~3568_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3376_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3440_q )) ) ) ) # ( !\datamem|ram~3568_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3376_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3440_q )) ) ) )
+
+ .dataa(!\datamem|ram~3440_q ),
+ .datab(!\datamem|ram~3376_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3504_q ),
+ .datae(!\datamem|ram~3568_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4507_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4507 .extended_lut = "off";
+defparam \datamem|ram~4507 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4507 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N0
+cyclonev_lcell_comb \datamem|ram~3392feeder (
+// Equation(s):
+// \datamem|ram~3392feeder_combout = \reg_file|reg_read_data_2[0]~1_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3392feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3392feeder .extended_lut = "off";
+defparam \datamem|ram~3392feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~3392feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N2
+dffeas \datamem|ram~3392 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3392feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3392_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3392 .is_wysiwyg = "true";
+defparam \datamem|ram~3392 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N42
+cyclonev_lcell_comb \datamem|ram~3456feeder (
+// Equation(s):
+// \datamem|ram~3456feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3456feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3456feeder .extended_lut = "off";
+defparam \datamem|ram~3456feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3456feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N44
+dffeas \datamem|ram~3456 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3456feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3456_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3456 .is_wysiwyg = "true";
+defparam \datamem|ram~3456 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y4_N12
+cyclonev_lcell_comb \datamem|ram~3328feeder (
+// Equation(s):
+// \datamem|ram~3328feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3328feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3328feeder .extended_lut = "off";
+defparam \datamem|ram~3328feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3328feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y4_N13
+dffeas \datamem|ram~3328 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3328feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3328_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3328 .is_wysiwyg = "true";
+defparam \datamem|ram~3328 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N38
+dffeas \datamem|ram~3520 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3520_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3520 .is_wysiwyg = "true";
+defparam \datamem|ram~3520 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N36
+cyclonev_lcell_comb \datamem|ram~4504 (
+// Equation(s):
+// \datamem|ram~4504_combout = ( \datamem|ram~3520_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3456_q ) ) ) ) # ( !\datamem|ram~3520_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3456_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3520_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3328_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3392_q )) ) ) ) # ( !\datamem|ram~3520_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3328_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3392_q )) ) ) )
+
+ .dataa(!\datamem|ram~3392_q ),
+ .datab(!\datamem|ram~3456_q ),
+ .datac(!\datamem|ram~3328_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3520_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4504_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4504 .extended_lut = "off";
+defparam \datamem|ram~4504 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4504 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N5
+dffeas \datamem|ram~3344 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3344_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3344 .is_wysiwyg = "true";
+defparam \datamem|ram~3344 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y22_N54
+cyclonev_lcell_comb \datamem|ram~3472feeder (
+// Equation(s):
+// \datamem|ram~3472feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3472feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3472feeder .extended_lut = "off";
+defparam \datamem|ram~3472feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3472feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N55
+dffeas \datamem|ram~3472 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3472feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3472_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3472 .is_wysiwyg = "true";
+defparam \datamem|ram~3472 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N11
+dffeas \datamem|ram~3408 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3408_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3408 .is_wysiwyg = "true";
+defparam \datamem|ram~3408 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N32
+dffeas \datamem|ram~3536 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3536_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3536 .is_wysiwyg = "true";
+defparam \datamem|ram~3536 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N30
+cyclonev_lcell_comb \datamem|ram~4505 (
+// Equation(s):
+// \datamem|ram~4505_combout = ( \datamem|ram~3536_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3472_q ) ) ) ) # ( !\datamem|ram~3536_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3472_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3536_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3344_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3408_q ))) ) ) ) # ( !\datamem|ram~3536_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3344_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3408_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3344_q ),
+ .datab(!\datamem|ram~3472_q ),
+ .datac(!\datamem|ram~3408_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3536_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4505_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4505 .extended_lut = "off";
+defparam \datamem|ram~4505 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4505 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N13
+dffeas \datamem|ram~3360 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3360_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3360 .is_wysiwyg = "true";
+defparam \datamem|ram~3360 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N5
+dffeas \datamem|ram~3424 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3424_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3424 .is_wysiwyg = "true";
+defparam \datamem|ram~3424 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y14_N3
+cyclonev_lcell_comb \datamem|ram~3488feeder (
+// Equation(s):
+// \datamem|ram~3488feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3488feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3488feeder .extended_lut = "off";
+defparam \datamem|ram~3488feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3488feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y14_N4
+dffeas \datamem|ram~3488 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3488feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3488_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3488 .is_wysiwyg = "true";
+defparam \datamem|ram~3488 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N14
+dffeas \datamem|ram~3552 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3552_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3552 .is_wysiwyg = "true";
+defparam \datamem|ram~3552 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N12
+cyclonev_lcell_comb \datamem|ram~4506 (
+// Equation(s):
+// \datamem|ram~4506_combout = ( \datamem|ram~3552_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3488_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3552_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3488_q ) ) ) ) # ( \datamem|ram~3552_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3360_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3424_q ))) ) ) ) # ( !\datamem|ram~3552_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3360_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3424_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3360_q ),
+ .datab(!\datamem|ram~3424_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3488_q ),
+ .datae(!\datamem|ram~3552_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4506_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4506 .extended_lut = "off";
+defparam \datamem|ram~4506 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4506 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N42
+cyclonev_lcell_comb \datamem|ram~4508 (
+// Equation(s):
+// \datamem|ram~4508_combout = ( \datamem|ram~4505_combout & ( \datamem|ram~4506_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout ) # (\datamem|ram~4504_combout )))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) #
+// (\datamem|ram~4507_combout ))) ) ) ) # ( !\datamem|ram~4505_combout & ( \datamem|ram~4506_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~4504_combout & !\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout &
+// (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4507_combout ))) ) ) ) # ( \datamem|ram~4505_combout & ( !\datamem|ram~4506_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout ) # (\datamem|ram~4504_combout )))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4507_combout & ((\alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~4505_combout & ( !\datamem|ram~4506_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~4504_combout &
+// !\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4507_combout & ((\alu_unit|Mux14~6_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4507_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4504_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4505_combout ),
+ .dataf(!\datamem|ram~4506_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4508_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4508 .extended_lut = "off";
+defparam \datamem|ram~4508 .lut_mask = 64'h0C110CDD3F113FDD;
+defparam \datamem|ram~4508 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N4
+dffeas \datamem|ram~3600 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3600_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3600 .is_wysiwyg = "true";
+defparam \datamem|ram~3600 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N4
+dffeas \datamem|ram~3664 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3664_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3664 .is_wysiwyg = "true";
+defparam \datamem|ram~3664 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y19_N54
+cyclonev_lcell_comb \datamem|ram~3728feeder (
+// Equation(s):
+// \datamem|ram~3728feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3728feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3728feeder .extended_lut = "off";
+defparam \datamem|ram~3728feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3728feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N56
+dffeas \datamem|ram~3728 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3728feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3728_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3728 .is_wysiwyg = "true";
+defparam \datamem|ram~3728 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N50
+dffeas \datamem|ram~3792 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3792_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3792 .is_wysiwyg = "true";
+defparam \datamem|ram~3792 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N48
+cyclonev_lcell_comb \datamem|ram~4510 (
+// Equation(s):
+// \datamem|ram~4510_combout = ( \datamem|ram~3792_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3664_q ) ) ) ) # ( !\datamem|ram~3792_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3664_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3792_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3600_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3728_q ))) ) ) ) # ( !\datamem|ram~3792_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3600_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3728_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3600_q ),
+ .datab(!\datamem|ram~3664_q ),
+ .datac(!\datamem|ram~3728_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~3792_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4510_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4510 .extended_lut = "off";
+defparam \datamem|ram~4510 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4510 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y22_N43
+dffeas \datamem|ram~3712 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3712_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3712 .is_wysiwyg = "true";
+defparam \datamem|ram~3712 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N19
+dffeas \datamem|ram~3584 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3584_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3584 .is_wysiwyg = "true";
+defparam \datamem|ram~3584 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N5
+dffeas \datamem|ram~3648 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3648_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3648 .is_wysiwyg = "true";
+defparam \datamem|ram~3648 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N8
+dffeas \datamem|ram~3776 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3776_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3776 .is_wysiwyg = "true";
+defparam \datamem|ram~3776 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N6
+cyclonev_lcell_comb \datamem|ram~4509 (
+// Equation(s):
+// \datamem|ram~4509_combout = ( \datamem|ram~3776_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3712_q ) ) ) ) # ( !\datamem|ram~3776_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3712_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3776_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3584_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3648_q ))) ) ) ) # ( !\datamem|ram~3776_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3584_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3648_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3712_q ),
+ .datab(!\datamem|ram~3584_q ),
+ .datac(!\datamem|ram~3648_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3776_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4509_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4509 .extended_lut = "off";
+defparam \datamem|ram~4509 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4509 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N48
+cyclonev_lcell_comb \datamem|ram~3760feeder (
+// Equation(s):
+// \datamem|ram~3760feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3760feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3760feeder .extended_lut = "off";
+defparam \datamem|ram~3760feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3760feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N49
+dffeas \datamem|ram~3760 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3760feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3760_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3760 .is_wysiwyg = "true";
+defparam \datamem|ram~3760 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N42
+cyclonev_lcell_comb \datamem|ram~3824feeder (
+// Equation(s):
+// \datamem|ram~3824feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3824feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3824feeder .extended_lut = "off";
+defparam \datamem|ram~3824feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3824feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N43
+dffeas \datamem|ram~3824 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3824feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3824_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3824 .is_wysiwyg = "true";
+defparam \datamem|ram~3824 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N15
+cyclonev_lcell_comb \datamem|ram~3632feeder (
+// Equation(s):
+// \datamem|ram~3632feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3632feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3632feeder .extended_lut = "off";
+defparam \datamem|ram~3632feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3632feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N17
+dffeas \datamem|ram~3632 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3632feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3632_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3632 .is_wysiwyg = "true";
+defparam \datamem|ram~3632 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N12
+cyclonev_lcell_comb \datamem|ram~3696feeder (
+// Equation(s):
+// \datamem|ram~3696feeder_combout = \reg_file|reg_read_data_2[0]~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3696feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3696feeder .extended_lut = "off";
+defparam \datamem|ram~3696feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3696feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N14
+dffeas \datamem|ram~3696 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3696feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3696_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3696 .is_wysiwyg = "true";
+defparam \datamem|ram~3696 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N3
+cyclonev_lcell_comb \datamem|ram~4512 (
+// Equation(s):
+// \datamem|ram~4512_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~3824_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~3760_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~3696_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~3632_q ) ) )
+
+ .dataa(!\datamem|ram~3760_q ),
+ .datab(!\datamem|ram~3824_q ),
+ .datac(!\datamem|ram~3632_q ),
+ .datad(!\datamem|ram~3696_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4512_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4512 .extended_lut = "off";
+defparam \datamem|ram~4512 .lut_mask = 64'h0F0F00FF55553333;
+defparam \datamem|ram~4512 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y19_N0
+cyclonev_lcell_comb \datamem|ram~3616feeder (
+// Equation(s):
+// \datamem|ram~3616feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3616feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3616feeder .extended_lut = "off";
+defparam \datamem|ram~3616feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3616feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N1
+dffeas \datamem|ram~3616 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3616feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3616_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3616 .is_wysiwyg = "true";
+defparam \datamem|ram~3616 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N6
+cyclonev_lcell_comb \datamem|ram~3744feeder (
+// Equation(s):
+// \datamem|ram~3744feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3744feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3744feeder .extended_lut = "off";
+defparam \datamem|ram~3744feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3744feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N7
+dffeas \datamem|ram~3744 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3744feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3744_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3744 .is_wysiwyg = "true";
+defparam \datamem|ram~3744 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y9_N13
+dffeas \datamem|ram~3680 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3680_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3680 .is_wysiwyg = "true";
+defparam \datamem|ram~3680 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N50
+dffeas \datamem|ram~3808 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3808_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3808 .is_wysiwyg = "true";
+defparam \datamem|ram~3808 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N48
+cyclonev_lcell_comb \datamem|ram~4511 (
+// Equation(s):
+// \datamem|ram~4511_combout = ( \datamem|ram~3808_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3744_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3808_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3744_q ) ) ) ) # ( \datamem|ram~3808_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3616_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3680_q ))) ) ) ) # ( !\datamem|ram~3808_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3616_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3680_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3616_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3744_q ),
+ .datad(!\datamem|ram~3680_q ),
+ .datae(!\datamem|ram~3808_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4511_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4511 .extended_lut = "off";
+defparam \datamem|ram~4511 .lut_mask = 64'h447744770C0C3F3F;
+defparam \datamem|ram~4511 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4513 (
+// Equation(s):
+// \datamem|ram~4513_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~4511_combout & ( (!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4512_combout ) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~4511_combout & ( (!\alu_unit|Mux14~6_combout
+// & ((\datamem|ram~4509_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4510_combout )) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~4511_combout & ( (\alu_unit|Mux14~6_combout & \datamem|ram~4512_combout ) ) ) ) # (
+// !\alu_unit|Mux13~4_combout & ( !\datamem|ram~4511_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4509_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4510_combout )) ) ) )
+
+ .dataa(!\datamem|ram~4510_combout ),
+ .datab(!\datamem|ram~4509_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4512_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~4511_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4513_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4513 .extended_lut = "off";
+defparam \datamem|ram~4513 .lut_mask = 64'h3535000F3535F0FF;
+defparam \datamem|ram~4513 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y17_N27
+cyclonev_lcell_comb \datamem|ram~4064feeder (
+// Equation(s):
+// \datamem|ram~4064feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4064feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4064feeder .extended_lut = "off";
+defparam \datamem|ram~4064feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4064feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y17_N28
+dffeas \datamem|ram~4064 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4064feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4064_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4064 .is_wysiwyg = "true";
+defparam \datamem|ram~4064 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N22
+dffeas \datamem|ram~4048 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4048_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4048 .is_wysiwyg = "true";
+defparam \datamem|ram~4048 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y22_N12
+cyclonev_lcell_comb \datamem|ram~4032feeder (
+// Equation(s):
+// \datamem|ram~4032feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4032feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4032feeder .extended_lut = "off";
+defparam \datamem|ram~4032feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4032feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y22_N13
+dffeas \datamem|ram~4032 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4032feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4032_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4032 .is_wysiwyg = "true";
+defparam \datamem|ram~4032 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y16_N26
+dffeas \datamem|ram~4080 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4080_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4080 .is_wysiwyg = "true";
+defparam \datamem|ram~4080 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N24
+cyclonev_lcell_comb \datamem|ram~4517 (
+// Equation(s):
+// \datamem|ram~4517_combout = ( \datamem|ram~4080_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~4048_q ) ) ) ) # ( !\datamem|ram~4080_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~4048_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~4080_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4032_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4064_q )) ) ) ) # ( !\datamem|ram~4080_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4032_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4064_q )) ) ) )
+
+ .dataa(!\datamem|ram~4064_q ),
+ .datab(!\datamem|ram~4048_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4032_q ),
+ .datae(!\datamem|ram~4080_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4517_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4517 .extended_lut = "off";
+defparam \datamem|ram~4517 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4517 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N18
+cyclonev_lcell_comb \datamem|ram~3872feeder (
+// Equation(s):
+// \datamem|ram~3872feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3872feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3872feeder .extended_lut = "off";
+defparam \datamem|ram~3872feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3872feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N19
+dffeas \datamem|ram~3872 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3872feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3872_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3872 .is_wysiwyg = "true";
+defparam \datamem|ram~3872 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N24
+cyclonev_lcell_comb \datamem|ram~3856feeder (
+// Equation(s):
+// \datamem|ram~3856feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3856feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3856feeder .extended_lut = "off";
+defparam \datamem|ram~3856feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3856feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N25
+dffeas \datamem|ram~3856 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3856feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3856_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3856 .is_wysiwyg = "true";
+defparam \datamem|ram~3856 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N28
+dffeas \datamem|ram~3840 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3840_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3840 .is_wysiwyg = "true";
+defparam \datamem|ram~3840 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N14
+dffeas \datamem|ram~3888 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3888_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3888 .is_wysiwyg = "true";
+defparam \datamem|ram~3888 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N12
+cyclonev_lcell_comb \datamem|ram~4514 (
+// Equation(s):
+// \datamem|ram~4514_combout = ( \datamem|ram~3888_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3856_q ) ) ) ) # ( !\datamem|ram~3888_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3856_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3888_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3840_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3872_q )) ) ) ) # ( !\datamem|ram~3888_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3840_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3872_q )) ) ) )
+
+ .dataa(!\datamem|ram~3872_q ),
+ .datab(!\datamem|ram~3856_q ),
+ .datac(!\datamem|ram~3840_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3888_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4514_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4514 .extended_lut = "off";
+defparam \datamem|ram~4514 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4514 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N43
+dffeas \datamem|ram~4000 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4000_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4000 .is_wysiwyg = "true";
+defparam \datamem|ram~4000 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y15_N24
+cyclonev_lcell_comb \datamem|ram~3968feeder (
+// Equation(s):
+// \datamem|ram~3968feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3968feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3968feeder .extended_lut = "off";
+defparam \datamem|ram~3968feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3968feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N26
+dffeas \datamem|ram~3968 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3968feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3968_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3968 .is_wysiwyg = "true";
+defparam \datamem|ram~3968 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y18_N46
+dffeas \datamem|ram~3984 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3984_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3984 .is_wysiwyg = "true";
+defparam \datamem|ram~3984 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y16_N50
+dffeas \datamem|ram~4016 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4016_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4016 .is_wysiwyg = "true";
+defparam \datamem|ram~4016 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y16_N48
+cyclonev_lcell_comb \datamem|ram~4516 (
+// Equation(s):
+// \datamem|ram~4516_combout = ( \datamem|ram~4016_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~4000_q ) ) ) ) # ( !\datamem|ram~4016_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~4000_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~4016_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3968_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3984_q ))) ) ) ) # ( !\datamem|ram~4016_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3968_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3984_q ))) ) ) )
+
+ .dataa(!\datamem|ram~4000_q ),
+ .datab(!\datamem|ram~3968_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3984_q ),
+ .datae(!\datamem|ram~4016_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4516_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4516 .extended_lut = "off";
+defparam \datamem|ram~4516 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4516 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N24
+cyclonev_lcell_comb \datamem|ram~3920feeder (
+// Equation(s):
+// \datamem|ram~3920feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3920feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3920feeder .extended_lut = "off";
+defparam \datamem|ram~3920feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3920feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N25
+dffeas \datamem|ram~3920 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3920feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3920_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3920 .is_wysiwyg = "true";
+defparam \datamem|ram~3920 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y16_N47
+dffeas \datamem|ram~3936 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3936_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3936 .is_wysiwyg = "true";
+defparam \datamem|ram~3936 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y16_N26
+dffeas \datamem|ram~3904 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3904_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3904 .is_wysiwyg = "true";
+defparam \datamem|ram~3904 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y16_N38
+dffeas \datamem|ram~3952 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3952_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3952 .is_wysiwyg = "true";
+defparam \datamem|ram~3952 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y16_N36
+cyclonev_lcell_comb \datamem|ram~4515 (
+// Equation(s):
+// \datamem|ram~4515_combout = ( \datamem|ram~3952_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3920_q ) ) ) ) # ( !\datamem|ram~3952_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3920_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3952_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3904_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3936_q )) ) ) ) # ( !\datamem|ram~3952_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3904_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3936_q )) ) ) )
+
+ .dataa(!\datamem|ram~3920_q ),
+ .datab(!\datamem|ram~3936_q ),
+ .datac(!\datamem|ram~3904_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3952_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4515_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4515 .extended_lut = "off";
+defparam \datamem|ram~4515 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4515 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N48
+cyclonev_lcell_comb \datamem|ram~4518 (
+// Equation(s):
+// \datamem|ram~4518_combout = ( \datamem|ram~4516_combout & ( \datamem|ram~4515_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~4514_combout )))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~4517_combout ))) ) ) ) # ( !\datamem|ram~4516_combout & ( \datamem|ram~4515_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~4514_combout )))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4517_combout & ((\alu_unit|Mux12~2_combout )))) ) ) ) # ( \datamem|ram~4516_combout & ( !\datamem|ram~4515_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4514_combout & !\alu_unit|Mux12~2_combout )))) #
+// (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~4517_combout ))) ) ) ) # ( !\datamem|ram~4516_combout & ( !\datamem|ram~4515_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4514_combout &
+// !\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4517_combout & ((\alu_unit|Mux12~2_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4517_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4514_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4516_combout ),
+ .dataf(!\datamem|ram~4515_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4518_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4518 .extended_lut = "off";
+defparam \datamem|ram~4518 .lut_mask = 64'h0C113F110CDD3FDD;
+defparam \datamem|ram~4518 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N36
+cyclonev_lcell_comb \datamem|ram~4519 (
+// Equation(s):
+// \datamem|ram~4519_combout = ( \datamem|ram~4513_combout & ( \datamem|ram~4518_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4503_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4508_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4513_combout & ( \datamem|ram~4518_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~4503_combout ))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4508_combout )) # (\alu_unit|Mux9~4_combout )))
+// ) ) ) # ( \datamem|ram~4513_combout & ( !\datamem|ram~4518_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4503_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4508_combout )))) ) ) ) # ( !\datamem|ram~4513_combout & ( !\datamem|ram~4518_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4503_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4508_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4503_combout ),
+ .datad(!\datamem|ram~4508_combout ),
+ .datae(!\datamem|ram~4513_combout ),
+ .dataf(!\datamem|ram~4518_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4519_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4519 .extended_lut = "off";
+defparam \datamem|ram~4519 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~4519 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N13
+dffeas \datamem|ram~400 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~400_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~400 .is_wysiwyg = "true";
+defparam \datamem|ram~400 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y6_N42
+cyclonev_lcell_comb \datamem|ram~272feeder (
+// Equation(s):
+// \datamem|ram~272feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~272feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~272feeder .extended_lut = "off";
+defparam \datamem|ram~272feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~272feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N43
+dffeas \datamem|ram~272 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~272feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~272_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~272 .is_wysiwyg = "true";
+defparam \datamem|ram~272 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y6_N24
+cyclonev_lcell_comb \datamem|ram~336feeder (
+// Equation(s):
+// \datamem|ram~336feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~336feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~336feeder .extended_lut = "off";
+defparam \datamem|ram~336feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~336feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N26
+dffeas \datamem|ram~336 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~336feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~336_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~336 .is_wysiwyg = "true";
+defparam \datamem|ram~336 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y6_N30
+cyclonev_lcell_comb \datamem|ram~464feeder (
+// Equation(s):
+// \datamem|ram~464feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~464feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~464feeder .extended_lut = "off";
+defparam \datamem|ram~464feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~464feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N32
+dffeas \datamem|ram~464 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~464feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~464_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~464 .is_wysiwyg = "true";
+defparam \datamem|ram~464 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N39
+cyclonev_lcell_comb \datamem|ram~4442 (
+// Equation(s):
+// \datamem|ram~4442_combout = ( \datamem|ram~464_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~336_q ) ) ) ) # ( !\datamem|ram~464_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~336_q & !\alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~464_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~272_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~400_q )) ) ) ) # ( !\datamem|ram~464_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~272_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~400_q )) ) ) )
+
+ .dataa(!\datamem|ram~400_q ),
+ .datab(!\datamem|ram~272_q ),
+ .datac(!\datamem|ram~336_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~464_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4442_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4442 .extended_lut = "off";
+defparam \datamem|ram~4442 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4442 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N29
+dffeas \datamem|ram~368 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~368_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~368 .is_wysiwyg = "true";
+defparam \datamem|ram~368 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N13
+dffeas \datamem|ram~304 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~304_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~304 .is_wysiwyg = "true";
+defparam \datamem|ram~304 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N53
+dffeas \datamem|ram~432 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~432_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~432 .is_wysiwyg = "true";
+defparam \datamem|ram~432 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y9_N38
+dffeas \datamem|ram~496 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~496_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~496 .is_wysiwyg = "true";
+defparam \datamem|ram~496 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y9_N36
+cyclonev_lcell_comb \datamem|ram~4444 (
+// Equation(s):
+// \datamem|ram~4444_combout = ( \datamem|ram~496_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~368_q ) ) ) ) # ( !\datamem|ram~496_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~368_q & !\alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~496_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~304_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~432_q ))) ) ) ) # ( !\datamem|ram~496_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & (\datamem|ram~304_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~432_q ))) ) ) )
+
+ .dataa(!\datamem|ram~368_q ),
+ .datab(!\datamem|ram~304_q ),
+ .datac(!\datamem|ram~432_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~496_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4444_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4444 .extended_lut = "off";
+defparam \datamem|ram~4444 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4444 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N53
+dffeas \datamem|ram~416 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~416_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~416 .is_wysiwyg = "true";
+defparam \datamem|ram~416 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N35
+dffeas \datamem|ram~352 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~352_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~352 .is_wysiwyg = "true";
+defparam \datamem|ram~352 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y17_N5
+dffeas \datamem|ram~288 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~288_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~288 .is_wysiwyg = "true";
+defparam \datamem|ram~288 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N8
+dffeas \datamem|ram~480 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~480_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~480 .is_wysiwyg = "true";
+defparam \datamem|ram~480 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y16_N6
+cyclonev_lcell_comb \datamem|ram~4443 (
+// Equation(s):
+// \datamem|ram~4443_combout = ( \datamem|ram~480_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~416_q ) ) ) ) # ( !\datamem|ram~480_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~416_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~480_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~288_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~352_q )) ) ) ) # ( !\datamem|ram~480_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~288_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~352_q )) ) ) )
+
+ .dataa(!\datamem|ram~416_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~352_q ),
+ .datad(!\datamem|ram~288_q ),
+ .datae(!\datamem|ram~480_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4443_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4443 .extended_lut = "off";
+defparam \datamem|ram~4443 .lut_mask = 64'h03CF03CF44447777;
+defparam \datamem|ram~4443 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y13_N18
+cyclonev_lcell_comb \datamem|ram~448feeder (
+// Equation(s):
+// \datamem|ram~448feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~448feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~448feeder .extended_lut = "off";
+defparam \datamem|ram~448feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~448feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N20
+dffeas \datamem|ram~448 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~448feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~448_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~448 .is_wysiwyg = "true";
+defparam \datamem|ram~448 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N13
+dffeas \datamem|ram~384 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~384_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~384 .is_wysiwyg = "true";
+defparam \datamem|ram~384 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N0
+cyclonev_lcell_comb \datamem|ram~256feeder (
+// Equation(s):
+// \datamem|ram~256feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~256feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~256feeder .extended_lut = "off";
+defparam \datamem|ram~256feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~256feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N2
+dffeas \datamem|ram~256 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~256feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~256_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~256 .is_wysiwyg = "true";
+defparam \datamem|ram~256 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N56
+dffeas \datamem|ram~320 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~320_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~320 .is_wysiwyg = "true";
+defparam \datamem|ram~320 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y13_N54
+cyclonev_lcell_comb \datamem|ram~4441 (
+// Equation(s):
+// \datamem|ram~4441_combout = ( \datamem|ram~320_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~448_q ) ) ) ) # ( !\datamem|ram~320_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~448_q & \alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~320_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~256_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~384_q )) ) ) ) # ( !\datamem|ram~320_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~256_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~384_q )) ) ) )
+
+ .dataa(!\datamem|ram~448_q ),
+ .datab(!\datamem|ram~384_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~256_q ),
+ .datae(!\datamem|ram~320_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4441_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4441 .extended_lut = "off";
+defparam \datamem|ram~4441 .lut_mask = 64'h03F303F30505F5F5;
+defparam \datamem|ram~4441 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N45
+cyclonev_lcell_comb \datamem|ram~4445 (
+// Equation(s):
+// \datamem|ram~4445_combout = ( \datamem|ram~4443_combout & ( \datamem|ram~4441_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4442_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4444_combout )))) ) )
+// ) # ( !\datamem|ram~4443_combout & ( \datamem|ram~4441_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4442_combout )) #
+// (\alu_unit|Mux13~4_combout & ((\datamem|ram~4444_combout ))))) ) ) ) # ( \datamem|ram~4443_combout & ( !\datamem|ram~4441_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4442_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4444_combout ))))) ) ) ) # ( !\datamem|ram~4443_combout & ( !\datamem|ram~4441_combout & ( (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4442_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4444_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4442_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4444_combout ),
+ .datae(!\datamem|ram~4443_combout ),
+ .dataf(!\datamem|ram~4441_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4445_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4445 .extended_lut = "off";
+defparam \datamem|ram~4445 .lut_mask = 64'h10131C1FD0D3DCDF;
+defparam \datamem|ram~4445 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y15_N27
+cyclonev_lcell_comb \datamem|ram~224feeder (
+// Equation(s):
+// \datamem|ram~224feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~224feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~224feeder .extended_lut = "off";
+defparam \datamem|ram~224feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~224feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N28
+dffeas \datamem|ram~224 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~224feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~224_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~224 .is_wysiwyg = "true";
+defparam \datamem|ram~224 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y21_N51
+cyclonev_lcell_comb \datamem|ram~160feeder (
+// Equation(s):
+// \datamem|ram~160feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~160feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~160feeder .extended_lut = "off";
+defparam \datamem|ram~160feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~160feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y21_N52
+dffeas \datamem|ram~160 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~160feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~160_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~160 .is_wysiwyg = "true";
+defparam \datamem|ram~160 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N33
+cyclonev_lcell_comb \datamem|ram~32feeder (
+// Equation(s):
+// \datamem|ram~32feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~32feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~32feeder .extended_lut = "off";
+defparam \datamem|ram~32feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~32feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N35
+dffeas \datamem|ram~32 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~32feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~32_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~32 .is_wysiwyg = "true";
+defparam \datamem|ram~32 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y21_N3
+cyclonev_lcell_comb \datamem|ram~96feeder (
+// Equation(s):
+// \datamem|ram~96feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~96feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~96feeder .extended_lut = "off";
+defparam \datamem|ram~96feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~96feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N4
+dffeas \datamem|ram~96 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~96feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~96_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~96 .is_wysiwyg = "true";
+defparam \datamem|ram~96 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N48
+cyclonev_lcell_comb \datamem|ram~4438 (
+// Equation(s):
+// \datamem|ram~4438_combout = ( \datamem|ram~96_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~160_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~224_q )) ) ) ) # ( !\datamem|ram~96_q & ( \alu_unit|Mux11~4_combout
+// & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~160_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~224_q )) ) ) ) # ( \datamem|ram~96_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~32_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # (
+// !\datamem|ram~96_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~32_q ) ) ) )
+
+ .dataa(!\datamem|ram~224_q ),
+ .datab(!\datamem|ram~160_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~32_q ),
+ .datae(!\datamem|ram~96_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4438_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4438 .extended_lut = "off";
+defparam \datamem|ram~4438 .lut_mask = 64'h00F00FFF35353535;
+defparam \datamem|ram~4438 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N58
+dffeas \datamem|ram~112 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~112_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~112 .is_wysiwyg = "true";
+defparam \datamem|ram~112 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N3
+cyclonev_lcell_comb \datamem|ram~48feeder (
+// Equation(s):
+// \datamem|ram~48feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~48feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~48feeder .extended_lut = "off";
+defparam \datamem|ram~48feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~48feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N4
+dffeas \datamem|ram~48 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~48feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~48_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~48 .is_wysiwyg = "true";
+defparam \datamem|ram~48 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N52
+dffeas \datamem|ram~176 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~176_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~176 .is_wysiwyg = "true";
+defparam \datamem|ram~176 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y9_N32
+dffeas \datamem|ram~240 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~240_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~240 .is_wysiwyg = "true";
+defparam \datamem|ram~240 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y9_N30
+cyclonev_lcell_comb \datamem|ram~4439 (
+// Equation(s):
+// \datamem|ram~4439_combout = ( \datamem|ram~240_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~112_q ) ) ) ) # ( !\datamem|ram~240_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~112_q & !\alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~240_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~48_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~176_q ))) ) ) ) # ( !\datamem|ram~240_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & (\datamem|ram~48_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~176_q ))) ) ) )
+
+ .dataa(!\datamem|ram~112_q ),
+ .datab(!\datamem|ram~48_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~176_q ),
+ .datae(!\datamem|ram~240_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4439_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4439 .extended_lut = "off";
+defparam \datamem|ram~4439 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4439 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y21_N30
+cyclonev_lcell_comb \datamem|ram~16feeder (
+// Equation(s):
+// \datamem|ram~16feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~16feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~16feeder .extended_lut = "off";
+defparam \datamem|ram~16feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~16feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N31
+dffeas \datamem|ram~16 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~16feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~16_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~16 .is_wysiwyg = "true";
+defparam \datamem|ram~16 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N24
+cyclonev_lcell_comb \datamem|ram~208feeder (
+// Equation(s):
+// \datamem|ram~208feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~208feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~208feeder .extended_lut = "off";
+defparam \datamem|ram~208feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~208feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N25
+dffeas \datamem|ram~208 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~208feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~208_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~208 .is_wysiwyg = "true";
+defparam \datamem|ram~208 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N9
+cyclonev_lcell_comb \datamem|ram~144feeder (
+// Equation(s):
+// \datamem|ram~144feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~144feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~144feeder .extended_lut = "off";
+defparam \datamem|ram~144feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~144feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N11
+dffeas \datamem|ram~144 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~144feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~144_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~144 .is_wysiwyg = "true";
+defparam \datamem|ram~144 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N50
+dffeas \datamem|ram~80 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~80_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~80 .is_wysiwyg = "true";
+defparam \datamem|ram~80 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N48
+cyclonev_lcell_comb \datamem|ram~4437 (
+// Equation(s):
+// \datamem|ram~4437_combout = ( \datamem|ram~80_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~144_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~208_q )) ) ) ) # ( !\datamem|ram~80_q & ( \alu_unit|Mux11~4_combout
+// & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~144_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~208_q )) ) ) ) # ( \datamem|ram~80_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~16_q ) ) ) ) # (
+// !\datamem|ram~80_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~16_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~16_q ),
+ .datab(!\datamem|ram~208_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~144_q ),
+ .datae(!\datamem|ram~80_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4437_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4437 .extended_lut = "off";
+defparam \datamem|ram~4437 .lut_mask = 64'h50505F5F03F303F3;
+defparam \datamem|ram~4437 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N35
+dffeas \datamem|ram~128 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~128_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~128 .is_wysiwyg = "true";
+defparam \datamem|ram~128 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y9_N36
+cyclonev_lcell_comb \datamem|ram~0feeder (
+// Equation(s):
+// \datamem|ram~0feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~0feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~0feeder .extended_lut = "off";
+defparam \datamem|ram~0feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~0feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N37
+dffeas \datamem|ram~0 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~0feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~0_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~0 .is_wysiwyg = "true";
+defparam \datamem|ram~0 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N6
+cyclonev_lcell_comb \datamem|ram~192feeder (
+// Equation(s):
+// \datamem|ram~192feeder_combout = \reg_file|reg_read_data_2[0]~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~192feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~192feeder .extended_lut = "off";
+defparam \datamem|ram~192feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~192feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N7
+dffeas \datamem|ram~192 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~192feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~192_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~192 .is_wysiwyg = "true";
+defparam \datamem|ram~192 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N2
+dffeas \datamem|ram~64 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~64_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~64 .is_wysiwyg = "true";
+defparam \datamem|ram~64 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N0
+cyclonev_lcell_comb \datamem|ram~4436 (
+// Equation(s):
+// \datamem|ram~4436_combout = ( \datamem|ram~64_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~128_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~192_q ))) ) ) ) # ( !\datamem|ram~64_q & ( \alu_unit|Mux11~4_combout
+// & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~128_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~192_q ))) ) ) ) # ( \datamem|ram~64_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~0_q ) ) ) ) # (
+// !\datamem|ram~64_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~0_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~128_q ),
+ .datab(!\datamem|ram~0_q ),
+ .datac(!\datamem|ram~192_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~64_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4436_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4436 .extended_lut = "off";
+defparam \datamem|ram~4436 .lut_mask = 64'h330033FF550F550F;
+defparam \datamem|ram~4436 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N54
+cyclonev_lcell_comb \datamem|ram~4440 (
+// Equation(s):
+// \datamem|ram~4440_combout = ( \datamem|ram~4437_combout & ( \datamem|ram~4436_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4438_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4439_combout )))) ) )
+// ) # ( !\datamem|ram~4437_combout & ( \datamem|ram~4436_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout )) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4438_combout )) # (\alu_unit|Mux14~6_combout
+// & ((\datamem|ram~4439_combout ))))) ) ) ) # ( \datamem|ram~4437_combout & ( !\datamem|ram~4436_combout & ( (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout )) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4438_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4439_combout ))))) ) ) ) # ( !\datamem|ram~4437_combout & ( !\datamem|ram~4436_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4438_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4439_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4438_combout ),
+ .datad(!\datamem|ram~4439_combout ),
+ .datae(!\datamem|ram~4437_combout ),
+ .dataf(!\datamem|ram~4436_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4440_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4440 .extended_lut = "off";
+defparam \datamem|ram~4440 .lut_mask = 64'h041526378C9DAEBF;
+defparam \datamem|ram~4440 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N5
+dffeas \datamem|ram~688 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~688_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~688 .is_wysiwyg = "true";
+defparam \datamem|ram~688 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N3
+cyclonev_lcell_comb \datamem|ram~624feeder (
+// Equation(s):
+// \datamem|ram~624feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~624feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~624feeder .extended_lut = "off";
+defparam \datamem|ram~624feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~624feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N4
+dffeas \datamem|ram~624 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~624feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~624_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~624 .is_wysiwyg = "true";
+defparam \datamem|ram~624 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N32
+dffeas \datamem|ram~560 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~560_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~560 .is_wysiwyg = "true";
+defparam \datamem|ram~560 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N44
+dffeas \datamem|ram~752 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~752_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~752 .is_wysiwyg = "true";
+defparam \datamem|ram~752 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y6_N42
+cyclonev_lcell_comb \datamem|ram~4449 (
+// Equation(s):
+// \datamem|ram~4449_combout = ( \datamem|ram~752_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~688_q ) ) ) ) # ( !\datamem|ram~752_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~688_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~752_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~560_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~624_q )) ) ) ) # ( !\datamem|ram~752_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~560_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~624_q )) ) ) )
+
+ .dataa(!\datamem|ram~688_q ),
+ .datab(!\datamem|ram~624_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~560_q ),
+ .datae(!\datamem|ram~752_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4449_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4449 .extended_lut = "off";
+defparam \datamem|ram~4449 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4449 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N18
+cyclonev_lcell_comb \datamem|ram~544feeder (
+// Equation(s):
+// \datamem|ram~544feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~544feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~544feeder .extended_lut = "off";
+defparam \datamem|ram~544feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~544feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N20
+dffeas \datamem|ram~544 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~544feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~544_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~544 .is_wysiwyg = "true";
+defparam \datamem|ram~544 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y7_N15
+cyclonev_lcell_comb \datamem|ram~608feeder (
+// Equation(s):
+// \datamem|ram~608feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~608feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~608feeder .extended_lut = "off";
+defparam \datamem|ram~608feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~608feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y7_N17
+dffeas \datamem|ram~608 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~608feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~608_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~608 .is_wysiwyg = "true";
+defparam \datamem|ram~608 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N54
+cyclonev_lcell_comb \datamem|ram~672feeder (
+// Equation(s):
+// \datamem|ram~672feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~672feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~672feeder .extended_lut = "off";
+defparam \datamem|ram~672feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~672feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N56
+dffeas \datamem|ram~672 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~672feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~672_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~672 .is_wysiwyg = "true";
+defparam \datamem|ram~672 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N50
+dffeas \datamem|ram~736 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~736_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~736 .is_wysiwyg = "true";
+defparam \datamem|ram~736 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N48
+cyclonev_lcell_comb \datamem|ram~4448 (
+// Equation(s):
+// \datamem|ram~4448_combout = ( \datamem|ram~736_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~672_q ) ) ) ) # ( !\datamem|ram~736_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~672_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~736_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~544_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~608_q ))) ) ) ) # ( !\datamem|ram~736_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~544_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~608_q ))) ) ) )
+
+ .dataa(!\datamem|ram~544_q ),
+ .datab(!\datamem|ram~608_q ),
+ .datac(!\datamem|ram~672_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~736_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4448_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4448 .extended_lut = "off";
+defparam \datamem|ram~4448 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4448 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N39
+cyclonev_lcell_comb \datamem|ram~640feeder (
+// Equation(s):
+// \datamem|ram~640feeder_combout = \reg_file|reg_read_data_2[0]~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~640feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~640feeder .extended_lut = "off";
+defparam \datamem|ram~640feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~640feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N40
+dffeas \datamem|ram~640 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~640feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~640_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~640 .is_wysiwyg = "true";
+defparam \datamem|ram~640 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N45
+cyclonev_lcell_comb \datamem|ram~576feeder (
+// Equation(s):
+// \datamem|ram~576feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~576feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~576feeder .extended_lut = "off";
+defparam \datamem|ram~576feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~576feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N47
+dffeas \datamem|ram~576 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~576feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~576_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~576 .is_wysiwyg = "true";
+defparam \datamem|ram~576 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N35
+dffeas \datamem|ram~512 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~512_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~512 .is_wysiwyg = "true";
+defparam \datamem|ram~512 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N8
+dffeas \datamem|ram~704 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~704_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~704 .is_wysiwyg = "true";
+defparam \datamem|ram~704 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N6
+cyclonev_lcell_comb \datamem|ram~4446 (
+// Equation(s):
+// \datamem|ram~4446_combout = ( \datamem|ram~704_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~640_q ) ) ) ) # ( !\datamem|ram~704_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~640_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~704_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~512_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~576_q )) ) ) ) # ( !\datamem|ram~704_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~512_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~576_q )) ) ) )
+
+ .dataa(!\datamem|ram~640_q ),
+ .datab(!\datamem|ram~576_q ),
+ .datac(!\datamem|ram~512_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~704_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4446_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4446 .extended_lut = "off";
+defparam \datamem|ram~4446 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4446 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N0
+cyclonev_lcell_comb \datamem|ram~528feeder (
+// Equation(s):
+// \datamem|ram~528feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~528feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~528feeder .extended_lut = "off";
+defparam \datamem|ram~528feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~528feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N2
+dffeas \datamem|ram~528 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~528feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~528_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~528 .is_wysiwyg = "true";
+defparam \datamem|ram~528 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y4_N9
+cyclonev_lcell_comb \datamem|ram~592feeder (
+// Equation(s):
+// \datamem|ram~592feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~592feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~592feeder .extended_lut = "off";
+defparam \datamem|ram~592feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~592feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N10
+dffeas \datamem|ram~592 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~592feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~592_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~592 .is_wysiwyg = "true";
+defparam \datamem|ram~592 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N42
+cyclonev_lcell_comb \datamem|ram~656feeder (
+// Equation(s):
+// \datamem|ram~656feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~656feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~656feeder .extended_lut = "off";
+defparam \datamem|ram~656feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~656feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N44
+dffeas \datamem|ram~656 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~656feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~656_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~656 .is_wysiwyg = "true";
+defparam \datamem|ram~656 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N26
+dffeas \datamem|ram~720 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~720_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~720 .is_wysiwyg = "true";
+defparam \datamem|ram~720 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N24
+cyclonev_lcell_comb \datamem|ram~4447 (
+// Equation(s):
+// \datamem|ram~4447_combout = ( \datamem|ram~720_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~656_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~720_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~656_q
+// ) ) ) ) # ( \datamem|ram~720_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~528_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~592_q ))) ) ) ) # ( !\datamem|ram~720_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~528_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~592_q ))) ) ) )
+
+ .dataa(!\datamem|ram~528_q ),
+ .datab(!\datamem|ram~592_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~656_q ),
+ .datae(!\datamem|ram~720_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4447_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4447 .extended_lut = "off";
+defparam \datamem|ram~4447 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4447 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N21
+cyclonev_lcell_comb \datamem|ram~4450 (
+// Equation(s):
+// \datamem|ram~4450_combout = ( \datamem|ram~4446_combout & ( \datamem|ram~4447_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4448_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4449_combout ))) ) )
+// ) # ( !\datamem|ram~4446_combout & ( \datamem|ram~4447_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4448_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4449_combout )))) ) ) ) # ( \datamem|ram~4446_combout & ( !\datamem|ram~4447_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4448_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4449_combout )))) ) ) ) # ( !\datamem|ram~4446_combout & ( !\datamem|ram~4447_combout & ( (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4448_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4449_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~4449_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4448_combout ),
+ .datae(!\datamem|ram~4446_combout ),
+ .dataf(!\datamem|ram~4447_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4450_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4450 .extended_lut = "off";
+defparam \datamem|ram~4450 .lut_mask = 64'h0151A1F10B5BABFB;
+defparam \datamem|ram~4450 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N7
+dffeas \datamem|ram~912 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~912_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~912 .is_wysiwyg = "true";
+defparam \datamem|ram~912 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N32
+dffeas \datamem|ram~896 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~896_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~896 .is_wysiwyg = "true";
+defparam \datamem|ram~896 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y18_N42
+cyclonev_lcell_comb \datamem|ram~928feeder (
+// Equation(s):
+// \datamem|ram~928feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~928feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~928feeder .extended_lut = "off";
+defparam \datamem|ram~928feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~928feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y18_N43
+dffeas \datamem|ram~928 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~928feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~928_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~928 .is_wysiwyg = "true";
+defparam \datamem|ram~928 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N26
+dffeas \datamem|ram~944 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~944_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~944 .is_wysiwyg = "true";
+defparam \datamem|ram~944 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N24
+cyclonev_lcell_comb \datamem|ram~4453 (
+// Equation(s):
+// \datamem|ram~4453_combout = ( \datamem|ram~944_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~912_q ) ) ) ) # ( !\datamem|ram~944_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~912_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~944_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~896_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~928_q ))) ) ) ) # ( !\datamem|ram~944_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~896_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~928_q ))) ) ) )
+
+ .dataa(!\datamem|ram~912_q ),
+ .datab(!\datamem|ram~896_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~928_q ),
+ .datae(!\datamem|ram~944_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4453_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4453 .extended_lut = "off";
+defparam \datamem|ram~4453 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4453 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N4
+dffeas \datamem|ram~960 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~960_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~960 .is_wysiwyg = "true";
+defparam \datamem|ram~960 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N41
+dffeas \datamem|ram~976 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~976_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~976 .is_wysiwyg = "true";
+defparam \datamem|ram~976 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N44
+dffeas \datamem|ram~992 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~992_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~992 .is_wysiwyg = "true";
+defparam \datamem|ram~992 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N8
+dffeas \datamem|ram~1008 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1008_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1008 .is_wysiwyg = "true";
+defparam \datamem|ram~1008 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N6
+cyclonev_lcell_comb \datamem|ram~4454 (
+// Equation(s):
+// \datamem|ram~4454_combout = ( \datamem|ram~1008_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~976_q ) ) ) ) # ( !\datamem|ram~1008_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~976_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1008_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~960_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~992_q ))) ) ) ) # ( !\datamem|ram~1008_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~960_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~992_q ))) ) ) )
+
+ .dataa(!\datamem|ram~960_q ),
+ .datab(!\datamem|ram~976_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~992_q ),
+ .datae(!\datamem|ram~1008_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4454_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4454 .extended_lut = "off";
+defparam \datamem|ram~4454 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4454 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N16
+dffeas \datamem|ram~800 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~800_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~800 .is_wysiwyg = "true";
+defparam \datamem|ram~800 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N47
+dffeas \datamem|ram~784 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~784_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~784 .is_wysiwyg = "true";
+defparam \datamem|ram~784 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N12
+cyclonev_lcell_comb \datamem|ram~768feeder (
+// Equation(s):
+// \datamem|ram~768feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~768feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~768feeder .extended_lut = "off";
+defparam \datamem|ram~768feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~768feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N13
+dffeas \datamem|ram~768 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~768feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~768_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~768 .is_wysiwyg = "true";
+defparam \datamem|ram~768 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N26
+dffeas \datamem|ram~816 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~816_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~816 .is_wysiwyg = "true";
+defparam \datamem|ram~816 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N24
+cyclonev_lcell_comb \datamem|ram~4451 (
+// Equation(s):
+// \datamem|ram~4451_combout = ( \datamem|ram~816_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~784_q ) ) ) ) # ( !\datamem|ram~816_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~784_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~816_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~768_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~800_q )) ) ) ) # ( !\datamem|ram~816_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~768_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~800_q )) ) ) )
+
+ .dataa(!\datamem|ram~800_q ),
+ .datab(!\datamem|ram~784_q ),
+ .datac(!\datamem|ram~768_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~816_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4451_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4451 .extended_lut = "off";
+defparam \datamem|ram~4451 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4451 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N43
+dffeas \datamem|ram~864 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~864_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~864 .is_wysiwyg = "true";
+defparam \datamem|ram~864 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y7_N57
+cyclonev_lcell_comb \datamem|ram~848feeder (
+// Equation(s):
+// \datamem|ram~848feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~848feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~848feeder .extended_lut = "off";
+defparam \datamem|ram~848feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~848feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y7_N59
+dffeas \datamem|ram~848 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~848feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~848_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~848 .is_wysiwyg = "true";
+defparam \datamem|ram~848 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y7_N33
+cyclonev_lcell_comb \datamem|ram~832feeder (
+// Equation(s):
+// \datamem|ram~832feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~832feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~832feeder .extended_lut = "off";
+defparam \datamem|ram~832feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~832feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y7_N34
+dffeas \datamem|ram~832 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~832feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~832_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~832 .is_wysiwyg = "true";
+defparam \datamem|ram~832 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y7_N50
+dffeas \datamem|ram~880 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~880_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~880 .is_wysiwyg = "true";
+defparam \datamem|ram~880 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y7_N48
+cyclonev_lcell_comb \datamem|ram~4452 (
+// Equation(s):
+// \datamem|ram~4452_combout = ( \datamem|ram~880_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~848_q ) ) ) ) # ( !\datamem|ram~880_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~848_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~880_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~832_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~864_q )) ) ) ) # ( !\datamem|ram~880_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~832_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~864_q )) ) ) )
+
+ .dataa(!\datamem|ram~864_q ),
+ .datab(!\datamem|ram~848_q ),
+ .datac(!\datamem|ram~832_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~880_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4452_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4452 .extended_lut = "off";
+defparam \datamem|ram~4452 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4452 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N33
+cyclonev_lcell_comb \datamem|ram~4455 (
+// Equation(s):
+// \datamem|ram~4455_combout = ( \datamem|ram~4451_combout & ( \datamem|ram~4452_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4453_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4454_combout )))) ) )
+// ) # ( !\datamem|ram~4451_combout & ( \datamem|ram~4452_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~4453_combout & ((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) # (\datamem|ram~4454_combout
+// )))) ) ) ) # ( \datamem|ram~4451_combout & ( !\datamem|ram~4452_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~4453_combout ))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4454_combout &
+// \alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~4451_combout & ( !\datamem|ram~4452_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4453_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~4454_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~4453_combout ),
+ .datac(!\datamem|ram~4454_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4451_combout ),
+ .dataf(!\datamem|ram~4452_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4455_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4455 .extended_lut = "off";
+defparam \datamem|ram~4455 .lut_mask = 64'h0027AA275527FF27;
+defparam \datamem|ram~4455 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N27
+cyclonev_lcell_comb \datamem|ram~4456 (
+// Equation(s):
+// \datamem|ram~4456_combout = ( \datamem|ram~4450_combout & ( \datamem|ram~4455_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4440_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4445_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4450_combout & ( \datamem|ram~4455_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & ((\datamem|ram~4440_combout )))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4445_combout )) # (\alu_unit|Mux9~4_combout
+// ))) ) ) ) # ( \datamem|ram~4450_combout & ( !\datamem|ram~4455_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4440_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4445_combout ))) ) ) ) # ( !\datamem|ram~4450_combout & ( !\datamem|ram~4455_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4440_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4445_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4445_combout ),
+ .datad(!\datamem|ram~4440_combout ),
+ .datae(!\datamem|ram~4450_combout ),
+ .dataf(!\datamem|ram~4455_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4456_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4456 .extended_lut = "off";
+defparam \datamem|ram~4456 .lut_mask = 64'h048C26AE159D37BF;
+defparam \datamem|ram~4456 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N15
+cyclonev_lcell_comb \datamem|ram~1136feeder (
+// Equation(s):
+// \datamem|ram~1136feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1136feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1136feeder .extended_lut = "off";
+defparam \datamem|ram~1136feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1136feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N16
+dffeas \datamem|ram~1136 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1136feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1136_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1136 .is_wysiwyg = "true";
+defparam \datamem|ram~1136 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N5
+dffeas \datamem|ram~1072 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1072_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1072 .is_wysiwyg = "true";
+defparam \datamem|ram~1072 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N24
+cyclonev_lcell_comb \datamem|ram~1264feeder (
+// Equation(s):
+// \datamem|ram~1264feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1264feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1264feeder .extended_lut = "off";
+defparam \datamem|ram~1264feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1264feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N25
+dffeas \datamem|ram~1264 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1264feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1264_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1264 .is_wysiwyg = "true";
+defparam \datamem|ram~1264 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N22
+dffeas \datamem|ram~1200 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1200_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1200 .is_wysiwyg = "true";
+defparam \datamem|ram~1200 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y6_N12
+cyclonev_lcell_comb \datamem|ram~4472 (
+// Equation(s):
+// \datamem|ram~4472_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1264_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~1200_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~1136_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~1072_q ) ) )
+
+ .dataa(!\datamem|ram~1136_q ),
+ .datab(!\datamem|ram~1072_q ),
+ .datac(!\datamem|ram~1264_q ),
+ .datad(!\datamem|ram~1200_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4472_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4472 .extended_lut = "off";
+defparam \datamem|ram~4472 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~4472 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y9_N24
+cyclonev_lcell_comb \datamem|ram~1904feeder (
+// Equation(s):
+// \datamem|ram~1904feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1904feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1904feeder .extended_lut = "off";
+defparam \datamem|ram~1904feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1904feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y9_N25
+dffeas \datamem|ram~1904 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1904feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1904_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1904 .is_wysiwyg = "true";
+defparam \datamem|ram~1904 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N7
+dffeas \datamem|ram~1840 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1840_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1840 .is_wysiwyg = "true";
+defparam \datamem|ram~1840 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y9_N24
+cyclonev_lcell_comb \datamem|ram~1968feeder (
+// Equation(s):
+// \datamem|ram~1968feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1968feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1968feeder .extended_lut = "off";
+defparam \datamem|ram~1968feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1968feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y9_N26
+dffeas \datamem|ram~1968 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1968feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1968_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1968 .is_wysiwyg = "true";
+defparam \datamem|ram~1968 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y6_N44
+dffeas \datamem|ram~2032 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2032_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2032 .is_wysiwyg = "true";
+defparam \datamem|ram~2032 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y6_N42
+cyclonev_lcell_comb \datamem|ram~4475 (
+// Equation(s):
+// \datamem|ram~4475_combout = ( \datamem|ram~2032_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1968_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2032_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1968_q ) ) ) ) # ( \datamem|ram~2032_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1840_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1904_q )) ) ) ) # ( !\datamem|ram~2032_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1840_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1904_q )) ) ) )
+
+ .dataa(!\datamem|ram~1904_q ),
+ .datab(!\datamem|ram~1840_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1968_q ),
+ .datae(!\datamem|ram~2032_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4475_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4475 .extended_lut = "off";
+defparam \datamem|ram~4475 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4475 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N39
+cyclonev_lcell_comb \datamem|ram~1328feeder (
+// Equation(s):
+// \datamem|ram~1328feeder_combout = \reg_file|reg_read_data_2[0]~1_combout
+
+ .dataa(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1328feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1328feeder .extended_lut = "off";
+defparam \datamem|ram~1328feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1328feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N40
+dffeas \datamem|ram~1328 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1328feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1328_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1328 .is_wysiwyg = "true";
+defparam \datamem|ram~1328 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y6_N59
+dffeas \datamem|ram~1392 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1392_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1392 .is_wysiwyg = "true";
+defparam \datamem|ram~1392 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N58
+dffeas \datamem|ram~1456 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1456_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1456 .is_wysiwyg = "true";
+defparam \datamem|ram~1456 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y6_N5
+dffeas \datamem|ram~1520 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1520_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1520 .is_wysiwyg = "true";
+defparam \datamem|ram~1520 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y6_N3
+cyclonev_lcell_comb \datamem|ram~4473 (
+// Equation(s):
+// \datamem|ram~4473_combout = ( \datamem|ram~1520_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1392_q ) ) ) ) # ( !\datamem|ram~1520_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1392_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1520_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1328_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1456_q ))) ) ) ) # ( !\datamem|ram~1520_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1328_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1456_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1328_q ),
+ .datab(!\datamem|ram~1392_q ),
+ .datac(!\datamem|ram~1456_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1520_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4473_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4473 .extended_lut = "off";
+defparam \datamem|ram~4473 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4473 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N29
+dffeas \datamem|ram~1648 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1648_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1648 .is_wysiwyg = "true";
+defparam \datamem|ram~1648 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N41
+dffeas \datamem|ram~1584 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1584_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1584 .is_wysiwyg = "true";
+defparam \datamem|ram~1584 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N48
+cyclonev_lcell_comb \datamem|ram~1712feeder (
+// Equation(s):
+// \datamem|ram~1712feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1712feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1712feeder .extended_lut = "off";
+defparam \datamem|ram~1712feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1712feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N49
+dffeas \datamem|ram~1712 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1712feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1712_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1712 .is_wysiwyg = "true";
+defparam \datamem|ram~1712 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N32
+dffeas \datamem|ram~1776 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1776_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1776 .is_wysiwyg = "true";
+defparam \datamem|ram~1776 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N30
+cyclonev_lcell_comb \datamem|ram~4474 (
+// Equation(s):
+// \datamem|ram~4474_combout = ( \datamem|ram~1776_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1712_q ) ) ) ) # ( !\datamem|ram~1776_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1712_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1776_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1584_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1648_q )) ) ) ) # ( !\datamem|ram~1776_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1584_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1648_q )) ) ) )
+
+ .dataa(!\datamem|ram~1648_q ),
+ .datab(!\datamem|ram~1584_q ),
+ .datac(!\datamem|ram~1712_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1776_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4474_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4474 .extended_lut = "off";
+defparam \datamem|ram~4474 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4474 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y6_N30
+cyclonev_lcell_comb \datamem|ram~4476 (
+// Equation(s):
+// \datamem|ram~4476_combout = ( \datamem|ram~4473_combout & ( \datamem|ram~4474_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~4472_combout ))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~4475_combout )))) ) ) ) # ( !\datamem|ram~4473_combout & ( \datamem|ram~4474_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~4472_combout & (!\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4475_combout )))) ) ) ) # ( \datamem|ram~4473_combout & ( !\datamem|ram~4474_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~4472_combout ))) #
+// (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout & \datamem|ram~4475_combout )))) ) ) ) # ( !\datamem|ram~4473_combout & ( !\datamem|ram~4474_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~4472_combout & (!\alu_unit|Mux10~6_combout
+// ))) # (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout & \datamem|ram~4475_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~4472_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~4475_combout ),
+ .datae(!\datamem|ram~4473_combout ),
+ .dataf(!\datamem|ram~4474_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4476_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4476 .extended_lut = "off";
+defparam \datamem|ram~4476 .lut_mask = 64'h20252A2F70757A7F;
+defparam \datamem|ram~4476 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y18_N24
+cyclonev_lcell_comb \datamem|ram~1760feeder (
+// Equation(s):
+// \datamem|ram~1760feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1760feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1760feeder .extended_lut = "off";
+defparam \datamem|ram~1760feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1760feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y18_N25
+dffeas \datamem|ram~1760 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1760feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1760_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1760 .is_wysiwyg = "true";
+defparam \datamem|ram~1760 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N49
+dffeas \datamem|ram~1504 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1504_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1504 .is_wysiwyg = "true";
+defparam \datamem|ram~1504 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N20
+dffeas \datamem|ram~1248 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1248_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1248 .is_wysiwyg = "true";
+defparam \datamem|ram~1248 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y14_N56
+dffeas \datamem|ram~2016 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2016_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2016 .is_wysiwyg = "true";
+defparam \datamem|ram~2016 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y14_N54
+cyclonev_lcell_comb \datamem|ram~4470 (
+// Equation(s):
+// \datamem|ram~4470_combout = ( \datamem|ram~2016_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1760_q ) ) ) ) # ( !\datamem|ram~2016_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1760_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2016_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1248_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1504_q )) ) ) ) # ( !\datamem|ram~2016_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1248_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1504_q )) ) ) )
+
+ .dataa(!\datamem|ram~1760_q ),
+ .datab(!\datamem|ram~1504_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1248_q ),
+ .datae(!\datamem|ram~2016_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4470_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4470 .extended_lut = "off";
+defparam \datamem|ram~4470 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4470 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N22
+dffeas \datamem|ram~1056 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1056_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1056 .is_wysiwyg = "true";
+defparam \datamem|ram~1056 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y10_N12
+cyclonev_lcell_comb \datamem|ram~1568feeder (
+// Equation(s):
+// \datamem|ram~1568feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1568feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1568feeder .extended_lut = "off";
+defparam \datamem|ram~1568feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1568feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N13
+dffeas \datamem|ram~1568 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1568feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1568_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1568 .is_wysiwyg = "true";
+defparam \datamem|ram~1568 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N28
+dffeas \datamem|ram~1312 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1312_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1312 .is_wysiwyg = "true";
+defparam \datamem|ram~1312 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y14_N44
+dffeas \datamem|ram~1824 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1824_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1824 .is_wysiwyg = "true";
+defparam \datamem|ram~1824 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y14_N42
+cyclonev_lcell_comb \datamem|ram~4467 (
+// Equation(s):
+// \datamem|ram~4467_combout = ( \datamem|ram~1824_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1312_q ) ) ) ) # ( !\datamem|ram~1824_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1312_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1824_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1056_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1568_q ))) ) ) ) # ( !\datamem|ram~1824_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1056_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1568_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1056_q ),
+ .datab(!\datamem|ram~1568_q ),
+ .datac(!\datamem|ram~1312_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1824_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4467_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4467 .extended_lut = "off";
+defparam \datamem|ram~4467 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4467 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y23_N36
+cyclonev_lcell_comb \datamem|ram~1440feeder (
+// Equation(s):
+// \datamem|ram~1440feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1440feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1440feeder .extended_lut = "off";
+defparam \datamem|ram~1440feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1440feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N37
+dffeas \datamem|ram~1440 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1440feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1440_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1440 .is_wysiwyg = "true";
+defparam \datamem|ram~1440 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y22_N28
+dffeas \datamem|ram~1696 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1696_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1696 .is_wysiwyg = "true";
+defparam \datamem|ram~1696 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y18_N0
+cyclonev_lcell_comb \datamem|ram~1184feeder (
+// Equation(s):
+// \datamem|ram~1184feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1184feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1184feeder .extended_lut = "off";
+defparam \datamem|ram~1184feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1184feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y18_N1
+dffeas \datamem|ram~1184 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1184feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1184_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1184 .is_wysiwyg = "true";
+defparam \datamem|ram~1184 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y14_N38
+dffeas \datamem|ram~1952 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1952_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1952 .is_wysiwyg = "true";
+defparam \datamem|ram~1952 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y14_N36
+cyclonev_lcell_comb \datamem|ram~4469 (
+// Equation(s):
+// \datamem|ram~4469_combout = ( \datamem|ram~1952_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1440_q ) ) ) ) # ( !\datamem|ram~1952_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1440_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1952_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1184_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1696_q )) ) ) ) # ( !\datamem|ram~1952_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1184_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1696_q )) ) ) )
+
+ .dataa(!\datamem|ram~1440_q ),
+ .datab(!\datamem|ram~1696_q ),
+ .datac(!\datamem|ram~1184_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1952_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4469_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4469 .extended_lut = "off";
+defparam \datamem|ram~4469 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4469 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N22
+dffeas \datamem|ram~1632 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1632_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1632 .is_wysiwyg = "true";
+defparam \datamem|ram~1632 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N58
+dffeas \datamem|ram~1376 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1376_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1376 .is_wysiwyg = "true";
+defparam \datamem|ram~1376 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y14_N12
+cyclonev_lcell_comb \datamem|ram~1120feeder (
+// Equation(s):
+// \datamem|ram~1120feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1120feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1120feeder .extended_lut = "off";
+defparam \datamem|ram~1120feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1120feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N13
+dffeas \datamem|ram~1120 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1120feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1120_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1120 .is_wysiwyg = "true";
+defparam \datamem|ram~1120 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N20
+dffeas \datamem|ram~1888 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1888_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1888 .is_wysiwyg = "true";
+defparam \datamem|ram~1888 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y12_N18
+cyclonev_lcell_comb \datamem|ram~4468 (
+// Equation(s):
+// \datamem|ram~4468_combout = ( \datamem|ram~1888_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1376_q ) ) ) ) # ( !\datamem|ram~1888_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1376_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1888_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1120_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1632_q )) ) ) ) # ( !\datamem|ram~1888_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1120_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1632_q )) ) ) )
+
+ .dataa(!\datamem|ram~1632_q ),
+ .datab(!\datamem|ram~1376_q ),
+ .datac(!\datamem|ram~1120_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1888_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4468_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4468 .extended_lut = "off";
+defparam \datamem|ram~4468 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4468 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y14_N6
+cyclonev_lcell_comb \datamem|ram~4471 (
+// Equation(s):
+// \datamem|ram~4471_combout = ( \datamem|ram~4469_combout & ( \datamem|ram~4468_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4467_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~4470_combout )))) ) ) ) # ( !\datamem|ram~4469_combout & ( \datamem|ram~4468_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4467_combout )))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4470_combout )))) ) ) ) # ( \datamem|ram~4469_combout & ( !\datamem|ram~4468_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4467_combout )) # (\alu_unit|Mux11~4_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4470_combout ))) ) ) ) # ( !\datamem|ram~4469_combout & ( !\datamem|ram~4468_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4467_combout
+// )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4470_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4470_combout ),
+ .datad(!\datamem|ram~4467_combout ),
+ .datae(!\datamem|ram~4469_combout ),
+ .dataf(!\datamem|ram~4468_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4471_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4471 .extended_lut = "off";
+defparam \datamem|ram~4471 .lut_mask = 64'h018923AB45CD67EF;
+defparam \datamem|ram~4471 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N26
+dffeas \datamem|ram~1744 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1744_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1744 .is_wysiwyg = "true";
+defparam \datamem|ram~1744 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N28
+dffeas \datamem|ram~1488 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1488_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1488 .is_wysiwyg = "true";
+defparam \datamem|ram~1488 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N35
+dffeas \datamem|ram~1232 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1232_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1232 .is_wysiwyg = "true";
+defparam \datamem|ram~1232 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N8
+dffeas \datamem|ram~2000 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2000_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2000 .is_wysiwyg = "true";
+defparam \datamem|ram~2000 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y15_N6
+cyclonev_lcell_comb \datamem|ram~4465 (
+// Equation(s):
+// \datamem|ram~4465_combout = ( \datamem|ram~2000_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1488_q ) ) ) ) # ( !\datamem|ram~2000_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1488_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2000_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1232_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1744_q )) ) ) ) # ( !\datamem|ram~2000_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1232_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1744_q )) ) ) )
+
+ .dataa(!\datamem|ram~1744_q ),
+ .datab(!\datamem|ram~1488_q ),
+ .datac(!\datamem|ram~1232_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2000_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4465_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4465 .extended_lut = "off";
+defparam \datamem|ram~4465 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4465 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y14_N45
+cyclonev_lcell_comb \datamem|ram~1104feeder (
+// Equation(s):
+// \datamem|ram~1104feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1104feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1104feeder .extended_lut = "off";
+defparam \datamem|ram~1104feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1104feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N46
+dffeas \datamem|ram~1104 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1104feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1104_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1104 .is_wysiwyg = "true";
+defparam \datamem|ram~1104 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y11_N36
+cyclonev_lcell_comb \datamem|ram~1616feeder (
+// Equation(s):
+// \datamem|ram~1616feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1616feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1616feeder .extended_lut = "off";
+defparam \datamem|ram~1616feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1616feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y11_N37
+dffeas \datamem|ram~1616 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1616feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1616_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1616 .is_wysiwyg = "true";
+defparam \datamem|ram~1616 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N15
+cyclonev_lcell_comb \datamem|ram~1872feeder (
+// Equation(s):
+// \datamem|ram~1872feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1872feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1872feeder .extended_lut = "off";
+defparam \datamem|ram~1872feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1872feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N17
+dffeas \datamem|ram~1872 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1872feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1872_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1872 .is_wysiwyg = "true";
+defparam \datamem|ram~1872 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N44
+dffeas \datamem|ram~1360 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1360_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1360 .is_wysiwyg = "true";
+defparam \datamem|ram~1360 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N42
+cyclonev_lcell_comb \datamem|ram~4463 (
+// Equation(s):
+// \datamem|ram~4463_combout = ( \datamem|ram~1360_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1872_q ) ) ) ) # ( !\datamem|ram~1360_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout &
+// \datamem|ram~1872_q ) ) ) ) # ( \datamem|ram~1360_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1104_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1616_q ))) ) ) ) # ( !\datamem|ram~1360_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1104_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1616_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1104_q ),
+ .datab(!\datamem|ram~1616_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1872_q ),
+ .datae(!\datamem|ram~1360_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4463_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4463 .extended_lut = "off";
+defparam \datamem|ram~4463 .lut_mask = 64'h53535353000FF0FF;
+defparam \datamem|ram~4463 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N53
+dffeas \datamem|ram~1040 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1040_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1040 .is_wysiwyg = "true";
+defparam \datamem|ram~1040 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N17
+dffeas \datamem|ram~1808 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1808_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1808 .is_wysiwyg = "true";
+defparam \datamem|ram~1808 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N51
+cyclonev_lcell_comb \datamem|ram~1552feeder (
+// Equation(s):
+// \datamem|ram~1552feeder_combout = \reg_file|reg_read_data_2[0]~1_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1552feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1552feeder .extended_lut = "off";
+defparam \datamem|ram~1552feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1552feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N53
+dffeas \datamem|ram~1552 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1552feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1552_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1552 .is_wysiwyg = "true";
+defparam \datamem|ram~1552 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N2
+dffeas \datamem|ram~1296 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1296_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1296 .is_wysiwyg = "true";
+defparam \datamem|ram~1296 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N0
+cyclonev_lcell_comb \datamem|ram~4462 (
+// Equation(s):
+// \datamem|ram~4462_combout = ( \datamem|ram~1296_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1552_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1808_q )) ) ) ) # ( !\datamem|ram~1296_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1552_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1808_q )) ) ) ) # ( \datamem|ram~1296_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~1040_q ) ) ) ) # ( !\datamem|ram~1296_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~1040_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1040_q ),
+ .datab(!\datamem|ram~1808_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1552_q ),
+ .datae(!\datamem|ram~1296_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4462_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4462 .extended_lut = "off";
+defparam \datamem|ram~4462 .lut_mask = 64'h50505F5F03F303F3;
+defparam \datamem|ram~4462 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N21
+cyclonev_lcell_comb \datamem|ram~1168feeder (
+// Equation(s):
+// \datamem|ram~1168feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1168feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1168feeder .extended_lut = "off";
+defparam \datamem|ram~1168feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1168feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N23
+dffeas \datamem|ram~1168 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1168feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1168_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1168 .is_wysiwyg = "true";
+defparam \datamem|ram~1168 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N48
+cyclonev_lcell_comb \datamem|ram~1680feeder (
+// Equation(s):
+// \datamem|ram~1680feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1680feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1680feeder .extended_lut = "off";
+defparam \datamem|ram~1680feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1680feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N50
+dffeas \datamem|ram~1680 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1680feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1680_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1680 .is_wysiwyg = "true";
+defparam \datamem|ram~1680 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y17_N6
+cyclonev_lcell_comb \datamem|ram~1424feeder (
+// Equation(s):
+// \datamem|ram~1424feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1424feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1424feeder .extended_lut = "off";
+defparam \datamem|ram~1424feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1424feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N7
+dffeas \datamem|ram~1424 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1424feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1424_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1424 .is_wysiwyg = "true";
+defparam \datamem|ram~1424 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y17_N38
+dffeas \datamem|ram~1936 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1936_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1936 .is_wysiwyg = "true";
+defparam \datamem|ram~1936 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4464 (
+// Equation(s):
+// \datamem|ram~4464_combout = ( \datamem|ram~1936_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1680_q ) ) ) ) # ( !\datamem|ram~1936_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1680_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1936_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1168_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1424_q ))) ) ) ) # ( !\datamem|ram~1936_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1168_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1424_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1168_q ),
+ .datab(!\datamem|ram~1680_q ),
+ .datac(!\datamem|ram~1424_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1936_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4464_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4464 .extended_lut = "off";
+defparam \datamem|ram~4464 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4464 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y15_N39
+cyclonev_lcell_comb \datamem|ram~4466 (
+// Equation(s):
+// \datamem|ram~4466_combout = ( \datamem|ram~4462_combout & ( \datamem|ram~4464_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4463_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4465_combout ))) ) )
+// ) # ( !\datamem|ram~4462_combout & ( \datamem|ram~4464_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4463_combout & \alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~4465_combout ))) ) ) ) # ( \datamem|ram~4462_combout & ( !\datamem|ram~4464_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~4463_combout )))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4465_combout & ((\alu_unit|Mux12~2_combout )))) ) ) ) # ( !\datamem|ram~4462_combout & ( !\datamem|ram~4464_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4463_combout ))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~4465_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4465_combout ),
+ .datac(!\datamem|ram~4463_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4462_combout ),
+ .dataf(!\datamem|ram~4464_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4466_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4466 .extended_lut = "off";
+defparam \datamem|ram~4466 .lut_mask = 64'h001BAA1B551BFF1B;
+defparam \datamem|ram~4466 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y16_N15
+cyclonev_lcell_comb \datamem|ram~1024feeder (
+// Equation(s):
+// \datamem|ram~1024feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1024feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1024feeder .extended_lut = "off";
+defparam \datamem|ram~1024feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1024feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y16_N16
+dffeas \datamem|ram~1024 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1024feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1024_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1024 .is_wysiwyg = "true";
+defparam \datamem|ram~1024 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N19
+dffeas \datamem|ram~1536 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1536_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1536 .is_wysiwyg = "true";
+defparam \datamem|ram~1536 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y16_N36
+cyclonev_lcell_comb \datamem|ram~1280feeder (
+// Equation(s):
+// \datamem|ram~1280feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1280feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1280feeder .extended_lut = "off";
+defparam \datamem|ram~1280feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1280feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y16_N37
+dffeas \datamem|ram~1280 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1280feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1280_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1280 .is_wysiwyg = "true";
+defparam \datamem|ram~1280 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N25
+dffeas \datamem|ram~1792 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1792_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1792 .is_wysiwyg = "true";
+defparam \datamem|ram~1792 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N18
+cyclonev_lcell_comb \datamem|ram~4457 (
+// Equation(s):
+// \datamem|ram~4457_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1792_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1280_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~1536_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~1024_q ) ) )
+
+ .dataa(!\datamem|ram~1024_q ),
+ .datab(!\datamem|ram~1536_q ),
+ .datac(!\datamem|ram~1280_q ),
+ .datad(!\datamem|ram~1792_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4457_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4457 .extended_lut = "off";
+defparam \datamem|ram~4457 .lut_mask = 64'h555533330F0F00FF;
+defparam \datamem|ram~4457 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N14
+dffeas \datamem|ram~1472 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1472_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1472 .is_wysiwyg = "true";
+defparam \datamem|ram~1472 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N14
+dffeas \datamem|ram~1728 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1728_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1728 .is_wysiwyg = "true";
+defparam \datamem|ram~1728 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N31
+dffeas \datamem|ram~1216 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1216_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1216 .is_wysiwyg = "true";
+defparam \datamem|ram~1216 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N44
+dffeas \datamem|ram~1984 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1984_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1984 .is_wysiwyg = "true";
+defparam \datamem|ram~1984 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N42
+cyclonev_lcell_comb \datamem|ram~4460 (
+// Equation(s):
+// \datamem|ram~4460_combout = ( \datamem|ram~1984_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1472_q ) ) ) ) # ( !\datamem|ram~1984_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1472_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1984_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1216_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1728_q )) ) ) ) # ( !\datamem|ram~1984_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1216_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1728_q )) ) ) )
+
+ .dataa(!\datamem|ram~1472_q ),
+ .datab(!\datamem|ram~1728_q ),
+ .datac(!\datamem|ram~1216_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1984_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4460_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4460 .extended_lut = "off";
+defparam \datamem|ram~4460 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4460 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N35
+dffeas \datamem|ram~1408 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1408_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1408 .is_wysiwyg = "true";
+defparam \datamem|ram~1408 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N5
+dffeas \datamem|ram~1664 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1664_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1664 .is_wysiwyg = "true";
+defparam \datamem|ram~1664 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N41
+dffeas \datamem|ram~1152 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1152_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1152 .is_wysiwyg = "true";
+defparam \datamem|ram~1152 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N26
+dffeas \datamem|ram~1920 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1920_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1920 .is_wysiwyg = "true";
+defparam \datamem|ram~1920 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N24
+cyclonev_lcell_comb \datamem|ram~4459 (
+// Equation(s):
+// \datamem|ram~4459_combout = ( \datamem|ram~1920_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1664_q ) ) ) ) # ( !\datamem|ram~1920_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1664_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1920_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1152_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1408_q )) ) ) ) # ( !\datamem|ram~1920_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1152_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1408_q )) ) ) )
+
+ .dataa(!\datamem|ram~1408_q ),
+ .datab(!\datamem|ram~1664_q ),
+ .datac(!\datamem|ram~1152_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1920_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4459_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4459 .extended_lut = "off";
+defparam \datamem|ram~4459 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4459 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N3
+cyclonev_lcell_comb \datamem|ram~1600feeder (
+// Equation(s):
+// \datamem|ram~1600feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1600feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1600feeder .extended_lut = "off";
+defparam \datamem|ram~1600feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1600feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N5
+dffeas \datamem|ram~1600 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1600feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1600_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1600 .is_wysiwyg = "true";
+defparam \datamem|ram~1600 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N12
+cyclonev_lcell_comb \datamem|ram~1856feeder (
+// Equation(s):
+// \datamem|ram~1856feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1856feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1856feeder .extended_lut = "off";
+defparam \datamem|ram~1856feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1856feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N14
+dffeas \datamem|ram~1856 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1856feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1856_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1856 .is_wysiwyg = "true";
+defparam \datamem|ram~1856 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y10_N54
+cyclonev_lcell_comb \datamem|ram~1088feeder (
+// Equation(s):
+// \datamem|ram~1088feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1088feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1088feeder .extended_lut = "off";
+defparam \datamem|ram~1088feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1088feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N56
+dffeas \datamem|ram~1088 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1088feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1088_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1088 .is_wysiwyg = "true";
+defparam \datamem|ram~1088 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N32
+dffeas \datamem|ram~1344 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1344_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1344 .is_wysiwyg = "true";
+defparam \datamem|ram~1344 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N30
+cyclonev_lcell_comb \datamem|ram~4458 (
+// Equation(s):
+// \datamem|ram~4458_combout = ( \datamem|ram~1344_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1600_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1856_q ))) ) ) ) # ( !\datamem|ram~1344_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1600_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1856_q ))) ) ) ) # ( \datamem|ram~1344_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~1088_q ) ) ) ) # ( !\datamem|ram~1344_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~1088_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1600_q ),
+ .datab(!\datamem|ram~1856_q ),
+ .datac(!\datamem|ram~1088_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1344_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4458_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4458 .extended_lut = "off";
+defparam \datamem|ram~4458 .lut_mask = 64'h0F000FFF55335533;
+defparam \datamem|ram~4458 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N12
+cyclonev_lcell_comb \datamem|ram~4461 (
+// Equation(s):
+// \datamem|ram~4461_combout = ( \datamem|ram~4459_combout & ( \datamem|ram~4458_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4457_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~4460_combout )))) ) ) ) # ( !\datamem|ram~4459_combout & ( \datamem|ram~4458_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4457_combout ))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4460_combout )))) ) ) ) # ( \datamem|ram~4459_combout & ( !\datamem|ram~4458_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4457_combout )) # (\alu_unit|Mux11~4_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~4460_combout )))) ) ) ) # ( !\datamem|ram~4459_combout & ( !\datamem|ram~4458_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4457_combout
+// ))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~4460_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4457_combout ),
+ .datad(!\datamem|ram~4460_combout ),
+ .datae(!\datamem|ram~4459_combout ),
+ .dataf(!\datamem|ram~4458_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4461_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4461 .extended_lut = "off";
+defparam \datamem|ram~4461 .lut_mask = 64'h08192A3B4C5D6E7F;
+defparam \datamem|ram~4461 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N36
+cyclonev_lcell_comb \datamem|ram~4477 (
+// Equation(s):
+// \datamem|ram~4477_combout = ( \datamem|ram~4466_combout & ( \datamem|ram~4461_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4471_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4476_combout ))) ) )
+// ) # ( !\datamem|ram~4466_combout & ( \datamem|ram~4461_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout )) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4471_combout ))) # (\alu_unit|Mux14~6_combout
+// & (\datamem|ram~4476_combout )))) ) ) ) # ( \datamem|ram~4466_combout & ( !\datamem|ram~4461_combout & ( (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout )) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~4471_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4476_combout )))) ) ) ) # ( !\datamem|ram~4466_combout & ( !\datamem|ram~4461_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~4471_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4476_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4476_combout ),
+ .datad(!\datamem|ram~4471_combout ),
+ .datae(!\datamem|ram~4466_combout ),
+ .dataf(!\datamem|ram~4461_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4477_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4477 .extended_lut = "off";
+defparam \datamem|ram~4477 .lut_mask = 64'h0145236789CDABEF;
+defparam \datamem|ram~4477 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N30
+cyclonev_lcell_comb \datamem|ram~3024feeder (
+// Equation(s):
+// \datamem|ram~3024feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3024feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3024feeder .extended_lut = "off";
+defparam \datamem|ram~3024feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3024feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N32
+dffeas \datamem|ram~3024 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3024feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3024_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3024 .is_wysiwyg = "true";
+defparam \datamem|ram~3024 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N42
+cyclonev_lcell_comb \datamem|ram~3040feeder (
+// Equation(s):
+// \datamem|ram~3040feeder_combout = \reg_file|reg_read_data_2[0]~1_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3040feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3040feeder .extended_lut = "off";
+defparam \datamem|ram~3040feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~3040feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N44
+dffeas \datamem|ram~3040 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3040feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3040_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3040 .is_wysiwyg = "true";
+defparam \datamem|ram~3040 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N13
+dffeas \datamem|ram~3008 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3008_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3008 .is_wysiwyg = "true";
+defparam \datamem|ram~3008 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N44
+dffeas \datamem|ram~3056 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3056_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3056 .is_wysiwyg = "true";
+defparam \datamem|ram~3056 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N42
+cyclonev_lcell_comb \datamem|ram~4496 (
+// Equation(s):
+// \datamem|ram~4496_combout = ( \datamem|ram~3056_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3024_q ) ) ) ) # ( !\datamem|ram~3056_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3024_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3056_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3008_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3040_q )) ) ) ) # ( !\datamem|ram~3056_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3008_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3040_q )) ) ) )
+
+ .dataa(!\datamem|ram~3024_q ),
+ .datab(!\datamem|ram~3040_q ),
+ .datac(!\datamem|ram~3008_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3056_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4496_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4496 .extended_lut = "off";
+defparam \datamem|ram~4496 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4496 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N45
+cyclonev_lcell_comb \datamem|ram~2272feeder (
+// Equation(s):
+// \datamem|ram~2272feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2272feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2272feeder .extended_lut = "off";
+defparam \datamem|ram~2272feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2272feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N46
+dffeas \datamem|ram~2272 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2272feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2272_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2272 .is_wysiwyg = "true";
+defparam \datamem|ram~2272 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N16
+dffeas \datamem|ram~2240 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2240_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2240 .is_wysiwyg = "true";
+defparam \datamem|ram~2240 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N28
+dffeas \datamem|ram~2256 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2256_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2256 .is_wysiwyg = "true";
+defparam \datamem|ram~2256 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N26
+dffeas \datamem|ram~2288 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2288_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2288 .is_wysiwyg = "true";
+defparam \datamem|ram~2288 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y21_N24
+cyclonev_lcell_comb \datamem|ram~4493 (
+// Equation(s):
+// \datamem|ram~4493_combout = ( \datamem|ram~2288_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2256_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2288_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2256_q ) ) ) ) # ( \datamem|ram~2288_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2240_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2272_q )) ) ) ) # ( !\datamem|ram~2288_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2240_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2272_q )) ) ) )
+
+ .dataa(!\datamem|ram~2272_q ),
+ .datab(!\datamem|ram~2240_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2256_q ),
+ .datae(!\datamem|ram~2288_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4493_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4493 .extended_lut = "off";
+defparam \datamem|ram~4493 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4493 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y21_N34
+dffeas \datamem|ram~2496 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2496_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2496 .is_wysiwyg = "true";
+defparam \datamem|ram~2496 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N5
+dffeas \datamem|ram~2512 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2512_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2512 .is_wysiwyg = "true";
+defparam \datamem|ram~2512 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y18_N18
+cyclonev_lcell_comb \datamem|ram~2544feeder (
+// Equation(s):
+// \datamem|ram~2544feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2544feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2544feeder .extended_lut = "off";
+defparam \datamem|ram~2544feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2544feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y18_N19
+dffeas \datamem|ram~2544 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2544feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2544_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2544 .is_wysiwyg = "true";
+defparam \datamem|ram~2544 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N54
+cyclonev_lcell_comb \datamem|ram~2528feeder (
+// Equation(s):
+// \datamem|ram~2528feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2528feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2528feeder .extended_lut = "off";
+defparam \datamem|ram~2528feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2528feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N55
+dffeas \datamem|ram~2528 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2528feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2528_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2528 .is_wysiwyg = "true";
+defparam \datamem|ram~2528 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y18_N54
+cyclonev_lcell_comb \datamem|ram~4494 (
+// Equation(s):
+// \datamem|ram~4494_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2544_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2512_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2528_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2496_q ) ) )
+
+ .dataa(!\datamem|ram~2496_q ),
+ .datab(!\datamem|ram~2512_q ),
+ .datac(!\datamem|ram~2544_q ),
+ .datad(!\datamem|ram~2528_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4494_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4494 .extended_lut = "off";
+defparam \datamem|ram~4494 .lut_mask = 64'h555500FF33330F0F;
+defparam \datamem|ram~4494 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N47
+dffeas \datamem|ram~2768 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2768_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2768 .is_wysiwyg = "true";
+defparam \datamem|ram~2768 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N40
+dffeas \datamem|ram~2752 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2752_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2752 .is_wysiwyg = "true";
+defparam \datamem|ram~2752 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N35
+dffeas \datamem|ram~2784 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2784_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2784 .is_wysiwyg = "true";
+defparam \datamem|ram~2784 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y9_N38
+dffeas \datamem|ram~2800 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2800_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2800 .is_wysiwyg = "true";
+defparam \datamem|ram~2800 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N36
+cyclonev_lcell_comb \datamem|ram~4495 (
+// Equation(s):
+// \datamem|ram~4495_combout = ( \datamem|ram~2800_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2768_q ) ) ) ) # ( !\datamem|ram~2800_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2768_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2800_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2752_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2784_q ))) ) ) ) # ( !\datamem|ram~2800_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2752_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2784_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2768_q ),
+ .datab(!\datamem|ram~2752_q ),
+ .datac(!\datamem|ram~2784_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2800_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4495_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4495 .extended_lut = "off";
+defparam \datamem|ram~4495 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4495 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N57
+cyclonev_lcell_comb \datamem|ram~4497 (
+// Equation(s):
+// \datamem|ram~4497_combout = ( \datamem|ram~4494_combout & ( \datamem|ram~4495_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4493_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) #
+// ((\datamem|ram~4496_combout )))) ) ) ) # ( !\datamem|ram~4494_combout & ( \datamem|ram~4495_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4493_combout )))) # (\alu_unit|Mux9~4_combout &
+// ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4496_combout )))) ) ) ) # ( \datamem|ram~4494_combout & ( !\datamem|ram~4495_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4493_combout )) # (\alu_unit|Mux10~6_combout ))) #
+// (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\datamem|ram~4496_combout ))) ) ) ) # ( !\datamem|ram~4494_combout & ( !\datamem|ram~4495_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4493_combout
+// )))) # (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\datamem|ram~4496_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4496_combout ),
+ .datad(!\datamem|ram~4493_combout ),
+ .datae(!\datamem|ram~4494_combout ),
+ .dataf(!\datamem|ram~4495_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4497_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4497 .extended_lut = "off";
+defparam \datamem|ram~4497 .lut_mask = 64'h018923AB45CD67EF;
+defparam \datamem|ram~4497 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y7_N27
+cyclonev_lcell_comb \datamem|ram~2624feeder (
+// Equation(s):
+// \datamem|ram~2624feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2624feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2624feeder .extended_lut = "off";
+defparam \datamem|ram~2624feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2624feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y7_N29
+dffeas \datamem|ram~2624 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2624feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2624_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2624 .is_wysiwyg = "true";
+defparam \datamem|ram~2624 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N56
+dffeas \datamem|ram~2656 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2656_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2656 .is_wysiwyg = "true";
+defparam \datamem|ram~2656 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y12_N24
+cyclonev_lcell_comb \datamem|ram~2640feeder (
+// Equation(s):
+// \datamem|ram~2640feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2640feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2640feeder .extended_lut = "off";
+defparam \datamem|ram~2640feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2640feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N25
+dffeas \datamem|ram~2640 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2640feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2640_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2640 .is_wysiwyg = "true";
+defparam \datamem|ram~2640 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N26
+dffeas \datamem|ram~2672 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2672_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2672 .is_wysiwyg = "true";
+defparam \datamem|ram~2672 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N24
+cyclonev_lcell_comb \datamem|ram~4485 (
+// Equation(s):
+// \datamem|ram~4485_combout = ( \datamem|ram~2672_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2656_q ) ) ) ) # ( !\datamem|ram~2672_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2656_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2672_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2624_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2640_q ))) ) ) ) # ( !\datamem|ram~2672_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2624_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2640_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2624_q ),
+ .datab(!\datamem|ram~2656_q ),
+ .datac(!\datamem|ram~2640_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2672_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4485_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4485 .extended_lut = "off";
+defparam \datamem|ram~4485 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4485 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N59
+dffeas \datamem|ram~2112 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2112_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2112 .is_wysiwyg = "true";
+defparam \datamem|ram~2112 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N2
+dffeas \datamem|ram~2128 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2128_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2128 .is_wysiwyg = "true";
+defparam \datamem|ram~2128 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N4
+dffeas \datamem|ram~2144 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2144_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2144 .is_wysiwyg = "true";
+defparam \datamem|ram~2144 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N56
+dffeas \datamem|ram~2160 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2160_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2160 .is_wysiwyg = "true";
+defparam \datamem|ram~2160 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N54
+cyclonev_lcell_comb \datamem|ram~4483 (
+// Equation(s):
+// \datamem|ram~4483_combout = ( \datamem|ram~2160_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2144_q ) ) ) ) # ( !\datamem|ram~2160_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2144_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2160_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2112_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2128_q ))) ) ) ) # ( !\datamem|ram~2160_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2112_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2128_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2112_q ),
+ .datab(!\datamem|ram~2128_q ),
+ .datac(!\datamem|ram~2144_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2160_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4483_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4483 .extended_lut = "off";
+defparam \datamem|ram~4483 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4483 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y6_N33
+cyclonev_lcell_comb \datamem|ram~2400feeder (
+// Equation(s):
+// \datamem|ram~2400feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2400feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2400feeder .extended_lut = "off";
+defparam \datamem|ram~2400feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2400feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y6_N34
+dffeas \datamem|ram~2400 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2400feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2400_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2400 .is_wysiwyg = "true";
+defparam \datamem|ram~2400 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N47
+dffeas \datamem|ram~2368 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2368_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2368 .is_wysiwyg = "true";
+defparam \datamem|ram~2368 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N25
+dffeas \datamem|ram~2384 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2384_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2384 .is_wysiwyg = "true";
+defparam \datamem|ram~2384 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N50
+dffeas \datamem|ram~2416 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2416_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2416 .is_wysiwyg = "true";
+defparam \datamem|ram~2416 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N48
+cyclonev_lcell_comb \datamem|ram~4484 (
+// Equation(s):
+// \datamem|ram~4484_combout = ( \datamem|ram~2416_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2400_q ) ) ) ) # ( !\datamem|ram~2416_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2400_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2416_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2368_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2384_q ))) ) ) ) # ( !\datamem|ram~2416_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2368_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2384_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2400_q ),
+ .datab(!\datamem|ram~2368_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~2384_q ),
+ .datae(!\datamem|ram~2416_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4484_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4484 .extended_lut = "off";
+defparam \datamem|ram~4484 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4484 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N25
+dffeas \datamem|ram~2912 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2912_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2912 .is_wysiwyg = "true";
+defparam \datamem|ram~2912 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N5
+dffeas \datamem|ram~2880 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2880_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2880 .is_wysiwyg = "true";
+defparam \datamem|ram~2880 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y10_N44
+dffeas \datamem|ram~2928 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2928_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2928 .is_wysiwyg = "true";
+defparam \datamem|ram~2928 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N28
+dffeas \datamem|ram~2896 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2896_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2896 .is_wysiwyg = "true";
+defparam \datamem|ram~2896 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N33
+cyclonev_lcell_comb \datamem|ram~4486 (
+// Equation(s):
+// \datamem|ram~4486_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2928_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2896_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2912_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2880_q ) ) )
+
+ .dataa(!\datamem|ram~2912_q ),
+ .datab(!\datamem|ram~2880_q ),
+ .datac(!\datamem|ram~2928_q ),
+ .datad(!\datamem|ram~2896_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4486_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4486 .extended_lut = "off";
+defparam \datamem|ram~4486 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~4486 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N6
+cyclonev_lcell_comb \datamem|ram~4487 (
+// Equation(s):
+// \datamem|ram~4487_combout = ( \datamem|ram~4484_combout & ( \datamem|ram~4486_combout & ( ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4483_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4485_combout ))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~4484_combout & ( \datamem|ram~4486_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4483_combout & !\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )) # (\datamem|ram~4485_combout
+// ))) ) ) ) # ( \datamem|ram~4484_combout & ( !\datamem|ram~4486_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout ) # (\datamem|ram~4483_combout )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4485_combout &
+// ((!\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4484_combout & ( !\datamem|ram~4486_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4483_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4485_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4485_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4483_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4484_combout ),
+ .dataf(!\datamem|ram~4486_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4487_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4487 .extended_lut = "off";
+defparam \datamem|ram~4487 .lut_mask = 64'h1D001DCC1D331DFF;
+defparam \datamem|ram~4487 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N6
+cyclonev_lcell_comb \datamem|ram~2304feeder (
+// Equation(s):
+// \datamem|ram~2304feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2304feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2304feeder .extended_lut = "off";
+defparam \datamem|ram~2304feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2304feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N7
+dffeas \datamem|ram~2304 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2304feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2304_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2304 .is_wysiwyg = "true";
+defparam \datamem|ram~2304 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N49
+dffeas \datamem|ram~2320 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2320_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2320 .is_wysiwyg = "true";
+defparam \datamem|ram~2320 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N28
+dffeas \datamem|ram~2336 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2336_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2336 .is_wysiwyg = "true";
+defparam \datamem|ram~2336 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y10_N50
+dffeas \datamem|ram~2352 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2352_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2352 .is_wysiwyg = "true";
+defparam \datamem|ram~2352 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N48
+cyclonev_lcell_comb \datamem|ram~4479 (
+// Equation(s):
+// \datamem|ram~4479_combout = ( \datamem|ram~2352_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2320_q ) ) ) ) # ( !\datamem|ram~2352_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2320_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2352_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2304_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2336_q ))) ) ) ) # ( !\datamem|ram~2352_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2304_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2336_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2304_q ),
+ .datab(!\datamem|ram~2320_q ),
+ .datac(!\datamem|ram~2336_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2352_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4479_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4479 .extended_lut = "off";
+defparam \datamem|ram~4479 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4479 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N14
+dffeas \datamem|ram~2080 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2080_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2080 .is_wysiwyg = "true";
+defparam \datamem|ram~2080 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N56
+dffeas \datamem|ram~2064 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2064_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2064 .is_wysiwyg = "true";
+defparam \datamem|ram~2064 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N46
+dffeas \datamem|ram~2048 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2048_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2048 .is_wysiwyg = "true";
+defparam \datamem|ram~2048 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N20
+dffeas \datamem|ram~2096 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2096_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2096 .is_wysiwyg = "true";
+defparam \datamem|ram~2096 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N18
+cyclonev_lcell_comb \datamem|ram~4478 (
+// Equation(s):
+// \datamem|ram~4478_combout = ( \datamem|ram~2096_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2064_q ) ) ) ) # ( !\datamem|ram~2096_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2064_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2096_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2048_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2080_q )) ) ) ) # ( !\datamem|ram~2096_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2048_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2080_q )) ) ) )
+
+ .dataa(!\datamem|ram~2080_q ),
+ .datab(!\datamem|ram~2064_q ),
+ .datac(!\datamem|ram~2048_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2096_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4478_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4478 .extended_lut = "off";
+defparam \datamem|ram~4478 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4478 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N17
+dffeas \datamem|ram~2848 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2848_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2848 .is_wysiwyg = "true";
+defparam \datamem|ram~2848 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N42
+cyclonev_lcell_comb \datamem|ram~2832feeder (
+// Equation(s):
+// \datamem|ram~2832feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2832feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2832feeder .extended_lut = "off";
+defparam \datamem|ram~2832feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2832feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N44
+dffeas \datamem|ram~2832 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2832feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2832_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2832 .is_wysiwyg = "true";
+defparam \datamem|ram~2832 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N28
+dffeas \datamem|ram~2816 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2816_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2816 .is_wysiwyg = "true";
+defparam \datamem|ram~2816 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N14
+dffeas \datamem|ram~2864 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2864_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2864 .is_wysiwyg = "true";
+defparam \datamem|ram~2864 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N12
+cyclonev_lcell_comb \datamem|ram~4481 (
+// Equation(s):
+// \datamem|ram~4481_combout = ( \datamem|ram~2864_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2832_q ) ) ) ) # ( !\datamem|ram~2864_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2832_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2864_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2816_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2848_q )) ) ) ) # ( !\datamem|ram~2864_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2816_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2848_q )) ) ) )
+
+ .dataa(!\datamem|ram~2848_q ),
+ .datab(!\datamem|ram~2832_q ),
+ .datac(!\datamem|ram~2816_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2864_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4481_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4481 .extended_lut = "off";
+defparam \datamem|ram~4481 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4481 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N59
+dffeas \datamem|ram~2576 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2576_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2576 .is_wysiwyg = "true";
+defparam \datamem|ram~2576 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N25
+dffeas \datamem|ram~2560 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2560_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2560 .is_wysiwyg = "true";
+defparam \datamem|ram~2560 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y21_N54
+cyclonev_lcell_comb \datamem|ram~2592feeder (
+// Equation(s):
+// \datamem|ram~2592feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2592feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2592feeder .extended_lut = "off";
+defparam \datamem|ram~2592feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2592feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y21_N56
+dffeas \datamem|ram~2592 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2592feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2592_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2592 .is_wysiwyg = "true";
+defparam \datamem|ram~2592 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N44
+dffeas \datamem|ram~2608 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2608_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2608 .is_wysiwyg = "true";
+defparam \datamem|ram~2608 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N42
+cyclonev_lcell_comb \datamem|ram~4480 (
+// Equation(s):
+// \datamem|ram~4480_combout = ( \datamem|ram~2608_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2576_q ) ) ) ) # ( !\datamem|ram~2608_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2576_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2608_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2560_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2592_q ))) ) ) ) # ( !\datamem|ram~2608_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2560_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2592_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2576_q ),
+ .datab(!\datamem|ram~2560_q ),
+ .datac(!\datamem|ram~2592_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2608_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4480_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4480 .extended_lut = "off";
+defparam \datamem|ram~4480 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4480 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N42
+cyclonev_lcell_comb \datamem|ram~4482 (
+// Equation(s):
+// \datamem|ram~4482_combout = ( \datamem|ram~4481_combout & ( \datamem|ram~4480_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4478_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4479_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4481_combout & ( \datamem|ram~4480_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) # (\datamem|ram~4478_combout )))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4479_combout & ((!\alu_unit|Mux9~4_combout
+// )))) ) ) ) # ( \datamem|ram~4481_combout & ( !\datamem|ram~4480_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4478_combout & !\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) #
+// (\datamem|ram~4479_combout ))) ) ) ) # ( !\datamem|ram~4481_combout & ( !\datamem|ram~4480_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4478_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4479_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4479_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4478_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4481_combout ),
+ .dataf(!\datamem|ram~4480_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4482_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4482 .extended_lut = "off";
+defparam \datamem|ram~4482 .lut_mask = 64'h1D001D331DCC1DFF;
+defparam \datamem|ram~4482 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N48
+cyclonev_lcell_comb \datamem|ram~2464feeder (
+// Equation(s):
+// \datamem|ram~2464feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2464feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2464feeder .extended_lut = "off";
+defparam \datamem|ram~2464feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2464feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N49
+dffeas \datamem|ram~2464 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2464feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2464_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2464 .is_wysiwyg = "true";
+defparam \datamem|ram~2464 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N28
+dffeas \datamem|ram~2720 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2720_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2720 .is_wysiwyg = "true";
+defparam \datamem|ram~2720 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N45
+cyclonev_lcell_comb \datamem|ram~2208feeder (
+// Equation(s):
+// \datamem|ram~2208feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2208feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2208feeder .extended_lut = "off";
+defparam \datamem|ram~2208feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2208feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N47
+dffeas \datamem|ram~2208 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2208feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2208_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2208 .is_wysiwyg = "true";
+defparam \datamem|ram~2208 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y10_N8
+dffeas \datamem|ram~2976 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2976_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2976 .is_wysiwyg = "true";
+defparam \datamem|ram~2976 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N6
+cyclonev_lcell_comb \datamem|ram~4490 (
+// Equation(s):
+// \datamem|ram~4490_combout = ( \datamem|ram~2976_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2720_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~2976_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~2720_q ) ) ) ) # ( \datamem|ram~2976_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2208_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2464_q )) ) ) ) # ( !\datamem|ram~2976_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2208_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2464_q )) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\datamem|ram~2464_q ),
+ .datac(!\datamem|ram~2720_q ),
+ .datad(!\datamem|ram~2208_q ),
+ .datae(!\datamem|ram~2976_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4490_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4490 .extended_lut = "off";
+defparam \datamem|ram~4490 .lut_mask = 64'h11BB11BB0A0A5F5F;
+defparam \datamem|ram~4490 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N12
+cyclonev_lcell_comb \datamem|ram~2704feeder (
+// Equation(s):
+// \datamem|ram~2704feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2704feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2704feeder .extended_lut = "off";
+defparam \datamem|ram~2704feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2704feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N14
+dffeas \datamem|ram~2704 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2704feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2704_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2704 .is_wysiwyg = "true";
+defparam \datamem|ram~2704 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N48
+cyclonev_lcell_comb \datamem|ram~2192feeder (
+// Equation(s):
+// \datamem|ram~2192feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2192feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2192feeder .extended_lut = "off";
+defparam \datamem|ram~2192feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2192feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N49
+dffeas \datamem|ram~2192 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2192feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2192_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2192 .is_wysiwyg = "true";
+defparam \datamem|ram~2192 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y12_N54
+cyclonev_lcell_comb \datamem|ram~2448feeder (
+// Equation(s):
+// \datamem|ram~2448feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2448feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2448feeder .extended_lut = "off";
+defparam \datamem|ram~2448feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2448feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N55
+dffeas \datamem|ram~2448 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2448feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2448_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2448 .is_wysiwyg = "true";
+defparam \datamem|ram~2448 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y10_N2
+dffeas \datamem|ram~2960 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2960_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2960 .is_wysiwyg = "true";
+defparam \datamem|ram~2960 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N0
+cyclonev_lcell_comb \datamem|ram~4489 (
+// Equation(s):
+// \datamem|ram~4489_combout = ( \datamem|ram~2960_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2704_q ) ) ) ) # ( !\datamem|ram~2960_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2704_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2960_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2192_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2448_q ))) ) ) ) # ( !\datamem|ram~2960_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2192_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2448_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2704_q ),
+ .datab(!\datamem|ram~2192_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2448_q ),
+ .datae(!\datamem|ram~2960_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4489_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4489 .extended_lut = "off";
+defparam \datamem|ram~4489 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4489 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N33
+cyclonev_lcell_comb \datamem|ram~2432feeder (
+// Equation(s):
+// \datamem|ram~2432feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2432feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2432feeder .extended_lut = "off";
+defparam \datamem|ram~2432feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2432feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N35
+dffeas \datamem|ram~2432 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2432feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2432_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2432 .is_wysiwyg = "true";
+defparam \datamem|ram~2432 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N57
+cyclonev_lcell_comb \datamem|ram~2176feeder (
+// Equation(s):
+// \datamem|ram~2176feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2176feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2176feeder .extended_lut = "off";
+defparam \datamem|ram~2176feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2176feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N59
+dffeas \datamem|ram~2176 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2176feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2176_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2176 .is_wysiwyg = "true";
+defparam \datamem|ram~2176 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y9_N7
+dffeas \datamem|ram~2688 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2688_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2688 .is_wysiwyg = "true";
+defparam \datamem|ram~2688 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N38
+dffeas \datamem|ram~2944 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2944_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2944 .is_wysiwyg = "true";
+defparam \datamem|ram~2944 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N36
+cyclonev_lcell_comb \datamem|ram~4488 (
+// Equation(s):
+// \datamem|ram~4488_combout = ( \datamem|ram~2944_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2432_q ) ) ) ) # ( !\datamem|ram~2944_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2432_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2944_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2176_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2688_q ))) ) ) ) # ( !\datamem|ram~2944_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2176_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2688_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2432_q ),
+ .datab(!\datamem|ram~2176_q ),
+ .datac(!\datamem|ram~2688_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2944_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4488_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4488 .extended_lut = "off";
+defparam \datamem|ram~4488 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4488 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y8_N26
+dffeas \datamem|ram~2224 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2224_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2224 .is_wysiwyg = "true";
+defparam \datamem|ram~2224 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y8_N15
+cyclonev_lcell_comb \datamem|ram~2736feeder (
+// Equation(s):
+// \datamem|ram~2736feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2736feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2736feeder .extended_lut = "off";
+defparam \datamem|ram~2736feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2736feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y8_N16
+dffeas \datamem|ram~2736 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2736feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2736_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2736 .is_wysiwyg = "true";
+defparam \datamem|ram~2736 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y8_N51
+cyclonev_lcell_comb \datamem|ram~2480feeder (
+// Equation(s):
+// \datamem|ram~2480feeder_combout = ( \reg_file|reg_read_data_2[0]~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2480feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2480feeder .extended_lut = "off";
+defparam \datamem|ram~2480feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2480feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y8_N52
+dffeas \datamem|ram~2480 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2480feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2480_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2480 .is_wysiwyg = "true";
+defparam \datamem|ram~2480 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y8_N56
+dffeas \datamem|ram~2992 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[0]~1_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2992_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2992 .is_wysiwyg = "true";
+defparam \datamem|ram~2992 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y8_N54
+cyclonev_lcell_comb \datamem|ram~4491 (
+// Equation(s):
+// \datamem|ram~4491_combout = ( \datamem|ram~2992_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2480_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~2992_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~2480_q ) ) ) ) # ( \datamem|ram~2992_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2224_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2736_q ))) ) ) ) # ( !\datamem|ram~2992_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2224_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2736_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2224_q ),
+ .datab(!\datamem|ram~2736_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2480_q ),
+ .datae(!\datamem|ram~2992_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4491_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4491 .extended_lut = "off";
+defparam \datamem|ram~4491 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4491 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N36
+cyclonev_lcell_comb \datamem|ram~4492 (
+// Equation(s):
+// \datamem|ram~4492_combout = ( \datamem|ram~4488_combout & ( \datamem|ram~4491_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) # (\datamem|ram~4490_combout ))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~4489_combout )))) ) ) ) # ( !\datamem|ram~4488_combout & ( \datamem|ram~4491_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4490_combout & ((\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// (((\alu_unit|Mux13~4_combout ) # (\datamem|ram~4489_combout )))) ) ) ) # ( \datamem|ram~4488_combout & ( !\datamem|ram~4491_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) # (\datamem|ram~4490_combout ))) #
+// (\alu_unit|Mux14~6_combout & (((\datamem|ram~4489_combout & !\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4488_combout & ( !\datamem|ram~4491_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4490_combout &
+// ((\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~4489_combout & !\alu_unit|Mux13~4_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4490_combout ),
+ .datac(!\datamem|ram~4489_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4488_combout ),
+ .dataf(!\datamem|ram~4491_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4492_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4492 .extended_lut = "off";
+defparam \datamem|ram~4492 .lut_mask = 64'h0522AF220577AF77;
+defparam \datamem|ram~4492 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N51
+cyclonev_lcell_comb \datamem|ram~4498 (
+// Equation(s):
+// \datamem|ram~4498_combout = ( \datamem|ram~4482_combout & ( \datamem|ram~4492_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4487_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4497_combout ))) ) )
+// ) # ( !\datamem|ram~4482_combout & ( \datamem|ram~4492_combout & ( (!\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout & ((\datamem|ram~4487_combout )))) # (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) # ((\datamem|ram~4497_combout
+// )))) ) ) ) # ( \datamem|ram~4482_combout & ( !\datamem|ram~4492_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout ) # ((\datamem|ram~4487_combout )))) # (\alu_unit|Mux11~4_combout & (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4497_combout ))) ) ) ) # ( !\datamem|ram~4482_combout & ( !\datamem|ram~4492_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4487_combout ))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4497_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4497_combout ),
+ .datad(!\datamem|ram~4487_combout ),
+ .datae(!\datamem|ram~4482_combout ),
+ .dataf(!\datamem|ram~4492_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4498_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4498 .extended_lut = "off";
+defparam \datamem|ram~4498 .lut_mask = 64'h012389AB4567CDEF;
+defparam \datamem|ram~4498 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N9
+cyclonev_lcell_comb \datamem|ram~4520 (
+// Equation(s):
+// \datamem|ram~4520_combout = ( \datamem|ram~4477_combout & ( \datamem|ram~4498_combout & ( (!\alu_unit|Mux7~2_combout & (((\datamem|ram~4456_combout ) # (\alu_unit|Mux8~2_combout )))) # (\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout )) #
+// (\datamem|ram~4519_combout ))) ) ) ) # ( !\datamem|ram~4477_combout & ( \datamem|ram~4498_combout & ( (!\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout & \datamem|ram~4456_combout )))) # (\alu_unit|Mux7~2_combout &
+// (((!\alu_unit|Mux8~2_combout )) # (\datamem|ram~4519_combout ))) ) ) ) # ( \datamem|ram~4477_combout & ( !\datamem|ram~4498_combout & ( (!\alu_unit|Mux7~2_combout & (((\datamem|ram~4456_combout ) # (\alu_unit|Mux8~2_combout )))) #
+// (\alu_unit|Mux7~2_combout & (\datamem|ram~4519_combout & (\alu_unit|Mux8~2_combout ))) ) ) ) # ( !\datamem|ram~4477_combout & ( !\datamem|ram~4498_combout & ( (!\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout & \datamem|ram~4456_combout ))))
+// # (\alu_unit|Mux7~2_combout & (\datamem|ram~4519_combout & (\alu_unit|Mux8~2_combout ))) ) ) )
+
+ .dataa(!\datamem|ram~4519_combout ),
+ .datab(!\alu_unit|Mux7~2_combout ),
+ .datac(!\alu_unit|Mux8~2_combout ),
+ .datad(!\datamem|ram~4456_combout ),
+ .datae(!\datamem|ram~4477_combout ),
+ .dataf(!\datamem|ram~4498_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4520_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4520 .extended_lut = "off";
+defparam \datamem|ram~4520 .lut_mask = 64'h01C10DCD31F13DFD;
+defparam \datamem|ram~4520 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N51
+cyclonev_lcell_comb \reg_write_data[0]~6 (
+// Equation(s):
+// \reg_write_data[0]~6_combout = ( \alu_unit|Mux15~0_combout & ( \datamem|ram~4520_combout & ( ((!\reg_write_data[1]~0_combout ) # (pc_current[0])) # (\reg_write_data[1]~1_combout ) ) ) ) # ( !\alu_unit|Mux15~0_combout & ( \datamem|ram~4520_combout & (
+// (\reg_write_data[1]~0_combout & ((pc_current[0]) # (\reg_write_data[1]~1_combout ))) ) ) ) # ( \alu_unit|Mux15~0_combout & ( !\datamem|ram~4520_combout & ( (!\reg_write_data[1]~0_combout ) # ((!\reg_write_data[1]~1_combout & pc_current[0])) ) ) ) # (
+// !\alu_unit|Mux15~0_combout & ( !\datamem|ram~4520_combout & ( (!\reg_write_data[1]~1_combout & (pc_current[0] & \reg_write_data[1]~0_combout )) ) ) )
+
+ .dataa(!\reg_write_data[1]~1_combout ),
+ .datab(!pc_current[0]),
+ .datac(!\reg_write_data[1]~0_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux15~0_combout ),
+ .dataf(!\datamem|ram~4520_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[0]~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[0]~6 .extended_lut = "off";
+defparam \reg_write_data[0]~6 .lut_mask = 64'h0202F2F20707F7F7;
+defparam \reg_write_data[0]~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N42
+cyclonev_lcell_comb \reg_file|reg_array[3][0]~feeder (
+// Equation(s):
+// \reg_file|reg_array[3][0]~feeder_combout = ( \reg_write_data[0]~6_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[0]~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[3][0]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[3][0]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[3][0]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[3][0]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y14_N44
+dffeas \reg_file|reg_array[3][0] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[3][0]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][0]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][0] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y12_N32
+dffeas \reg_file|reg_array[7][0] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[0]~6_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][0]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][0] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][0] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y14_N50
+dffeas \reg_file|reg_array[4][0] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[0]~6_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][0]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][0] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N33
+cyclonev_lcell_comb \reg_file|reg_read_data_1[0]~30 (
+// Equation(s):
+// \reg_file|reg_read_data_1[0]~30_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][0]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][0]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][0]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][0]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[3][0]~q ),
+ .datab(!\reg_file|reg_array[0][0]~q ),
+ .datac(!\reg_file|reg_array[7][0]~q ),
+ .datad(!\reg_file|reg_array[4][0]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[0]~30_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[0]~30 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[0]~30 .lut_mask = 64'h3333555500FF0F0F;
+defparam \reg_file|reg_read_data_1[0]~30 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y12_N45
+cyclonev_lcell_comb \reg_file|reg_read_data_1[0]~31 (
+// Equation(s):
+// \reg_file|reg_read_data_1[0]~31_combout = ( \reg_file|reg_read_data_1[0]~30_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\reg_file|Equal0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[0]~30_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[0]~31_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[0]~31 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[0]~31 .lut_mask = 64'h0000000000FF00FF;
+defparam \reg_file|reg_read_data_1[0]~31 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N54
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[3]~3 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[3]~3_combout = ( \reg_file|reg_read_data_1[2]~3_combout & ( \reg_file|reg_read_data_1[1]~1_combout & ( (!\read_data2[0]~1_combout & (((\read_data2[1]~0_combout )) # (\reg_file|reg_read_data_1[3]~5_combout ))) #
+// (\read_data2[0]~1_combout & (((!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[0]~31_combout )))) ) ) ) # ( !\reg_file|reg_read_data_1[2]~3_combout & ( \reg_file|reg_read_data_1[1]~1_combout & ( (!\read_data2[0]~1_combout &
+// (((\read_data2[1]~0_combout )) # (\reg_file|reg_read_data_1[3]~5_combout ))) # (\read_data2[0]~1_combout & (((\reg_file|reg_read_data_1[0]~31_combout & \read_data2[1]~0_combout )))) ) ) ) # ( \reg_file|reg_read_data_1[2]~3_combout & (
+// !\reg_file|reg_read_data_1[1]~1_combout & ( (!\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[3]~5_combout & ((!\read_data2[1]~0_combout )))) # (\read_data2[0]~1_combout & (((!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[0]~31_combout
+// )))) ) ) ) # ( !\reg_file|reg_read_data_1[2]~3_combout & ( !\reg_file|reg_read_data_1[1]~1_combout & ( (!\read_data2[0]~1_combout & (\reg_file|reg_read_data_1[3]~5_combout & ((!\read_data2[1]~0_combout )))) # (\read_data2[0]~1_combout &
+// (((\reg_file|reg_read_data_1[0]~31_combout & \read_data2[1]~0_combout )))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datab(!\reg_file|reg_read_data_1[0]~31_combout ),
+ .datac(!\read_data2[0]~1_combout ),
+ .datad(!\read_data2[1]~0_combout ),
+ .datae(!\reg_file|reg_read_data_1[2]~3_combout ),
+ .dataf(!\reg_file|reg_read_data_1[1]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[3]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[3]~3 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[3]~3 .lut_mask = 64'h50035F0350F35FF3;
+defparam \alu_unit|shifter_left|st2[3]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N15
+cyclonev_lcell_comb \alu_unit|Mux12~0 (
+// Equation(s):
+// \alu_unit|Mux12~0_combout = ( \reg_file|reg_read_data_1[5]~17_combout & ( \read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[4]~7_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[6]~19_combout )))
+// ) ) ) # ( !\reg_file|reg_read_data_1[5]~17_combout & ( \read_data2[0]~1_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[4]~7_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[6]~19_combout ))) ) ) ) # (
+// \reg_file|reg_read_data_1[5]~17_combout & ( !\read_data2[0]~1_combout & ( (\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[3]~5_combout ) ) ) ) # ( !\reg_file|reg_read_data_1[5]~17_combout & ( !\read_data2[0]~1_combout & (
+// (\reg_file|reg_read_data_1[3]~5_combout & !\read_data2[1]~0_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datab(!\reg_file|reg_read_data_1[4]~7_combout ),
+ .datac(!\read_data2[1]~0_combout ),
+ .datad(!\reg_file|reg_read_data_1[6]~19_combout ),
+ .datae(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .dataf(!\read_data2[0]~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux12~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux12~0 .extended_lut = "off";
+defparam \alu_unit|Mux12~0 .lut_mask = 64'h50505F5F303F303F;
+defparam \alu_unit|Mux12~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N6
+cyclonev_lcell_comb \alu_unit|Mux12~1 (
+// Equation(s):
+// \alu_unit|Mux12~1_combout = ( \alu_unit|shifter_right|st2[0]~10_combout & ( \alu_unit|shifter_right|st2[4]~7_combout & ( ((!\read_data2[2]~3_combout & ((\alu_unit|Mux12~0_combout ))) # (\read_data2[2]~3_combout &
+// (\alu_unit|shifter_right|st2[8]~8_combout ))) # (\read_data2[3]~2_combout ) ) ) ) # ( !\alu_unit|shifter_right|st2[0]~10_combout & ( \alu_unit|shifter_right|st2[4]~7_combout & ( (!\read_data2[2]~3_combout & (((\read_data2[3]~2_combout ) #
+// (\alu_unit|Mux12~0_combout )))) # (\read_data2[2]~3_combout & (\alu_unit|shifter_right|st2[8]~8_combout & ((!\read_data2[3]~2_combout )))) ) ) ) # ( \alu_unit|shifter_right|st2[0]~10_combout & ( !\alu_unit|shifter_right|st2[4]~7_combout & (
+// (!\read_data2[2]~3_combout & (((\alu_unit|Mux12~0_combout & !\read_data2[3]~2_combout )))) # (\read_data2[2]~3_combout & (((\read_data2[3]~2_combout )) # (\alu_unit|shifter_right|st2[8]~8_combout ))) ) ) ) # ( !\alu_unit|shifter_right|st2[0]~10_combout
+// & ( !\alu_unit|shifter_right|st2[4]~7_combout & ( (!\read_data2[3]~2_combout & ((!\read_data2[2]~3_combout & ((\alu_unit|Mux12~0_combout ))) # (\read_data2[2]~3_combout & (\alu_unit|shifter_right|st2[8]~8_combout )))) ) ) )
+
+ .dataa(!\alu_unit|shifter_right|st2[8]~8_combout ),
+ .datab(!\alu_unit|Mux12~0_combout ),
+ .datac(!\read_data2[2]~3_combout ),
+ .datad(!\read_data2[3]~2_combout ),
+ .datae(!\alu_unit|shifter_right|st2[0]~10_combout ),
+ .dataf(!\alu_unit|shifter_right|st2[4]~7_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux12~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux12~1 .extended_lut = "off";
+defparam \alu_unit|Mux12~1 .lut_mask = 64'h3500350F35F035FF;
+defparam \alu_unit|Mux12~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N0
+cyclonev_lcell_comb \alu_unit|Mux12~4 (
+// Equation(s):
+// \alu_unit|Mux12~4_combout = ( \alu_unit|Mux12~1_combout & ( ((\alu_unit|shifter_left|st2[3]~3_combout & (\alu_unit|Mux13~0_combout & \alu_unit|Mux14~4_combout ))) # (\alu_unit|Mux14~3_combout ) ) ) # ( !\alu_unit|Mux12~1_combout & (
+// (\alu_unit|shifter_left|st2[3]~3_combout & (\alu_unit|Mux13~0_combout & \alu_unit|Mux14~4_combout )) ) )
+
+ .dataa(!\alu_unit|shifter_left|st2[3]~3_combout ),
+ .datab(!\alu_unit|Mux13~0_combout ),
+ .datac(!\alu_unit|Mux14~3_combout ),
+ .datad(!\alu_unit|Mux14~4_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux12~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux12~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux12~4 .extended_lut = "off";
+defparam \alu_unit|Mux12~4 .lut_mask = 64'h001100110F1F0F1F;
+defparam \alu_unit|Mux12~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N9
+cyclonev_lcell_comb \alu_unit|Add0~9 (
+// Equation(s):
+// \alu_unit|Add0~9_sumout = SUM(( \read_data2[3]~2_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[3]~4_combout ))) ) + ( \alu_unit|Add0~6 ))
+// \alu_unit|Add0~10 = CARRY(( \read_data2[3]~2_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[3]~4_combout ))) ) + ( \alu_unit|Add0~6 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[3]~2_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[3]~4_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~6 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~9_sumout ),
+ .cout(\alu_unit|Add0~10 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~9 .extended_lut = "off";
+defparam \alu_unit|Add0~9 .lut_mask = 64'h0000FFF7000000FF;
+defparam \alu_unit|Add0~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N42
+cyclonev_lcell_comb \alu_unit|Mux12~3 (
+// Equation(s):
+// \alu_unit|Mux12~3_combout = ( \alu_unit|Add0~9_sumout & ( \alu_unit|Add1~9_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout ) # ((!\ALU_Control_unit|WideOr2~0_combout & ((\read_data2[3]~2_combout ) # (\reg_file|reg_read_data_1[3]~5_combout ))) #
+// (\ALU_Control_unit|WideOr2~0_combout & (\reg_file|reg_read_data_1[3]~5_combout & \read_data2[3]~2_combout ))) ) ) ) # ( !\alu_unit|Add0~9_sumout & ( \alu_unit|Add1~9_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout &
+// (!\ALU_Control_unit|WideOr2~0_combout )) # (\ALU_Control_unit|WideOr1~0_combout & ((!\ALU_Control_unit|WideOr2~0_combout & ((\read_data2[3]~2_combout ) # (\reg_file|reg_read_data_1[3]~5_combout ))) # (\ALU_Control_unit|WideOr2~0_combout &
+// (\reg_file|reg_read_data_1[3]~5_combout & \read_data2[3]~2_combout )))) ) ) ) # ( \alu_unit|Add0~9_sumout & ( !\alu_unit|Add1~9_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (\ALU_Control_unit|WideOr2~0_combout )) #
+// (\ALU_Control_unit|WideOr1~0_combout & ((!\ALU_Control_unit|WideOr2~0_combout & ((\read_data2[3]~2_combout ) # (\reg_file|reg_read_data_1[3]~5_combout ))) # (\ALU_Control_unit|WideOr2~0_combout & (\reg_file|reg_read_data_1[3]~5_combout &
+// \read_data2[3]~2_combout )))) ) ) ) # ( !\alu_unit|Add0~9_sumout & ( !\alu_unit|Add1~9_sumout & ( (\ALU_Control_unit|WideOr1~0_combout & ((!\ALU_Control_unit|WideOr2~0_combout & ((\read_data2[3]~2_combout ) # (\reg_file|reg_read_data_1[3]~5_combout
+// ))) # (\ALU_Control_unit|WideOr2~0_combout & (\reg_file|reg_read_data_1[3]~5_combout & \read_data2[3]~2_combout )))) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datab(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[3]~5_combout ),
+ .datad(!\read_data2[3]~2_combout ),
+ .datae(!\alu_unit|Add0~9_sumout ),
+ .dataf(!\alu_unit|Add1~9_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux12~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux12~3 .extended_lut = "off";
+defparam \alu_unit|Mux12~3 .lut_mask = 64'h044526678CCDAEEF;
+defparam \alu_unit|Mux12~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y13_N24
+cyclonev_lcell_comb \alu_unit|Mux12~2 (
+// Equation(s):
+// \alu_unit|Mux12~2_combout = ( \alu_unit|Mux14~4_combout & ( \alu_unit|Mult0~11 & ( (!\alu_unit|Mux13~0_combout ) # (((!\ALU_Control_unit|WideOr0~0_combout & \alu_unit|Mux12~3_combout )) # (\alu_unit|Mux12~4_combout )) ) ) ) # (
+// !\alu_unit|Mux14~4_combout & ( \alu_unit|Mult0~11 & ( ((!\ALU_Control_unit|WideOr0~0_combout & \alu_unit|Mux12~3_combout )) # (\alu_unit|Mux12~4_combout ) ) ) ) # ( \alu_unit|Mux14~4_combout & ( !\alu_unit|Mult0~11 & (
+// ((!\ALU_Control_unit|WideOr0~0_combout & \alu_unit|Mux12~3_combout )) # (\alu_unit|Mux12~4_combout ) ) ) ) # ( !\alu_unit|Mux14~4_combout & ( !\alu_unit|Mult0~11 & ( ((!\ALU_Control_unit|WideOr0~0_combout & \alu_unit|Mux12~3_combout )) #
+// (\alu_unit|Mux12~4_combout ) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datab(!\alu_unit|Mux13~0_combout ),
+ .datac(!\alu_unit|Mux12~4_combout ),
+ .datad(!\alu_unit|Mux12~3_combout ),
+ .datae(!\alu_unit|Mux14~4_combout ),
+ .dataf(!\alu_unit|Mult0~11 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux12~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux12~2 .extended_lut = "off";
+defparam \alu_unit|Mux12~2 .lut_mask = 64'h0FAF0FAF0FAFCFEF;
+defparam \alu_unit|Mux12~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N59
+dffeas \datamem|ram~4067 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4067_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4067 .is_wysiwyg = "true";
+defparam \datamem|ram~4067 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y19_N16
+dffeas \datamem|ram~4035 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4035_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4035 .is_wysiwyg = "true";
+defparam \datamem|ram~4035 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4051feeder (
+// Equation(s):
+// \datamem|ram~4051feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4051feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4051feeder .extended_lut = "off";
+defparam \datamem|ram~4051feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4051feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N13
+dffeas \datamem|ram~4051 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4051feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4051_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4051 .is_wysiwyg = "true";
+defparam \datamem|ram~4051 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y16_N14
+dffeas \datamem|ram~4083 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4083_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4083 .is_wysiwyg = "true";
+defparam \datamem|ram~4083 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4347 (
+// Equation(s):
+// \datamem|ram~4347_combout = ( \datamem|ram~4083_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~4051_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~4083_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~4051_q ) ) ) ) # ( \datamem|ram~4083_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4035_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4067_q )) ) ) ) # ( !\datamem|ram~4083_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4035_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4067_q )) ) ) )
+
+ .dataa(!\datamem|ram~4067_q ),
+ .datab(!\datamem|ram~4035_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4051_q ),
+ .datae(!\datamem|ram~4083_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4347_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4347 .extended_lut = "off";
+defparam \datamem|ram~4347 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4347 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N36
+cyclonev_lcell_comb \datamem|ram~3875feeder (
+// Equation(s):
+// \datamem|ram~3875feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3875feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3875feeder .extended_lut = "off";
+defparam \datamem|ram~3875feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3875feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N38
+dffeas \datamem|ram~3875 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3875feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3875_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3875 .is_wysiwyg = "true";
+defparam \datamem|ram~3875 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N30
+cyclonev_lcell_comb \datamem|ram~3859feeder (
+// Equation(s):
+// \datamem|ram~3859feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3859feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3859feeder .extended_lut = "off";
+defparam \datamem|ram~3859feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3859feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N32
+dffeas \datamem|ram~3859 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3859feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3859_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3859 .is_wysiwyg = "true";
+defparam \datamem|ram~3859 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y22_N40
+dffeas \datamem|ram~3843 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3843_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3843 .is_wysiwyg = "true";
+defparam \datamem|ram~3843 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N8
+dffeas \datamem|ram~3891 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3891_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3891 .is_wysiwyg = "true";
+defparam \datamem|ram~3891 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N6
+cyclonev_lcell_comb \datamem|ram~4344 (
+// Equation(s):
+// \datamem|ram~4344_combout = ( \datamem|ram~3891_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3875_q ) ) ) ) # ( !\datamem|ram~3891_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3875_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3891_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3843_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3859_q )) ) ) ) # ( !\datamem|ram~3891_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3843_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3859_q )) ) ) )
+
+ .dataa(!\datamem|ram~3875_q ),
+ .datab(!\datamem|ram~3859_q ),
+ .datac(!\datamem|ram~3843_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3891_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4344_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4344 .extended_lut = "off";
+defparam \datamem|ram~4344 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4344 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N23
+dffeas \datamem|ram~4003 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4003_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4003 .is_wysiwyg = "true";
+defparam \datamem|ram~4003 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N4
+dffeas \datamem|ram~3987 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3987_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3987 .is_wysiwyg = "true";
+defparam \datamem|ram~3987 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y15_N3
+cyclonev_lcell_comb \datamem|ram~3971feeder (
+// Equation(s):
+// \datamem|ram~3971feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3971feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3971feeder .extended_lut = "off";
+defparam \datamem|ram~3971feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3971feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N4
+dffeas \datamem|ram~3971 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3971feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3971_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3971 .is_wysiwyg = "true";
+defparam \datamem|ram~3971 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N44
+dffeas \datamem|ram~4019 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4019_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4019 .is_wysiwyg = "true";
+defparam \datamem|ram~4019 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y15_N42
+cyclonev_lcell_comb \datamem|ram~4346 (
+// Equation(s):
+// \datamem|ram~4346_combout = ( \datamem|ram~4019_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~4003_q ) ) ) ) # ( !\datamem|ram~4019_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~4003_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~4019_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3971_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3987_q )) ) ) ) # ( !\datamem|ram~4019_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3971_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3987_q )) ) ) )
+
+ .dataa(!\datamem|ram~4003_q ),
+ .datab(!\datamem|ram~3987_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3971_q ),
+ .datae(!\datamem|ram~4019_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4346_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4346 .extended_lut = "off";
+defparam \datamem|ram~4346 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4346 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N50
+dffeas \datamem|ram~3923 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3923_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3923 .is_wysiwyg = "true";
+defparam \datamem|ram~3923 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N8
+dffeas \datamem|ram~3939 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3939_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3939 .is_wysiwyg = "true";
+defparam \datamem|ram~3939 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y12_N56
+dffeas \datamem|ram~3907 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3907_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3907 .is_wysiwyg = "true";
+defparam \datamem|ram~3907 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N14
+dffeas \datamem|ram~3955 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3955_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3955 .is_wysiwyg = "true";
+defparam \datamem|ram~3955 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N12
+cyclonev_lcell_comb \datamem|ram~4345 (
+// Equation(s):
+// \datamem|ram~4345_combout = ( \datamem|ram~3955_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3939_q ) ) ) ) # ( !\datamem|ram~3955_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3939_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3955_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3907_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3923_q )) ) ) ) # ( !\datamem|ram~3955_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3907_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3923_q )) ) ) )
+
+ .dataa(!\datamem|ram~3923_q ),
+ .datab(!\datamem|ram~3939_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3907_q ),
+ .datae(!\datamem|ram~3955_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4345_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4345 .extended_lut = "off";
+defparam \datamem|ram~4345 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4345 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N0
+cyclonev_lcell_comb \datamem|ram~4348 (
+// Equation(s):
+// \datamem|ram~4348_combout = ( \datamem|ram~4346_combout & ( \datamem|ram~4345_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) # (\datamem|ram~4344_combout )))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) #
+// (\datamem|ram~4347_combout ))) ) ) ) # ( !\datamem|ram~4346_combout & ( \datamem|ram~4345_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4344_combout & !\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout &
+// (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~4347_combout ))) ) ) ) # ( \datamem|ram~4346_combout & ( !\datamem|ram~4345_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) # (\datamem|ram~4344_combout )))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~4347_combout & ((\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~4346_combout & ( !\datamem|ram~4345_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4344_combout &
+// !\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4347_combout & ((\alu_unit|Mux11~4_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~4347_combout ),
+ .datac(!\datamem|ram~4344_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4346_combout ),
+ .dataf(!\datamem|ram~4345_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4348_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4348 .extended_lut = "off";
+defparam \datamem|ram~4348 .lut_mask = 64'h0A110ABB5F115FBB;
+defparam \datamem|ram~4348 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y22_N21
+cyclonev_lcell_comb \datamem|ram~3475feeder (
+// Equation(s):
+// \datamem|ram~3475feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3475feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3475feeder .extended_lut = "off";
+defparam \datamem|ram~3475feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3475feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N22
+dffeas \datamem|ram~3475 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3475feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3475_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3475 .is_wysiwyg = "true";
+defparam \datamem|ram~3475 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N7
+dffeas \datamem|ram~3411 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3411_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3411 .is_wysiwyg = "true";
+defparam \datamem|ram~3411 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N0
+cyclonev_lcell_comb \datamem|ram~3347feeder (
+// Equation(s):
+// \datamem|ram~3347feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3347feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3347feeder .extended_lut = "off";
+defparam \datamem|ram~3347feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~3347feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N2
+dffeas \datamem|ram~3347 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3347feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3347_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3347 .is_wysiwyg = "true";
+defparam \datamem|ram~3347 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N38
+dffeas \datamem|ram~3539 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3539_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3539 .is_wysiwyg = "true";
+defparam \datamem|ram~3539 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4335 (
+// Equation(s):
+// \datamem|ram~4335_combout = ( \datamem|ram~3539_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3411_q ) ) ) ) # ( !\datamem|ram~3539_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3411_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3539_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3347_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3475_q )) ) ) ) # ( !\datamem|ram~3539_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3347_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3475_q )) ) ) )
+
+ .dataa(!\datamem|ram~3475_q ),
+ .datab(!\datamem|ram~3411_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~3347_q ),
+ .datae(!\datamem|ram~3539_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4335_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4335 .extended_lut = "off";
+defparam \datamem|ram~4335 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4335 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y22_N25
+dffeas \datamem|ram~3507 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3507_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3507 .is_wysiwyg = "true";
+defparam \datamem|ram~3507 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y6_N57
+cyclonev_lcell_comb \datamem|ram~3379feeder (
+// Equation(s):
+// \datamem|ram~3379feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3379feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3379feeder .extended_lut = "off";
+defparam \datamem|ram~3379feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3379feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N58
+dffeas \datamem|ram~3379 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3379feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3379_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3379 .is_wysiwyg = "true";
+defparam \datamem|ram~3379 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N52
+dffeas \datamem|ram~3443 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3443_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3443 .is_wysiwyg = "true";
+defparam \datamem|ram~3443 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N8
+dffeas \datamem|ram~3571 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3571_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3571 .is_wysiwyg = "true";
+defparam \datamem|ram~3571 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y17_N6
+cyclonev_lcell_comb \datamem|ram~4337 (
+// Equation(s):
+// \datamem|ram~4337_combout = ( \datamem|ram~3571_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3443_q ) # (\alu_unit|Mux11~4_combout ) ) ) ) # ( !\datamem|ram~3571_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout &
+// \datamem|ram~3443_q ) ) ) ) # ( \datamem|ram~3571_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3379_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3507_q )) ) ) ) # ( !\datamem|ram~3571_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3379_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3507_q )) ) ) )
+
+ .dataa(!\datamem|ram~3507_q ),
+ .datab(!\datamem|ram~3379_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~3443_q ),
+ .datae(!\datamem|ram~3571_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4337_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4337 .extended_lut = "off";
+defparam \datamem|ram~4337 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4337 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N39
+cyclonev_lcell_comb \datamem|ram~3363feeder (
+// Equation(s):
+// \datamem|ram~3363feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3363feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3363feeder .extended_lut = "off";
+defparam \datamem|ram~3363feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3363feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N40
+dffeas \datamem|ram~3363 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3363feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3363_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3363 .is_wysiwyg = "true";
+defparam \datamem|ram~3363 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N12
+cyclonev_lcell_comb \datamem|ram~3427feeder (
+// Equation(s):
+// \datamem|ram~3427feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3427feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3427feeder .extended_lut = "off";
+defparam \datamem|ram~3427feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3427feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N13
+dffeas \datamem|ram~3427 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3427feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3427_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3427 .is_wysiwyg = "true";
+defparam \datamem|ram~3427 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N9
+cyclonev_lcell_comb \datamem|ram~3491feeder (
+// Equation(s):
+// \datamem|ram~3491feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3491feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3491feeder .extended_lut = "off";
+defparam \datamem|ram~3491feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3491feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N10
+dffeas \datamem|ram~3491 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3491feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3491_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3491 .is_wysiwyg = "true";
+defparam \datamem|ram~3491 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N56
+dffeas \datamem|ram~3555 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3555_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3555 .is_wysiwyg = "true";
+defparam \datamem|ram~3555 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y17_N54
+cyclonev_lcell_comb \datamem|ram~4336 (
+// Equation(s):
+// \datamem|ram~4336_combout = ( \datamem|ram~3555_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3491_q ) ) ) ) # ( !\datamem|ram~3555_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3491_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3555_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3363_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3427_q ))) ) ) ) # ( !\datamem|ram~3555_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3363_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3427_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3363_q ),
+ .datab(!\datamem|ram~3427_q ),
+ .datac(!\datamem|ram~3491_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3555_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4336_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4336 .extended_lut = "off";
+defparam \datamem|ram~4336 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4336 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N29
+dffeas \datamem|ram~3395 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3395_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3395 .is_wysiwyg = "true";
+defparam \datamem|ram~3395 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N11
+dffeas \datamem|ram~3459 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3459_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3459 .is_wysiwyg = "true";
+defparam \datamem|ram~3459 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y4_N48
+cyclonev_lcell_comb \datamem|ram~3331feeder (
+// Equation(s):
+// \datamem|ram~3331feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3331feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3331feeder .extended_lut = "off";
+defparam \datamem|ram~3331feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3331feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y4_N49
+dffeas \datamem|ram~3331 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3331feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3331_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3331 .is_wysiwyg = "true";
+defparam \datamem|ram~3331 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N32
+dffeas \datamem|ram~3523 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3523_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3523 .is_wysiwyg = "true";
+defparam \datamem|ram~3523 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N30
+cyclonev_lcell_comb \datamem|ram~4334 (
+// Equation(s):
+// \datamem|ram~4334_combout = ( \datamem|ram~3523_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3459_q ) ) ) ) # ( !\datamem|ram~3523_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3459_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3523_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3331_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3395_q )) ) ) ) # ( !\datamem|ram~3523_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3331_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3395_q )) ) ) )
+
+ .dataa(!\datamem|ram~3395_q ),
+ .datab(!\datamem|ram~3459_q ),
+ .datac(!\datamem|ram~3331_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3523_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4334_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4334 .extended_lut = "off";
+defparam \datamem|ram~4334 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4334 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y17_N24
+cyclonev_lcell_comb \datamem|ram~4338 (
+// Equation(s):
+// \datamem|ram~4338_combout = ( \datamem|ram~4336_combout & ( \datamem|ram~4334_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4335_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4337_combout )))) ) )
+// ) # ( !\datamem|ram~4336_combout & ( \datamem|ram~4334_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4335_combout )) #
+// (\alu_unit|Mux13~4_combout & ((\datamem|ram~4337_combout ))))) ) ) ) # ( \datamem|ram~4336_combout & ( !\datamem|ram~4334_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4335_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4337_combout ))))) ) ) ) # ( !\datamem|ram~4336_combout & ( !\datamem|ram~4334_combout & ( (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4335_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4337_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4335_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4337_combout ),
+ .datae(!\datamem|ram~4336_combout ),
+ .dataf(!\datamem|ram~4334_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4338_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4338 .extended_lut = "off";
+defparam \datamem|ram~4338 .lut_mask = 64'h10131C1FD0D3DCDF;
+defparam \datamem|ram~4338 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N12
+cyclonev_lcell_comb \datamem|ram~3251feeder (
+// Equation(s):
+// \datamem|ram~3251feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3251feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3251feeder .extended_lut = "off";
+defparam \datamem|ram~3251feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3251feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N13
+dffeas \datamem|ram~3251 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3251feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3251_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3251 .is_wysiwyg = "true";
+defparam \datamem|ram~3251 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N57
+cyclonev_lcell_comb \datamem|ram~3123feeder (
+// Equation(s):
+// \datamem|ram~3123feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3123feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3123feeder .extended_lut = "off";
+defparam \datamem|ram~3123feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3123feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N59
+dffeas \datamem|ram~3123 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3123feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3123_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3123 .is_wysiwyg = "true";
+defparam \datamem|ram~3123 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N47
+dffeas \datamem|ram~3187 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3187_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3187 .is_wysiwyg = "true";
+defparam \datamem|ram~3187 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N8
+dffeas \datamem|ram~3315 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3315_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3315 .is_wysiwyg = "true";
+defparam \datamem|ram~3315 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N6
+cyclonev_lcell_comb \datamem|ram~4332 (
+// Equation(s):
+// \datamem|ram~4332_combout = ( \datamem|ram~3315_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3251_q ) ) ) ) # ( !\datamem|ram~3315_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3251_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3315_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3123_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3187_q ))) ) ) ) # ( !\datamem|ram~3315_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3123_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3187_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3251_q ),
+ .datab(!\datamem|ram~3123_q ),
+ .datac(!\datamem|ram~3187_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3315_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4332_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4332 .extended_lut = "off";
+defparam \datamem|ram~4332 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4332 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N18
+cyclonev_lcell_comb \datamem|ram~3155feeder (
+// Equation(s):
+// \datamem|ram~3155feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3155feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3155feeder .extended_lut = "off";
+defparam \datamem|ram~3155feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3155feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N19
+dffeas \datamem|ram~3155 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3155feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3155_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3155 .is_wysiwyg = "true";
+defparam \datamem|ram~3155 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N27
+cyclonev_lcell_comb \datamem|ram~3091feeder (
+// Equation(s):
+// \datamem|ram~3091feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3091feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3091feeder .extended_lut = "off";
+defparam \datamem|ram~3091feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3091feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N29
+dffeas \datamem|ram~3091 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3091feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3091_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3091 .is_wysiwyg = "true";
+defparam \datamem|ram~3091 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N7
+dffeas \datamem|ram~3283 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3283_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3283 .is_wysiwyg = "true";
+defparam \datamem|ram~3283 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N12
+cyclonev_lcell_comb \datamem|ram~3219feeder (
+// Equation(s):
+// \datamem|ram~3219feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3219feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3219feeder .extended_lut = "off";
+defparam \datamem|ram~3219feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3219feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N13
+dffeas \datamem|ram~3219 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3219feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3219_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3219 .is_wysiwyg = "true";
+defparam \datamem|ram~3219 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N39
+cyclonev_lcell_comb \datamem|ram~4330 (
+// Equation(s):
+// \datamem|ram~4330_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~3283_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~3219_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~3155_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~3091_q ) ) )
+
+ .dataa(!\datamem|ram~3155_q ),
+ .datab(!\datamem|ram~3091_q ),
+ .datac(!\datamem|ram~3283_q ),
+ .datad(!\datamem|ram~3219_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4330_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4330 .extended_lut = "off";
+defparam \datamem|ram~4330 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~4330 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N9
+cyclonev_lcell_comb \datamem|ram~3139feeder (
+// Equation(s):
+// \datamem|ram~3139feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3139feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3139feeder .extended_lut = "off";
+defparam \datamem|ram~3139feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3139feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N10
+dffeas \datamem|ram~3139 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3139feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3139_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3139 .is_wysiwyg = "true";
+defparam \datamem|ram~3139 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N15
+cyclonev_lcell_comb \datamem|ram~3075feeder (
+// Equation(s):
+// \datamem|ram~3075feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3075feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3075feeder .extended_lut = "off";
+defparam \datamem|ram~3075feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3075feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N16
+dffeas \datamem|ram~3075 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3075feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3075_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3075 .is_wysiwyg = "true";
+defparam \datamem|ram~3075 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N35
+dffeas \datamem|ram~3203 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3203_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3203 .is_wysiwyg = "true";
+defparam \datamem|ram~3203 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N2
+dffeas \datamem|ram~3267 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3267_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3267 .is_wysiwyg = "true";
+defparam \datamem|ram~3267 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y20_N0
+cyclonev_lcell_comb \datamem|ram~4329 (
+// Equation(s):
+// \datamem|ram~4329_combout = ( \datamem|ram~3267_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3203_q ) ) ) ) # ( !\datamem|ram~3267_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3203_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3267_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3075_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3139_q )) ) ) ) # ( !\datamem|ram~3267_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3075_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3139_q )) ) ) )
+
+ .dataa(!\datamem|ram~3139_q ),
+ .datab(!\datamem|ram~3075_q ),
+ .datac(!\datamem|ram~3203_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3267_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4329_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4329 .extended_lut = "off";
+defparam \datamem|ram~4329 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4329 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N50
+dffeas \datamem|ram~3107 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3107_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3107 .is_wysiwyg = "true";
+defparam \datamem|ram~3107 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y22_N0
+cyclonev_lcell_comb \datamem|ram~3235feeder (
+// Equation(s):
+// \datamem|ram~3235feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3235feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3235feeder .extended_lut = "off";
+defparam \datamem|ram~3235feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3235feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N1
+dffeas \datamem|ram~3235 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3235feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3235_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3235 .is_wysiwyg = "true";
+defparam \datamem|ram~3235 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N8
+dffeas \datamem|ram~3171 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3171_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3171 .is_wysiwyg = "true";
+defparam \datamem|ram~3171 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N14
+dffeas \datamem|ram~3299 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3299_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3299 .is_wysiwyg = "true";
+defparam \datamem|ram~3299 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N12
+cyclonev_lcell_comb \datamem|ram~4331 (
+// Equation(s):
+// \datamem|ram~4331_combout = ( \datamem|ram~3299_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3235_q ) ) ) ) # ( !\datamem|ram~3299_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3235_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3299_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3107_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3171_q ))) ) ) ) # ( !\datamem|ram~3299_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3107_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3171_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3107_q ),
+ .datab(!\datamem|ram~3235_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3171_q ),
+ .datae(!\datamem|ram~3299_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4331_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4331 .extended_lut = "off";
+defparam \datamem|ram~4331 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4331 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N12
+cyclonev_lcell_comb \datamem|ram~4333 (
+// Equation(s):
+// \datamem|ram~4333_combout = ( \datamem|ram~4329_combout & ( \datamem|ram~4331_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4330_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4332_combout ))) ) )
+// ) # ( !\datamem|ram~4329_combout & ( \datamem|ram~4331_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4330_combout ))) # (\alu_unit|Mux13~4_combout
+// & (\datamem|ram~4332_combout )))) ) ) ) # ( \datamem|ram~4329_combout & ( !\datamem|ram~4331_combout & ( (!\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout )) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4330_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4332_combout )))) ) ) ) # ( !\datamem|ram~4329_combout & ( !\datamem|ram~4331_combout & ( (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~4330_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4332_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4332_combout ),
+ .datad(!\datamem|ram~4330_combout ),
+ .datae(!\datamem|ram~4329_combout ),
+ .dataf(!\datamem|ram~4331_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4333_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4333 .extended_lut = "off";
+defparam \datamem|ram~4333 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~4333 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N6
+cyclonev_lcell_comb \datamem|ram~3763feeder (
+// Equation(s):
+// \datamem|ram~3763feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3763feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3763feeder .extended_lut = "off";
+defparam \datamem|ram~3763feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3763feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N7
+dffeas \datamem|ram~3763 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3763feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3763_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3763 .is_wysiwyg = "true";
+defparam \datamem|ram~3763 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N27
+cyclonev_lcell_comb \datamem|ram~3699feeder (
+// Equation(s):
+// \datamem|ram~3699feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3699feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3699feeder .extended_lut = "off";
+defparam \datamem|ram~3699feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3699feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N28
+dffeas \datamem|ram~3699 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3699feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3699_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3699 .is_wysiwyg = "true";
+defparam \datamem|ram~3699 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N34
+dffeas \datamem|ram~3635 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3635_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3635 .is_wysiwyg = "true";
+defparam \datamem|ram~3635 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y14_N50
+dffeas \datamem|ram~3827 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3827_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3827 .is_wysiwyg = "true";
+defparam \datamem|ram~3827 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N48
+cyclonev_lcell_comb \datamem|ram~4342 (
+// Equation(s):
+// \datamem|ram~4342_combout = ( \datamem|ram~3827_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3699_q ) ) ) ) # ( !\datamem|ram~3827_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3699_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3827_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3635_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3763_q )) ) ) ) # ( !\datamem|ram~3827_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3635_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3763_q )) ) ) )
+
+ .dataa(!\datamem|ram~3763_q ),
+ .datab(!\datamem|ram~3699_q ),
+ .datac(!\datamem|ram~3635_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~3827_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4342_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4342 .extended_lut = "off";
+defparam \datamem|ram~4342 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4342 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y9_N39
+cyclonev_lcell_comb \datamem|ram~3683feeder (
+// Equation(s):
+// \datamem|ram~3683feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3683feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3683feeder .extended_lut = "off";
+defparam \datamem|ram~3683feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3683feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y9_N41
+dffeas \datamem|ram~3683 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3683feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3683_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3683 .is_wysiwyg = "true";
+defparam \datamem|ram~3683 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y19_N24
+cyclonev_lcell_comb \datamem|ram~3619feeder (
+// Equation(s):
+// \datamem|ram~3619feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3619feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3619feeder .extended_lut = "off";
+defparam \datamem|ram~3619feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3619feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N25
+dffeas \datamem|ram~3619 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3619feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3619_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3619 .is_wysiwyg = "true";
+defparam \datamem|ram~3619 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y9_N45
+cyclonev_lcell_comb \datamem|ram~3747feeder (
+// Equation(s):
+// \datamem|ram~3747feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3747feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3747feeder .extended_lut = "off";
+defparam \datamem|ram~3747feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3747feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y9_N46
+dffeas \datamem|ram~3747 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3747feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3747_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3747 .is_wysiwyg = "true";
+defparam \datamem|ram~3747 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N44
+dffeas \datamem|ram~3811 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3811_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3811 .is_wysiwyg = "true";
+defparam \datamem|ram~3811 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N42
+cyclonev_lcell_comb \datamem|ram~4341 (
+// Equation(s):
+// \datamem|ram~4341_combout = ( \datamem|ram~3811_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3747_q ) ) ) ) # ( !\datamem|ram~3811_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3747_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3811_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3619_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3683_q )) ) ) ) # ( !\datamem|ram~3811_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3619_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3683_q )) ) ) )
+
+ .dataa(!\datamem|ram~3683_q ),
+ .datab(!\datamem|ram~3619_q ),
+ .datac(!\datamem|ram~3747_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3811_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4341_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4341 .extended_lut = "off";
+defparam \datamem|ram~4341 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4341 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N0
+cyclonev_lcell_comb \datamem|ram~3667feeder (
+// Equation(s):
+// \datamem|ram~3667feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3667feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3667feeder .extended_lut = "off";
+defparam \datamem|ram~3667feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3667feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N2
+dffeas \datamem|ram~3667 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3667feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3667_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3667 .is_wysiwyg = "true";
+defparam \datamem|ram~3667 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N32
+dffeas \datamem|ram~3603 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3603_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3603 .is_wysiwyg = "true";
+defparam \datamem|ram~3603 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y19_N30
+cyclonev_lcell_comb \datamem|ram~3731feeder (
+// Equation(s):
+// \datamem|ram~3731feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3731feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3731feeder .extended_lut = "off";
+defparam \datamem|ram~3731feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3731feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N31
+dffeas \datamem|ram~3731 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3731feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3731_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3731 .is_wysiwyg = "true";
+defparam \datamem|ram~3731 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N8
+dffeas \datamem|ram~3795 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3795_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3795 .is_wysiwyg = "true";
+defparam \datamem|ram~3795 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N6
+cyclonev_lcell_comb \datamem|ram~4340 (
+// Equation(s):
+// \datamem|ram~4340_combout = ( \datamem|ram~3795_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3731_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3795_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3731_q ) ) ) ) # ( \datamem|ram~3795_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3603_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3667_q )) ) ) ) # ( !\datamem|ram~3795_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3603_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3667_q )) ) ) )
+
+ .dataa(!\datamem|ram~3667_q ),
+ .datab(!\datamem|ram~3603_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3731_q ),
+ .datae(!\datamem|ram~3795_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4340_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4340 .extended_lut = "off";
+defparam \datamem|ram~4340 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4340 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y17_N21
+cyclonev_lcell_comb \datamem|ram~3715feeder (
+// Equation(s):
+// \datamem|ram~3715feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3715feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3715feeder .extended_lut = "off";
+defparam \datamem|ram~3715feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3715feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y17_N23
+dffeas \datamem|ram~3715 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3715feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3715_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3715 .is_wysiwyg = "true";
+defparam \datamem|ram~3715 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N24
+cyclonev_lcell_comb \datamem|ram~3587feeder (
+// Equation(s):
+// \datamem|ram~3587feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3587feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3587feeder .extended_lut = "off";
+defparam \datamem|ram~3587feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3587feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N25
+dffeas \datamem|ram~3587 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3587feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3587_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3587 .is_wysiwyg = "true";
+defparam \datamem|ram~3587 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N0
+cyclonev_lcell_comb \datamem|ram~3651feeder (
+// Equation(s):
+// \datamem|ram~3651feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3651feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3651feeder .extended_lut = "off";
+defparam \datamem|ram~3651feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3651feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N1
+dffeas \datamem|ram~3651 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3651feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3651_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3651 .is_wysiwyg = "true";
+defparam \datamem|ram~3651 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y17_N26
+dffeas \datamem|ram~3779 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3779_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3779 .is_wysiwyg = "true";
+defparam \datamem|ram~3779 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y17_N24
+cyclonev_lcell_comb \datamem|ram~4339 (
+// Equation(s):
+// \datamem|ram~4339_combout = ( \datamem|ram~3779_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3715_q ) ) ) ) # ( !\datamem|ram~3779_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3715_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3779_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3587_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3651_q ))) ) ) ) # ( !\datamem|ram~3779_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3587_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3651_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3715_q ),
+ .datab(!\datamem|ram~3587_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3651_q ),
+ .datae(!\datamem|ram~3779_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4339_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4339 .extended_lut = "off";
+defparam \datamem|ram~4339 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4339 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N12
+cyclonev_lcell_comb \datamem|ram~4343 (
+// Equation(s):
+// \datamem|ram~4343_combout = ( \datamem|ram~4340_combout & ( \datamem|ram~4339_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4341_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4342_combout ))) ) )
+// ) # ( !\datamem|ram~4340_combout & ( \datamem|ram~4339_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4341_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4342_combout )))) ) ) ) # ( \datamem|ram~4340_combout & ( !\datamem|ram~4339_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4341_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4342_combout )))) ) ) ) # ( !\datamem|ram~4340_combout & ( !\datamem|ram~4339_combout & ( (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4341_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4342_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4342_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4341_combout ),
+ .datae(!\datamem|ram~4340_combout ),
+ .dataf(!\datamem|ram~4339_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4343_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4343 .extended_lut = "off";
+defparam \datamem|ram~4343 .lut_mask = 64'h01310D3DC1F1CDFD;
+defparam \datamem|ram~4343 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N54
+cyclonev_lcell_comb \datamem|ram~4349 (
+// Equation(s):
+// \datamem|ram~4349_combout = ( \datamem|ram~4333_combout & ( \datamem|ram~4343_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4338_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4348_combout ))) ) ) )
+// # ( !\datamem|ram~4333_combout & ( \datamem|ram~4343_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4338_combout & \alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~4348_combout
+// ))) ) ) ) # ( \datamem|ram~4333_combout & ( !\datamem|ram~4343_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4338_combout )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4348_combout &
+// ((\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4333_combout & ( !\datamem|ram~4343_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4338_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4348_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4348_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4338_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4333_combout ),
+ .dataf(!\datamem|ram~4343_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4349_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4349 .extended_lut = "off";
+defparam \datamem|ram~4349 .lut_mask = 64'h001DCC1D331DFF1D;
+defparam \datamem|ram~4349 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N51
+cyclonev_lcell_comb \datamem|ram~1043feeder (
+// Equation(s):
+// \datamem|ram~1043feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1043feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1043feeder .extended_lut = "off";
+defparam \datamem|ram~1043feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1043feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N52
+dffeas \datamem|ram~1043 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1043feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1043_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1043 .is_wysiwyg = "true";
+defparam \datamem|ram~1043 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N49
+dffeas \datamem|ram~1555 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1555_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1555 .is_wysiwyg = "true";
+defparam \datamem|ram~1555 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N21
+cyclonev_lcell_comb \datamem|ram~1811feeder (
+// Equation(s):
+// \datamem|ram~1811feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1811feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1811feeder .extended_lut = "off";
+defparam \datamem|ram~1811feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1811feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N22
+dffeas \datamem|ram~1811 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1811feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1811_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1811 .is_wysiwyg = "true";
+defparam \datamem|ram~1811 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y14_N26
+dffeas \datamem|ram~1299 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1299_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1299 .is_wysiwyg = "true";
+defparam \datamem|ram~1299 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N24
+cyclonev_lcell_comb \datamem|ram~4292 (
+// Equation(s):
+// \datamem|ram~4292_combout = ( \datamem|ram~1299_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1555_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1811_q ))) ) ) ) # ( !\datamem|ram~1299_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1555_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1811_q ))) ) ) ) # ( \datamem|ram~1299_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~1043_q ) ) ) ) # ( !\datamem|ram~1299_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~1043_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1043_q ),
+ .datab(!\datamem|ram~1555_q ),
+ .datac(!\datamem|ram~1811_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1299_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4292_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4292 .extended_lut = "off";
+defparam \datamem|ram~4292 .lut_mask = 64'h550055FF330F330F;
+defparam \datamem|ram~4292 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N45
+cyclonev_lcell_comb \datamem|ram~1683feeder (
+// Equation(s):
+// \datamem|ram~1683feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1683feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1683feeder .extended_lut = "off";
+defparam \datamem|ram~1683feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1683feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N46
+dffeas \datamem|ram~1683 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1683feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1683_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1683 .is_wysiwyg = "true";
+defparam \datamem|ram~1683 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y11_N12
+cyclonev_lcell_comb \datamem|ram~1171feeder (
+// Equation(s):
+// \datamem|ram~1171feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1171feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1171feeder .extended_lut = "off";
+defparam \datamem|ram~1171feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1171feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y11_N14
+dffeas \datamem|ram~1171 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1171feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1171_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1171 .is_wysiwyg = "true";
+defparam \datamem|ram~1171 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y17_N3
+cyclonev_lcell_comb \datamem|ram~1427feeder (
+// Equation(s):
+// \datamem|ram~1427feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1427feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1427feeder .extended_lut = "off";
+defparam \datamem|ram~1427feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1427feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N4
+dffeas \datamem|ram~1427 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1427feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1427_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1427 .is_wysiwyg = "true";
+defparam \datamem|ram~1427 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y14_N20
+dffeas \datamem|ram~1939 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1939_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1939 .is_wysiwyg = "true";
+defparam \datamem|ram~1939 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N18
+cyclonev_lcell_comb \datamem|ram~4294 (
+// Equation(s):
+// \datamem|ram~4294_combout = ( \datamem|ram~1939_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1427_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~1939_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~1427_q ) ) ) ) # ( \datamem|ram~1939_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1171_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1683_q )) ) ) ) # ( !\datamem|ram~1939_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1171_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1683_q )) ) ) )
+
+ .dataa(!\datamem|ram~1683_q ),
+ .datab(!\datamem|ram~1171_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1427_q ),
+ .datae(!\datamem|ram~1939_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4294_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4294 .extended_lut = "off";
+defparam \datamem|ram~4294 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4294 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N37
+dffeas \datamem|ram~2003 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2003_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2003 .is_wysiwyg = "true";
+defparam \datamem|ram~2003 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N19
+dffeas \datamem|ram~1491 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1491_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1491 .is_wysiwyg = "true";
+defparam \datamem|ram~1491 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N14
+dffeas \datamem|ram~1235 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1235_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1235 .is_wysiwyg = "true";
+defparam \datamem|ram~1235 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N19
+dffeas \datamem|ram~1747 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1747_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1747 .is_wysiwyg = "true";
+defparam \datamem|ram~1747 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N12
+cyclonev_lcell_comb \datamem|ram~4295 (
+// Equation(s):
+// \datamem|ram~4295_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2003_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1491_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~1747_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~1235_q ) ) )
+
+ .dataa(!\datamem|ram~2003_q ),
+ .datab(!\datamem|ram~1491_q ),
+ .datac(!\datamem|ram~1235_q ),
+ .datad(!\datamem|ram~1747_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4295_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4295 .extended_lut = "off";
+defparam \datamem|ram~4295 .lut_mask = 64'h0F0F00FF33335555;
+defparam \datamem|ram~4295 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N35
+dffeas \datamem|ram~1107 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1107_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1107 .is_wysiwyg = "true";
+defparam \datamem|ram~1107 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y11_N33
+cyclonev_lcell_comb \datamem|ram~1619feeder (
+// Equation(s):
+// \datamem|ram~1619feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1619feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1619feeder .extended_lut = "off";
+defparam \datamem|ram~1619feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1619feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y11_N34
+dffeas \datamem|ram~1619 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1619feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1619_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1619 .is_wysiwyg = "true";
+defparam \datamem|ram~1619 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N24
+cyclonev_lcell_comb \datamem|ram~1875feeder (
+// Equation(s):
+// \datamem|ram~1875feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1875feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1875feeder .extended_lut = "off";
+defparam \datamem|ram~1875feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1875feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N25
+dffeas \datamem|ram~1875 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1875feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1875_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1875 .is_wysiwyg = "true";
+defparam \datamem|ram~1875 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N14
+dffeas \datamem|ram~1363 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1363_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1363 .is_wysiwyg = "true";
+defparam \datamem|ram~1363 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N12
+cyclonev_lcell_comb \datamem|ram~4293 (
+// Equation(s):
+// \datamem|ram~4293_combout = ( \datamem|ram~1363_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1619_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1875_q ))) ) ) ) # ( !\datamem|ram~1363_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1619_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1875_q ))) ) ) ) # ( \datamem|ram~1363_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~1107_q ) ) ) ) # ( !\datamem|ram~1363_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~1107_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1107_q ),
+ .datab(!\datamem|ram~1619_q ),
+ .datac(!\datamem|ram~1875_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1363_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4293_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4293 .extended_lut = "off";
+defparam \datamem|ram~4293 .lut_mask = 64'h550055FF330F330F;
+defparam \datamem|ram~4293 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N54
+cyclonev_lcell_comb \datamem|ram~4296 (
+// Equation(s):
+// \datamem|ram~4296_combout = ( \datamem|ram~4295_combout & ( \datamem|ram~4293_combout & ( ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4292_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4294_combout )))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~4295_combout & ( \datamem|ram~4293_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) # (\datamem|ram~4292_combout ))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~4294_combout & !\alu_unit|Mux12~2_combout
+// )))) ) ) ) # ( \datamem|ram~4295_combout & ( !\datamem|ram~4293_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~4292_combout & ((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~4294_combout )))) ) ) ) # ( !\datamem|ram~4295_combout & ( !\datamem|ram~4293_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4292_combout )) # (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4294_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4292_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4294_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4295_combout ),
+ .dataf(!\datamem|ram~4293_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4296_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4296 .extended_lut = "off";
+defparam \datamem|ram~4296 .lut_mask = 64'h4700473347CC47FF;
+defparam \datamem|ram~4296 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y14_N42
+cyclonev_lcell_comb \datamem|ram~1795feeder (
+// Equation(s):
+// \datamem|ram~1795feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1795feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1795feeder .extended_lut = "off";
+defparam \datamem|ram~1795feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1795feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N44
+dffeas \datamem|ram~1795 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1795feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1795_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1795 .is_wysiwyg = "true";
+defparam \datamem|ram~1795 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y14_N36
+cyclonev_lcell_comb \datamem|ram~1539feeder (
+// Equation(s):
+// \datamem|ram~1539feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1539feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1539feeder .extended_lut = "off";
+defparam \datamem|ram~1539feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1539feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N37
+dffeas \datamem|ram~1539 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1539feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1539_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1539 .is_wysiwyg = "true";
+defparam \datamem|ram~1539 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N40
+dffeas \datamem|ram~1027 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1027_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1027 .is_wysiwyg = "true";
+defparam \datamem|ram~1027 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y14_N38
+dffeas \datamem|ram~1283 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1283_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1283 .is_wysiwyg = "true";
+defparam \datamem|ram~1283 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N36
+cyclonev_lcell_comb \datamem|ram~4287 (
+// Equation(s):
+// \datamem|ram~4287_combout = ( \datamem|ram~1283_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1539_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1795_q )) ) ) ) # ( !\datamem|ram~1283_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1539_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1795_q )) ) ) ) # ( \datamem|ram~1283_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~1027_q ) ) ) ) # ( !\datamem|ram~1283_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~1027_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1795_q ),
+ .datab(!\datamem|ram~1539_q ),
+ .datac(!\datamem|ram~1027_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1283_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4287_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4287 .extended_lut = "off";
+defparam \datamem|ram~4287 .lut_mask = 64'h0F000FFF33553355;
+defparam \datamem|ram~4287 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N5
+dffeas \datamem|ram~1219 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1219_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1219 .is_wysiwyg = "true";
+defparam \datamem|ram~1219 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N11
+dffeas \datamem|ram~1731 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1731_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1731 .is_wysiwyg = "true";
+defparam \datamem|ram~1731 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N57
+cyclonev_lcell_comb \datamem|ram~1475feeder (
+// Equation(s):
+// \datamem|ram~1475feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1475feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1475feeder .extended_lut = "off";
+defparam \datamem|ram~1475feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1475feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N59
+dffeas \datamem|ram~1475 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1475feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1475_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1475 .is_wysiwyg = "true";
+defparam \datamem|ram~1475 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N26
+dffeas \datamem|ram~1987 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1987_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1987 .is_wysiwyg = "true";
+defparam \datamem|ram~1987 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N24
+cyclonev_lcell_comb \datamem|ram~4290 (
+// Equation(s):
+// \datamem|ram~4290_combout = ( \datamem|ram~1987_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1475_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~1987_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~1475_q ) ) ) ) # ( \datamem|ram~1987_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1219_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1731_q ))) ) ) ) # ( !\datamem|ram~1987_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1219_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1731_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1219_q ),
+ .datab(!\datamem|ram~1731_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1475_q ),
+ .datae(!\datamem|ram~1987_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4290_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4290 .extended_lut = "off";
+defparam \datamem|ram~4290 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4290 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N9
+cyclonev_lcell_comb \datamem|ram~1411feeder (
+// Equation(s):
+// \datamem|ram~1411feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1411feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1411feeder .extended_lut = "off";
+defparam \datamem|ram~1411feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1411feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N10
+dffeas \datamem|ram~1411 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1411feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1411_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1411 .is_wysiwyg = "true";
+defparam \datamem|ram~1411 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N15
+cyclonev_lcell_comb \datamem|ram~1155feeder (
+// Equation(s):
+// \datamem|ram~1155feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1155feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1155feeder .extended_lut = "off";
+defparam \datamem|ram~1155feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1155feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N17
+dffeas \datamem|ram~1155 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1155feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1155_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1155 .is_wysiwyg = "true";
+defparam \datamem|ram~1155 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N9
+cyclonev_lcell_comb \datamem|ram~1667feeder (
+// Equation(s):
+// \datamem|ram~1667feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1667feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1667feeder .extended_lut = "off";
+defparam \datamem|ram~1667feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1667feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N11
+dffeas \datamem|ram~1667 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1667feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1667_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1667 .is_wysiwyg = "true";
+defparam \datamem|ram~1667 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N2
+dffeas \datamem|ram~1923 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1923_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1923 .is_wysiwyg = "true";
+defparam \datamem|ram~1923 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N0
+cyclonev_lcell_comb \datamem|ram~4289 (
+// Equation(s):
+// \datamem|ram~4289_combout = ( \datamem|ram~1923_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1667_q ) ) ) ) # ( !\datamem|ram~1923_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1667_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1923_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1155_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1411_q )) ) ) ) # ( !\datamem|ram~1923_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1155_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1411_q )) ) ) )
+
+ .dataa(!\datamem|ram~1411_q ),
+ .datab(!\datamem|ram~1155_q ),
+ .datac(!\datamem|ram~1667_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1923_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4289_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4289 .extended_lut = "off";
+defparam \datamem|ram~4289 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4289 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N13
+dffeas \datamem|ram~1603 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1603_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1603 .is_wysiwyg = "true";
+defparam \datamem|ram~1603 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N43
+dffeas \datamem|ram~1091 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1091_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1091 .is_wysiwyg = "true";
+defparam \datamem|ram~1091 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N39
+cyclonev_lcell_comb \datamem|ram~1859feeder (
+// Equation(s):
+// \datamem|ram~1859feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1859feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1859feeder .extended_lut = "off";
+defparam \datamem|ram~1859feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1859feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N41
+dffeas \datamem|ram~1859 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1859feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1859_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1859 .is_wysiwyg = "true";
+defparam \datamem|ram~1859 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N26
+dffeas \datamem|ram~1347 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1347_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1347 .is_wysiwyg = "true";
+defparam \datamem|ram~1347 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N24
+cyclonev_lcell_comb \datamem|ram~4288 (
+// Equation(s):
+// \datamem|ram~4288_combout = ( \datamem|ram~1347_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1603_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1859_q ))) ) ) ) # ( !\datamem|ram~1347_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1603_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1859_q ))) ) ) ) # ( \datamem|ram~1347_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~1091_q ) ) ) ) # ( !\datamem|ram~1347_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~1091_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1603_q ),
+ .datab(!\datamem|ram~1091_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1859_q ),
+ .datae(!\datamem|ram~1347_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4288_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4288 .extended_lut = "off";
+defparam \datamem|ram~4288 .lut_mask = 64'h30303F3F505F505F;
+defparam \datamem|ram~4288 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N42
+cyclonev_lcell_comb \datamem|ram~4291 (
+// Equation(s):
+// \datamem|ram~4291_combout = ( \datamem|ram~4289_combout & ( \datamem|ram~4288_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) # (\datamem|ram~4287_combout ))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~4290_combout )))) ) ) ) # ( !\datamem|ram~4289_combout & ( \datamem|ram~4288_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) # (\datamem|ram~4287_combout ))) # (\alu_unit|Mux11~4_combout &
+// (((\datamem|ram~4290_combout & \alu_unit|Mux12~2_combout )))) ) ) ) # ( \datamem|ram~4289_combout & ( !\datamem|ram~4288_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~4287_combout & ((!\alu_unit|Mux12~2_combout )))) #
+// (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~4290_combout )))) ) ) ) # ( !\datamem|ram~4289_combout & ( !\datamem|ram~4288_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~4287_combout &
+// ((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~4290_combout & \alu_unit|Mux12~2_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4287_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4290_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4289_combout ),
+ .dataf(!\datamem|ram~4288_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4291_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4291 .extended_lut = "off";
+defparam \datamem|ram~4291 .lut_mask = 64'h4403770344CF77CF;
+defparam \datamem|ram~4291 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N33
+cyclonev_lcell_comb \datamem|ram~1635feeder (
+// Equation(s):
+// \datamem|ram~1635feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1635feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1635feeder .extended_lut = "off";
+defparam \datamem|ram~1635feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1635feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N35
+dffeas \datamem|ram~1635 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1635feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1635_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1635 .is_wysiwyg = "true";
+defparam \datamem|ram~1635 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N55
+dffeas \datamem|ram~1379 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1379_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1379 .is_wysiwyg = "true";
+defparam \datamem|ram~1379 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y12_N24
+cyclonev_lcell_comb \datamem|ram~1123feeder (
+// Equation(s):
+// \datamem|ram~1123feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1123feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1123feeder .extended_lut = "off";
+defparam \datamem|ram~1123feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1123feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N26
+dffeas \datamem|ram~1123 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1123feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1123_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1123 .is_wysiwyg = "true";
+defparam \datamem|ram~1123 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N44
+dffeas \datamem|ram~1891 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1891_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1891 .is_wysiwyg = "true";
+defparam \datamem|ram~1891 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y12_N42
+cyclonev_lcell_comb \datamem|ram~4298 (
+// Equation(s):
+// \datamem|ram~4298_combout = ( \datamem|ram~1891_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1379_q ) ) ) ) # ( !\datamem|ram~1891_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1379_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1891_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1123_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1635_q )) ) ) ) # ( !\datamem|ram~1891_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1123_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1635_q )) ) ) )
+
+ .dataa(!\datamem|ram~1635_q ),
+ .datab(!\datamem|ram~1379_q ),
+ .datac(!\datamem|ram~1123_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1891_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4298_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4298 .extended_lut = "off";
+defparam \datamem|ram~4298 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4298 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N5
+dffeas \datamem|ram~1251 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1251_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1251 .is_wysiwyg = "true";
+defparam \datamem|ram~1251 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N5
+dffeas \datamem|ram~1763 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1763_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1763 .is_wysiwyg = "true";
+defparam \datamem|ram~1763 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N23
+dffeas \datamem|ram~1507 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1507_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1507 .is_wysiwyg = "true";
+defparam \datamem|ram~1507 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N8
+dffeas \datamem|ram~2019 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2019_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2019 .is_wysiwyg = "true";
+defparam \datamem|ram~2019 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y14_N6
+cyclonev_lcell_comb \datamem|ram~4300 (
+// Equation(s):
+// \datamem|ram~4300_combout = ( \datamem|ram~2019_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1763_q ) ) ) ) # ( !\datamem|ram~2019_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1763_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2019_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1251_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1507_q ))) ) ) ) # ( !\datamem|ram~2019_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1251_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1507_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1251_q ),
+ .datab(!\datamem|ram~1763_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1507_q ),
+ .datae(!\datamem|ram~2019_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4300_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4300 .extended_lut = "off";
+defparam \datamem|ram~4300 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4300 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N25
+dffeas \datamem|ram~1571 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1571_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1571 .is_wysiwyg = "true";
+defparam \datamem|ram~1571 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N52
+dffeas \datamem|ram~1059 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1059_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1059 .is_wysiwyg = "true";
+defparam \datamem|ram~1059 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N47
+dffeas \datamem|ram~1315 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1315_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1315 .is_wysiwyg = "true";
+defparam \datamem|ram~1315 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N14
+dffeas \datamem|ram~1827 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1827_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1827 .is_wysiwyg = "true";
+defparam \datamem|ram~1827 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4297 (
+// Equation(s):
+// \datamem|ram~4297_combout = ( \datamem|ram~1827_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1571_q ) ) ) ) # ( !\datamem|ram~1827_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1571_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1827_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1059_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1315_q ))) ) ) ) # ( !\datamem|ram~1827_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1059_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1315_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1571_q ),
+ .datab(!\datamem|ram~1059_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1315_q ),
+ .datae(!\datamem|ram~1827_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4297_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4297 .extended_lut = "off";
+defparam \datamem|ram~4297 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4297 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y23_N33
+cyclonev_lcell_comb \datamem|ram~1443feeder (
+// Equation(s):
+// \datamem|ram~1443feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1443feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1443feeder .extended_lut = "off";
+defparam \datamem|ram~1443feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1443feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N34
+dffeas \datamem|ram~1443 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1443feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1443_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1443 .is_wysiwyg = "true";
+defparam \datamem|ram~1443 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N24
+cyclonev_lcell_comb \datamem|ram~1699feeder (
+// Equation(s):
+// \datamem|ram~1699feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1699feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1699feeder .extended_lut = "off";
+defparam \datamem|ram~1699feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1699feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N25
+dffeas \datamem|ram~1699 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1699feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1699_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1699 .is_wysiwyg = "true";
+defparam \datamem|ram~1699 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N30
+cyclonev_lcell_comb \datamem|ram~1187feeder (
+// Equation(s):
+// \datamem|ram~1187feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1187feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1187feeder .extended_lut = "off";
+defparam \datamem|ram~1187feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1187feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N32
+dffeas \datamem|ram~1187 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1187feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1187_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1187 .is_wysiwyg = "true";
+defparam \datamem|ram~1187 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y23_N26
+dffeas \datamem|ram~1955 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1955_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1955 .is_wysiwyg = "true";
+defparam \datamem|ram~1955 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y23_N24
+cyclonev_lcell_comb \datamem|ram~4299 (
+// Equation(s):
+// \datamem|ram~4299_combout = ( \datamem|ram~1955_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1699_q ) ) ) ) # ( !\datamem|ram~1955_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1699_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1955_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1187_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1443_q )) ) ) ) # ( !\datamem|ram~1955_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1187_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1443_q )) ) ) )
+
+ .dataa(!\datamem|ram~1443_q ),
+ .datab(!\datamem|ram~1699_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1187_q ),
+ .datae(!\datamem|ram~1955_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4299_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4299 .extended_lut = "off";
+defparam \datamem|ram~4299 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4299 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N0
+cyclonev_lcell_comb \datamem|ram~4301 (
+// Equation(s):
+// \datamem|ram~4301_combout = ( \datamem|ram~4297_combout & ( \datamem|ram~4299_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4298_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4300_combout )))) ) )
+// ) # ( !\datamem|ram~4297_combout & ( \datamem|ram~4299_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4298_combout )) # (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4300_combout ))))) ) ) ) # ( \datamem|ram~4297_combout & ( !\datamem|ram~4299_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4298_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4300_combout ))))) ) ) ) # ( !\datamem|ram~4297_combout & ( !\datamem|ram~4299_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4298_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4300_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4298_combout ),
+ .datad(!\datamem|ram~4300_combout ),
+ .datae(!\datamem|ram~4297_combout ),
+ .dataf(!\datamem|ram~4299_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4301_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4301 .extended_lut = "off";
+defparam \datamem|ram~4301 .lut_mask = 64'h04158C9D2637AEBF;
+defparam \datamem|ram~4301 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N46
+dffeas \datamem|ram~1075 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1075_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1075 .is_wysiwyg = "true";
+defparam \datamem|ram~1075 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N16
+dffeas \datamem|ram~1203 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1203_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1203 .is_wysiwyg = "true";
+defparam \datamem|ram~1203 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N12
+cyclonev_lcell_comb \datamem|ram~1139feeder (
+// Equation(s):
+// \datamem|ram~1139feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1139feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1139feeder .extended_lut = "off";
+defparam \datamem|ram~1139feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1139feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N13
+dffeas \datamem|ram~1139 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1139feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1139_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1139 .is_wysiwyg = "true";
+defparam \datamem|ram~1139 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N2
+dffeas \datamem|ram~1267 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1267_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1267 .is_wysiwyg = "true";
+defparam \datamem|ram~1267 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N0
+cyclonev_lcell_comb \datamem|ram~4302 (
+// Equation(s):
+// \datamem|ram~4302_combout = ( \datamem|ram~1267_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1203_q ) ) ) ) # ( !\datamem|ram~1267_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1203_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1267_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1075_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1139_q ))) ) ) ) # ( !\datamem|ram~1267_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1075_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1139_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1075_q ),
+ .datab(!\datamem|ram~1203_q ),
+ .datac(!\datamem|ram~1139_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1267_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4302_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4302 .extended_lut = "off";
+defparam \datamem|ram~4302 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4302 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N33
+cyclonev_lcell_comb \datamem|ram~1331feeder (
+// Equation(s):
+// \datamem|ram~1331feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1331feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1331feeder .extended_lut = "off";
+defparam \datamem|ram~1331feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1331feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N35
+dffeas \datamem|ram~1331 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1331feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1331_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1331 .is_wysiwyg = "true";
+defparam \datamem|ram~1331 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y6_N37
+dffeas \datamem|ram~1395 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1395_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1395 .is_wysiwyg = "true";
+defparam \datamem|ram~1395 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N16
+dffeas \datamem|ram~1459 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1459_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1459 .is_wysiwyg = "true";
+defparam \datamem|ram~1459 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N26
+dffeas \datamem|ram~1523 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1523_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1523 .is_wysiwyg = "true";
+defparam \datamem|ram~1523 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N24
+cyclonev_lcell_comb \datamem|ram~4303 (
+// Equation(s):
+// \datamem|ram~4303_combout = ( \datamem|ram~1523_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1459_q ) ) ) ) # ( !\datamem|ram~1523_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1459_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1523_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1331_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1395_q ))) ) ) ) # ( !\datamem|ram~1523_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1331_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1395_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1331_q ),
+ .datab(!\datamem|ram~1395_q ),
+ .datac(!\datamem|ram~1459_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1523_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4303_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4303 .extended_lut = "off";
+defparam \datamem|ram~4303 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4303 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N47
+dffeas \datamem|ram~1907 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1907_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1907 .is_wysiwyg = "true";
+defparam \datamem|ram~1907 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N17
+dffeas \datamem|ram~1843 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1843_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1843 .is_wysiwyg = "true";
+defparam \datamem|ram~1843 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y9_N33
+cyclonev_lcell_comb \datamem|ram~1971feeder (
+// Equation(s):
+// \datamem|ram~1971feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1971feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1971feeder .extended_lut = "off";
+defparam \datamem|ram~1971feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1971feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y9_N35
+dffeas \datamem|ram~1971 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1971feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1971_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1971 .is_wysiwyg = "true";
+defparam \datamem|ram~1971 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y16_N32
+dffeas \datamem|ram~2035 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2035_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2035 .is_wysiwyg = "true";
+defparam \datamem|ram~2035 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y16_N30
+cyclonev_lcell_comb \datamem|ram~4305 (
+// Equation(s):
+// \datamem|ram~4305_combout = ( \datamem|ram~2035_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1971_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2035_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1971_q ) ) ) ) # ( \datamem|ram~2035_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1843_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1907_q )) ) ) ) # ( !\datamem|ram~2035_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1843_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1907_q )) ) ) )
+
+ .dataa(!\datamem|ram~1907_q ),
+ .datab(!\datamem|ram~1843_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1971_q ),
+ .datae(!\datamem|ram~2035_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4305_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4305 .extended_lut = "off";
+defparam \datamem|ram~4305 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4305 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N42
+cyclonev_lcell_comb \datamem|ram~1587feeder (
+// Equation(s):
+// \datamem|ram~1587feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1587feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1587feeder .extended_lut = "off";
+defparam \datamem|ram~1587feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1587feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N43
+dffeas \datamem|ram~1587 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1587feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1587_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1587 .is_wysiwyg = "true";
+defparam \datamem|ram~1587 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N24
+cyclonev_lcell_comb \datamem|ram~1651feeder (
+// Equation(s):
+// \datamem|ram~1651feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1651feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1651feeder .extended_lut = "off";
+defparam \datamem|ram~1651feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1651feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N25
+dffeas \datamem|ram~1651 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1651feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1651_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1651 .is_wysiwyg = "true";
+defparam \datamem|ram~1651 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N45
+cyclonev_lcell_comb \datamem|ram~1715feeder (
+// Equation(s):
+// \datamem|ram~1715feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1715feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1715feeder .extended_lut = "off";
+defparam \datamem|ram~1715feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1715feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N46
+dffeas \datamem|ram~1715 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1715feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1715_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1715 .is_wysiwyg = "true";
+defparam \datamem|ram~1715 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y17_N2
+dffeas \datamem|ram~1779 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1779_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1779 .is_wysiwyg = "true";
+defparam \datamem|ram~1779 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y17_N0
+cyclonev_lcell_comb \datamem|ram~4304 (
+// Equation(s):
+// \datamem|ram~4304_combout = ( \datamem|ram~1779_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1715_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1779_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1715_q ) ) ) ) # ( \datamem|ram~1779_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1587_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1651_q ))) ) ) ) # ( !\datamem|ram~1779_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1587_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1651_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1587_q ),
+ .datab(!\datamem|ram~1651_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1715_q ),
+ .datae(!\datamem|ram~1779_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4304_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4304 .extended_lut = "off";
+defparam \datamem|ram~4304 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4304 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N51
+cyclonev_lcell_comb \datamem|ram~4306 (
+// Equation(s):
+// \datamem|ram~4306_combout = ( \datamem|ram~4305_combout & ( \datamem|ram~4304_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4302_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4303_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4305_combout & ( \datamem|ram~4304_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4302_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4303_combout ))))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout )))) ) ) ) # ( \datamem|ram~4305_combout & ( !\datamem|ram~4304_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4302_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4303_combout ))))) # (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4305_combout & ( !\datamem|ram~4304_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4302_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4303_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4302_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~4303_combout ),
+ .datae(!\datamem|ram~4305_combout ),
+ .dataf(!\datamem|ram~4304_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4306_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4306 .extended_lut = "off";
+defparam \datamem|ram~4306 .lut_mask = 64'h404C434F707C737F;
+defparam \datamem|ram~4306 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y14_N6
+cyclonev_lcell_comb \datamem|ram~4307 (
+// Equation(s):
+// \datamem|ram~4307_combout = ( \datamem|ram~4301_combout & ( \datamem|ram~4306_combout & ( ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4291_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4296_combout ))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~4301_combout & ( \datamem|ram~4306_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4291_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4296_combout )))) #
+// (\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout )) ) ) ) # ( \datamem|ram~4301_combout & ( !\datamem|ram~4306_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4291_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4296_combout )))) # (\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout )) ) ) ) # ( !\datamem|ram~4301_combout & ( !\datamem|ram~4306_combout & ( (!\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4291_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4296_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4296_combout ),
+ .datad(!\datamem|ram~4291_combout ),
+ .datae(!\datamem|ram~4301_combout ),
+ .dataf(!\datamem|ram~4306_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4307_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4307 .extended_lut = "off";
+defparam \datamem|ram~4307 .lut_mask = 64'h028A46CE139B57DF;
+defparam \datamem|ram~4307 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N26
+dffeas \datamem|ram~2339 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2339_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2339 .is_wysiwyg = "true";
+defparam \datamem|ram~2339 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N6
+cyclonev_lcell_comb \datamem|ram~2323feeder (
+// Equation(s):
+// \datamem|ram~2323feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2323feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2323feeder .extended_lut = "off";
+defparam \datamem|ram~2323feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~2323feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N7
+dffeas \datamem|ram~2323 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2323feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2323_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2323 .is_wysiwyg = "true";
+defparam \datamem|ram~2323 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N19
+dffeas \datamem|ram~2307 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2307_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2307 .is_wysiwyg = "true";
+defparam \datamem|ram~2307 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y10_N26
+dffeas \datamem|ram~2355 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2355_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2355 .is_wysiwyg = "true";
+defparam \datamem|ram~2355 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4309 (
+// Equation(s):
+// \datamem|ram~4309_combout = ( \datamem|ram~2355_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2323_q ) ) ) ) # ( !\datamem|ram~2355_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2323_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2355_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2307_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2339_q )) ) ) ) # ( !\datamem|ram~2355_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2307_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2339_q )) ) ) )
+
+ .dataa(!\datamem|ram~2339_q ),
+ .datab(!\datamem|ram~2323_q ),
+ .datac(!\datamem|ram~2307_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2355_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4309_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4309 .extended_lut = "off";
+defparam \datamem|ram~4309 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4309 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N18
+cyclonev_lcell_comb \datamem|ram~2563feeder (
+// Equation(s):
+// \datamem|ram~2563feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2563feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2563feeder .extended_lut = "off";
+defparam \datamem|ram~2563feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2563feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N19
+dffeas \datamem|ram~2563 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2563feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2563_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2563 .is_wysiwyg = "true";
+defparam \datamem|ram~2563 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y21_N24
+cyclonev_lcell_comb \datamem|ram~2595feeder (
+// Equation(s):
+// \datamem|ram~2595feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2595feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2595feeder .extended_lut = "off";
+defparam \datamem|ram~2595feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2595feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y21_N25
+dffeas \datamem|ram~2595 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2595feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2595_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2595 .is_wysiwyg = "true";
+defparam \datamem|ram~2595 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y10_N0
+cyclonev_lcell_comb \datamem|ram~2579feeder (
+// Equation(s):
+// \datamem|ram~2579feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2579feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2579feeder .extended_lut = "off";
+defparam \datamem|ram~2579feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2579feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N1
+dffeas \datamem|ram~2579 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2579feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2579_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2579 .is_wysiwyg = "true";
+defparam \datamem|ram~2579 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y10_N38
+dffeas \datamem|ram~2611 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2611_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2611 .is_wysiwyg = "true";
+defparam \datamem|ram~2611 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N36
+cyclonev_lcell_comb \datamem|ram~4310 (
+// Equation(s):
+// \datamem|ram~4310_combout = ( \datamem|ram~2611_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2579_q ) ) ) ) # ( !\datamem|ram~2611_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2579_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2611_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2563_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2595_q ))) ) ) ) # ( !\datamem|ram~2611_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2563_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2595_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2563_q ),
+ .datab(!\datamem|ram~2595_q ),
+ .datac(!\datamem|ram~2579_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2611_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4310_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4310 .extended_lut = "off";
+defparam \datamem|ram~4310 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4310 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N7
+dffeas \datamem|ram~2835 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2835_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2835 .is_wysiwyg = "true";
+defparam \datamem|ram~2835 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N35
+dffeas \datamem|ram~2851 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2851_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2851 .is_wysiwyg = "true";
+defparam \datamem|ram~2851 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N46
+dffeas \datamem|ram~2819 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2819_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2819 .is_wysiwyg = "true";
+defparam \datamem|ram~2819 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y10_N56
+dffeas \datamem|ram~2867 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2867_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2867 .is_wysiwyg = "true";
+defparam \datamem|ram~2867 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N54
+cyclonev_lcell_comb \datamem|ram~4311 (
+// Equation(s):
+// \datamem|ram~4311_combout = ( \datamem|ram~2867_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2835_q ) ) ) ) # ( !\datamem|ram~2867_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2835_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2867_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2819_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2851_q )) ) ) ) # ( !\datamem|ram~2867_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2819_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2851_q )) ) ) )
+
+ .dataa(!\datamem|ram~2835_q ),
+ .datab(!\datamem|ram~2851_q ),
+ .datac(!\datamem|ram~2819_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2867_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4311_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4311 .extended_lut = "off";
+defparam \datamem|ram~4311 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4311 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N53
+dffeas \datamem|ram~2051 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2051_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2051 .is_wysiwyg = "true";
+defparam \datamem|ram~2051 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y9_N39
+cyclonev_lcell_comb \datamem|ram~2083feeder (
+// Equation(s):
+// \datamem|ram~2083feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2083feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2083feeder .extended_lut = "off";
+defparam \datamem|ram~2083feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2083feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N40
+dffeas \datamem|ram~2083 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2083feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2083_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2083 .is_wysiwyg = "true";
+defparam \datamem|ram~2083 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N14
+dffeas \datamem|ram~2067 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2067_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2067 .is_wysiwyg = "true";
+defparam \datamem|ram~2067 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N8
+dffeas \datamem|ram~2099 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2099_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2099 .is_wysiwyg = "true";
+defparam \datamem|ram~2099 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N6
+cyclonev_lcell_comb \datamem|ram~4308 (
+// Equation(s):
+// \datamem|ram~4308_combout = ( \datamem|ram~2099_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2083_q ) ) ) ) # ( !\datamem|ram~2099_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2083_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2099_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2051_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2067_q ))) ) ) ) # ( !\datamem|ram~2099_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2051_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2067_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2051_q ),
+ .datab(!\datamem|ram~2083_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~2067_q ),
+ .datae(!\datamem|ram~2099_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4308_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4308 .extended_lut = "off";
+defparam \datamem|ram~4308 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4308 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y10_N12
+cyclonev_lcell_comb \datamem|ram~4312 (
+// Equation(s):
+// \datamem|ram~4312_combout = ( \datamem|ram~4311_combout & ( \datamem|ram~4308_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) # (\datamem|ram~4310_combout )))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) #
+// (\datamem|ram~4309_combout ))) ) ) ) # ( !\datamem|ram~4311_combout & ( \datamem|ram~4308_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) # (\datamem|ram~4310_combout )))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4309_combout & ((!\alu_unit|Mux9~4_combout )))) ) ) ) # ( \datamem|ram~4311_combout & ( !\datamem|ram~4308_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4310_combout & \alu_unit|Mux9~4_combout )))) #
+// (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~4309_combout ))) ) ) ) # ( !\datamem|ram~4311_combout & ( !\datamem|ram~4308_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4310_combout & \alu_unit|Mux9~4_combout
+// )))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4309_combout & ((!\alu_unit|Mux9~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4309_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4310_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4311_combout ),
+ .dataf(!\datamem|ram~4308_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4312_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4312 .extended_lut = "off";
+defparam \datamem|ram~4312 .lut_mask = 64'h110C113FDD0CDD3F;
+defparam \datamem|ram~4312 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y7_N37
+dffeas \datamem|ram~2691 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2691_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2691 .is_wysiwyg = "true";
+defparam \datamem|ram~2691 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N4
+dffeas \datamem|ram~2435 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2435_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2435 .is_wysiwyg = "true";
+defparam \datamem|ram~2435 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N52
+dffeas \datamem|ram~2179 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2179_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2179 .is_wysiwyg = "true";
+defparam \datamem|ram~2179 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y10_N50
+dffeas \datamem|ram~2947 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2947_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2947 .is_wysiwyg = "true";
+defparam \datamem|ram~2947 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N48
+cyclonev_lcell_comb \datamem|ram~4318 (
+// Equation(s):
+// \datamem|ram~4318_combout = ( \datamem|ram~2947_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2691_q ) ) ) ) # ( !\datamem|ram~2947_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2691_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2947_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2179_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2435_q )) ) ) ) # ( !\datamem|ram~2947_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2179_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2435_q )) ) ) )
+
+ .dataa(!\datamem|ram~2691_q ),
+ .datab(!\datamem|ram~2435_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2179_q ),
+ .datae(!\datamem|ram~2947_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4318_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4318 .extended_lut = "off";
+defparam \datamem|ram~4318 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4318 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N30
+cyclonev_lcell_comb \datamem|ram~2211feeder (
+// Equation(s):
+// \datamem|ram~2211feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2211feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2211feeder .extended_lut = "off";
+defparam \datamem|ram~2211feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2211feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N31
+dffeas \datamem|ram~2211 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2211feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2211_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2211 .is_wysiwyg = "true";
+defparam \datamem|ram~2211 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N13
+dffeas \datamem|ram~2723 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2723_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2723 .is_wysiwyg = "true";
+defparam \datamem|ram~2723 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N39
+cyclonev_lcell_comb \datamem|ram~2467feeder (
+// Equation(s):
+// \datamem|ram~2467feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2467feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2467feeder .extended_lut = "off";
+defparam \datamem|ram~2467feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2467feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N41
+dffeas \datamem|ram~2467 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2467feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2467_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2467 .is_wysiwyg = "true";
+defparam \datamem|ram~2467 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y10_N14
+dffeas \datamem|ram~2979 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2979_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2979 .is_wysiwyg = "true";
+defparam \datamem|ram~2979 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N12
+cyclonev_lcell_comb \datamem|ram~4320 (
+// Equation(s):
+// \datamem|ram~4320_combout = ( \datamem|ram~2979_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2723_q ) ) ) ) # ( !\datamem|ram~2979_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2723_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2979_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2211_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2467_q ))) ) ) ) # ( !\datamem|ram~2979_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2211_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2467_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2211_q ),
+ .datab(!\datamem|ram~2723_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2467_q ),
+ .datae(!\datamem|ram~2979_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4320_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4320 .extended_lut = "off";
+defparam \datamem|ram~4320 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4320 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y12_N42
+cyclonev_lcell_comb \datamem|ram~2451feeder (
+// Equation(s):
+// \datamem|ram~2451feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2451feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2451feeder .extended_lut = "off";
+defparam \datamem|ram~2451feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2451feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N43
+dffeas \datamem|ram~2451 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2451feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2451_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2451 .is_wysiwyg = "true";
+defparam \datamem|ram~2451 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N18
+cyclonev_lcell_comb \datamem|ram~2195feeder (
+// Equation(s):
+// \datamem|ram~2195feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2195feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2195feeder .extended_lut = "off";
+defparam \datamem|ram~2195feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2195feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N19
+dffeas \datamem|ram~2195 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2195feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2195_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2195 .is_wysiwyg = "true";
+defparam \datamem|ram~2195 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N13
+dffeas \datamem|ram~2707 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2707_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2707 .is_wysiwyg = "true";
+defparam \datamem|ram~2707 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y10_N56
+dffeas \datamem|ram~2963 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2963_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2963 .is_wysiwyg = "true";
+defparam \datamem|ram~2963 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N54
+cyclonev_lcell_comb \datamem|ram~4319 (
+// Equation(s):
+// \datamem|ram~4319_combout = ( \datamem|ram~2963_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2707_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~2963_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~2707_q ) ) ) ) # ( \datamem|ram~2963_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2195_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2451_q )) ) ) ) # ( !\datamem|ram~2963_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2195_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2451_q )) ) ) )
+
+ .dataa(!\datamem|ram~2451_q ),
+ .datab(!\datamem|ram~2195_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2707_q ),
+ .datae(!\datamem|ram~2963_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4319_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4319 .extended_lut = "off";
+defparam \datamem|ram~4319 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4319 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y8_N27
+cyclonev_lcell_comb \datamem|ram~2483feeder (
+// Equation(s):
+// \datamem|ram~2483feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2483feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2483feeder .extended_lut = "off";
+defparam \datamem|ram~2483feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2483feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y8_N28
+dffeas \datamem|ram~2483 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2483feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2483_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2483 .is_wysiwyg = "true";
+defparam \datamem|ram~2483 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N47
+dffeas \datamem|ram~2227 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2227_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2227 .is_wysiwyg = "true";
+defparam \datamem|ram~2227 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N16
+dffeas \datamem|ram~2739 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2739_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2739 .is_wysiwyg = "true";
+defparam \datamem|ram~2739 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N8
+dffeas \datamem|ram~2995 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2995_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2995 .is_wysiwyg = "true";
+defparam \datamem|ram~2995 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y9_N6
+cyclonev_lcell_comb \datamem|ram~4321 (
+// Equation(s):
+// \datamem|ram~4321_combout = ( \datamem|ram~2995_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2483_q ) ) ) ) # ( !\datamem|ram~2995_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2483_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2995_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2227_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2739_q ))) ) ) ) # ( !\datamem|ram~2995_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2227_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2739_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2483_q ),
+ .datab(!\datamem|ram~2227_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2739_q ),
+ .datae(!\datamem|ram~2995_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4321_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4321 .extended_lut = "off";
+defparam \datamem|ram~4321 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4321 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N30
+cyclonev_lcell_comb \datamem|ram~4322 (
+// Equation(s):
+// \datamem|ram~4322_combout = ( \datamem|ram~4319_combout & ( \datamem|ram~4321_combout & ( ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4318_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4320_combout )))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~4319_combout & ( \datamem|ram~4321_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4318_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4320_combout ))))) #
+// (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) ) ) ) # ( \datamem|ram~4319_combout & ( !\datamem|ram~4321_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4318_combout )) #
+// (\alu_unit|Mux13~4_combout & ((\datamem|ram~4320_combout ))))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4319_combout & ( !\datamem|ram~4321_combout & ( (!\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & (\datamem|ram~4318_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4320_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4318_combout ),
+ .datab(!\datamem|ram~4320_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4319_combout ),
+ .dataf(!\datamem|ram~4321_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4322_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4322 .extended_lut = "off";
+defparam \datamem|ram~4322 .lut_mask = 64'h50305F30503F5F3F;
+defparam \datamem|ram~4322 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y14_N40
+dffeas \datamem|ram~2275 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2275_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2275 .is_wysiwyg = "true";
+defparam \datamem|ram~2275 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N34
+dffeas \datamem|ram~2243 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2243_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2243 .is_wysiwyg = "true";
+defparam \datamem|ram~2243 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N49
+dffeas \datamem|ram~2259 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2259_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2259 .is_wysiwyg = "true";
+defparam \datamem|ram~2259 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y14_N29
+dffeas \datamem|ram~2291 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2291_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2291 .is_wysiwyg = "true";
+defparam \datamem|ram~2291 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y14_N27
+cyclonev_lcell_comb \datamem|ram~4323 (
+// Equation(s):
+// \datamem|ram~4323_combout = ( \datamem|ram~2291_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2259_q ) ) ) ) # ( !\datamem|ram~2291_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2259_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2291_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2243_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2275_q )) ) ) ) # ( !\datamem|ram~2291_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2243_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2275_q )) ) ) )
+
+ .dataa(!\datamem|ram~2275_q ),
+ .datab(!\datamem|ram~2243_q ),
+ .datac(!\datamem|ram~2259_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2291_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4323_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4323 .extended_lut = "off";
+defparam \datamem|ram~4323 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4323 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N52
+dffeas \datamem|ram~2771 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2771_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2771 .is_wysiwyg = "true";
+defparam \datamem|ram~2771 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y9_N44
+dffeas \datamem|ram~2787 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2787_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2787 .is_wysiwyg = "true";
+defparam \datamem|ram~2787 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N33
+cyclonev_lcell_comb \datamem|ram~2755feeder (
+// Equation(s):
+// \datamem|ram~2755feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2755feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2755feeder .extended_lut = "off";
+defparam \datamem|ram~2755feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2755feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N34
+dffeas \datamem|ram~2755 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2755feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2755_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2755 .is_wysiwyg = "true";
+defparam \datamem|ram~2755 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y9_N2
+dffeas \datamem|ram~2803 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2803_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2803 .is_wysiwyg = "true";
+defparam \datamem|ram~2803 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y9_N0
+cyclonev_lcell_comb \datamem|ram~4325 (
+// Equation(s):
+// \datamem|ram~4325_combout = ( \datamem|ram~2803_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2787_q ) ) ) ) # ( !\datamem|ram~2803_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2787_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2803_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2755_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2771_q )) ) ) ) # ( !\datamem|ram~2803_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2755_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2771_q )) ) ) )
+
+ .dataa(!\datamem|ram~2771_q ),
+ .datab(!\datamem|ram~2787_q ),
+ .datac(!\datamem|ram~2755_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2803_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4325_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4325 .extended_lut = "off";
+defparam \datamem|ram~4325 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4325 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y21_N51
+cyclonev_lcell_comb \datamem|ram~2515feeder (
+// Equation(s):
+// \datamem|ram~2515feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2515feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2515feeder .extended_lut = "off";
+defparam \datamem|ram~2515feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2515feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y21_N52
+dffeas \datamem|ram~2515 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2515feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2515_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2515 .is_wysiwyg = "true";
+defparam \datamem|ram~2515 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y21_N42
+cyclonev_lcell_comb \datamem|ram~2499feeder (
+// Equation(s):
+// \datamem|ram~2499feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2499feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2499feeder .extended_lut = "off";
+defparam \datamem|ram~2499feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2499feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y21_N44
+dffeas \datamem|ram~2499 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2499feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2499_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2499 .is_wysiwyg = "true";
+defparam \datamem|ram~2499 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N22
+dffeas \datamem|ram~2531 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2531_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2531 .is_wysiwyg = "true";
+defparam \datamem|ram~2531 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y21_N56
+dffeas \datamem|ram~2547 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2547_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2547 .is_wysiwyg = "true";
+defparam \datamem|ram~2547 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y21_N54
+cyclonev_lcell_comb \datamem|ram~4324 (
+// Equation(s):
+// \datamem|ram~4324_combout = ( \datamem|ram~2547_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2531_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~2547_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~2531_q ) ) ) ) # ( \datamem|ram~2547_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2499_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2515_q )) ) ) ) # ( !\datamem|ram~2547_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2499_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2515_q )) ) ) )
+
+ .dataa(!\datamem|ram~2515_q ),
+ .datab(!\datamem|ram~2499_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~2531_q ),
+ .datae(!\datamem|ram~2547_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4324_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4324 .extended_lut = "off";
+defparam \datamem|ram~4324 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4324 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N51
+cyclonev_lcell_comb \datamem|ram~3027feeder (
+// Equation(s):
+// \datamem|ram~3027feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3027feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3027feeder .extended_lut = "off";
+defparam \datamem|ram~3027feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3027feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N52
+dffeas \datamem|ram~3027 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3027feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3027_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3027 .is_wysiwyg = "true";
+defparam \datamem|ram~3027 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y20_N21
+cyclonev_lcell_comb \datamem|ram~3011feeder (
+// Equation(s):
+// \datamem|ram~3011feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3011feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3011feeder .extended_lut = "off";
+defparam \datamem|ram~3011feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3011feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N22
+dffeas \datamem|ram~3011 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3011feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3011_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3011 .is_wysiwyg = "true";
+defparam \datamem|ram~3011 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N39
+cyclonev_lcell_comb \datamem|ram~3043feeder (
+// Equation(s):
+// \datamem|ram~3043feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3043feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3043feeder .extended_lut = "off";
+defparam \datamem|ram~3043feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3043feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N41
+dffeas \datamem|ram~3043 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3043feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3043_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3043 .is_wysiwyg = "true";
+defparam \datamem|ram~3043 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N56
+dffeas \datamem|ram~3059 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3059_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3059 .is_wysiwyg = "true";
+defparam \datamem|ram~3059 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N54
+cyclonev_lcell_comb \datamem|ram~4326 (
+// Equation(s):
+// \datamem|ram~4326_combout = ( \datamem|ram~3059_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3043_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~3059_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~3043_q ) ) ) ) # ( \datamem|ram~3059_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3011_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3027_q )) ) ) ) # ( !\datamem|ram~3059_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3011_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3027_q )) ) ) )
+
+ .dataa(!\datamem|ram~3027_q ),
+ .datab(!\datamem|ram~3011_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3043_q ),
+ .datae(!\datamem|ram~3059_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4326_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4326 .extended_lut = "off";
+defparam \datamem|ram~4326 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4326 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N21
+cyclonev_lcell_comb \datamem|ram~4327 (
+// Equation(s):
+// \datamem|ram~4327_combout = ( \datamem|ram~4324_combout & ( \datamem|ram~4326_combout & ( ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4323_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4325_combout )))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~4324_combout & ( \datamem|ram~4326_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4323_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4325_combout ))))) # (\alu_unit|Mux10~6_combout &
+// (((\alu_unit|Mux9~4_combout )))) ) ) ) # ( \datamem|ram~4324_combout & ( !\datamem|ram~4326_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4323_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4325_combout ))))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~4324_combout & ( !\datamem|ram~4326_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4323_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4325_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4323_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4325_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4324_combout ),
+ .dataf(!\datamem|ram~4326_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4327_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4327 .extended_lut = "off";
+defparam \datamem|ram~4327 .lut_mask = 64'h440C770C443F773F;
+defparam \datamem|ram~4327 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N22
+dffeas \datamem|ram~2403 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2403_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2403 .is_wysiwyg = "true";
+defparam \datamem|ram~2403 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N43
+dffeas \datamem|ram~2371 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2371_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2371 .is_wysiwyg = "true";
+defparam \datamem|ram~2371 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N0
+cyclonev_lcell_comb \datamem|ram~2387feeder (
+// Equation(s):
+// \datamem|ram~2387feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2387feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2387feeder .extended_lut = "off";
+defparam \datamem|ram~2387feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~2387feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N2
+dffeas \datamem|ram~2387 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2387feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2387_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2387 .is_wysiwyg = "true";
+defparam \datamem|ram~2387 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N8
+dffeas \datamem|ram~2419 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2419_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2419 .is_wysiwyg = "true";
+defparam \datamem|ram~2419 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N6
+cyclonev_lcell_comb \datamem|ram~4314 (
+// Equation(s):
+// \datamem|ram~4314_combout = ( \datamem|ram~2419_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2403_q ) ) ) ) # ( !\datamem|ram~2419_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2403_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2419_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2371_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2387_q ))) ) ) ) # ( !\datamem|ram~2419_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2371_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2387_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2403_q ),
+ .datab(!\datamem|ram~2371_q ),
+ .datac(!\datamem|ram~2387_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2419_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4314_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4314 .extended_lut = "off";
+defparam \datamem|ram~4314 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4314 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N54
+cyclonev_lcell_comb \datamem|ram~2659feeder (
+// Equation(s):
+// \datamem|ram~2659feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2659feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2659feeder .extended_lut = "off";
+defparam \datamem|ram~2659feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~2659feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N56
+dffeas \datamem|ram~2659 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2659feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2659_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2659 .is_wysiwyg = "true";
+defparam \datamem|ram~2659 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X46_Y12_N44
+dffeas \datamem|ram~2643 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2643_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2643 .is_wysiwyg = "true";
+defparam \datamem|ram~2643 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y11_N33
+cyclonev_lcell_comb \datamem|ram~2627feeder (
+// Equation(s):
+// \datamem|ram~2627feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2627feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2627feeder .extended_lut = "off";
+defparam \datamem|ram~2627feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2627feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y11_N34
+dffeas \datamem|ram~2627 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2627feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2627_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2627 .is_wysiwyg = "true";
+defparam \datamem|ram~2627 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X46_Y12_N32
+dffeas \datamem|ram~2675 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2675_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2675 .is_wysiwyg = "true";
+defparam \datamem|ram~2675 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X46_Y12_N30
+cyclonev_lcell_comb \datamem|ram~4315 (
+// Equation(s):
+// \datamem|ram~4315_combout = ( \datamem|ram~2675_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2659_q ) ) ) ) # ( !\datamem|ram~2675_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2659_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2675_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2627_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2643_q )) ) ) ) # ( !\datamem|ram~2675_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2627_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2643_q )) ) ) )
+
+ .dataa(!\datamem|ram~2659_q ),
+ .datab(!\datamem|ram~2643_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~2627_q ),
+ .datae(!\datamem|ram~2675_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4315_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4315 .extended_lut = "off";
+defparam \datamem|ram~4315 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4315 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N51
+cyclonev_lcell_comb \datamem|ram~2147feeder (
+// Equation(s):
+// \datamem|ram~2147feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2147feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2147feeder .extended_lut = "off";
+defparam \datamem|ram~2147feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2147feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N53
+dffeas \datamem|ram~2147 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2147feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2147_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2147 .is_wysiwyg = "true";
+defparam \datamem|ram~2147 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N10
+dffeas \datamem|ram~2131 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2131_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2131 .is_wysiwyg = "true";
+defparam \datamem|ram~2131 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N9
+cyclonev_lcell_comb \datamem|ram~2115feeder (
+// Equation(s):
+// \datamem|ram~2115feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2115feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2115feeder .extended_lut = "off";
+defparam \datamem|ram~2115feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2115feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N10
+dffeas \datamem|ram~2115 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2115feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2115_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2115 .is_wysiwyg = "true";
+defparam \datamem|ram~2115 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y18_N29
+dffeas \datamem|ram~2163 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2163_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2163 .is_wysiwyg = "true";
+defparam \datamem|ram~2163 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y18_N27
+cyclonev_lcell_comb \datamem|ram~4313 (
+// Equation(s):
+// \datamem|ram~4313_combout = ( \datamem|ram~2163_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2131_q ) ) ) ) # ( !\datamem|ram~2163_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2131_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2163_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2115_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2147_q )) ) ) ) # ( !\datamem|ram~2163_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2115_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2147_q )) ) ) )
+
+ .dataa(!\datamem|ram~2147_q ),
+ .datab(!\datamem|ram~2131_q ),
+ .datac(!\datamem|ram~2115_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2163_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4313_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4313 .extended_lut = "off";
+defparam \datamem|ram~4313 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4313 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y11_N53
+dffeas \datamem|ram~2883 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2883_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2883 .is_wysiwyg = "true";
+defparam \datamem|ram~2883 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N32
+dffeas \datamem|ram~2899 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2899_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2899 .is_wysiwyg = "true";
+defparam \datamem|ram~2899 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N40
+dffeas \datamem|ram~2915 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2915_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2915 .is_wysiwyg = "true";
+defparam \datamem|ram~2915 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y11_N14
+dffeas \datamem|ram~2931 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2931_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2931 .is_wysiwyg = "true";
+defparam \datamem|ram~2931 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N12
+cyclonev_lcell_comb \datamem|ram~4316 (
+// Equation(s):
+// \datamem|ram~4316_combout = ( \datamem|ram~2931_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2899_q ) ) ) ) # ( !\datamem|ram~2931_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2899_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2931_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2883_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2915_q ))) ) ) ) # ( !\datamem|ram~2931_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2883_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2915_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2883_q ),
+ .datab(!\datamem|ram~2899_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2915_q ),
+ .datae(!\datamem|ram~2931_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4316_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4316 .extended_lut = "off";
+defparam \datamem|ram~4316 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4316 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N42
+cyclonev_lcell_comb \datamem|ram~4317 (
+// Equation(s):
+// \datamem|ram~4317_combout = ( \datamem|ram~4313_combout & ( \datamem|ram~4316_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4314_combout )))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~4315_combout )) #
+// (\alu_unit|Mux10~6_combout ))) ) ) ) # ( !\datamem|ram~4313_combout & ( \datamem|ram~4316_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\datamem|ram~4314_combout ))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~4315_combout
+// )) # (\alu_unit|Mux10~6_combout ))) ) ) ) # ( \datamem|ram~4313_combout & ( !\datamem|ram~4316_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4314_combout )))) # (\alu_unit|Mux9~4_combout &
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4315_combout )))) ) ) ) # ( !\datamem|ram~4313_combout & ( !\datamem|ram~4316_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\datamem|ram~4314_combout ))) #
+// (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4315_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4314_combout ),
+ .datad(!\datamem|ram~4315_combout ),
+ .datae(!\datamem|ram~4313_combout ),
+ .dataf(!\datamem|ram~4316_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4317_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4317 .extended_lut = "off";
+defparam \datamem|ram~4317 .lut_mask = 64'h02468ACE13579BDF;
+defparam \datamem|ram~4317 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N39
+cyclonev_lcell_comb \datamem|ram~4328 (
+// Equation(s):
+// \datamem|ram~4328_combout = ( \datamem|ram~4327_combout & ( \datamem|ram~4317_combout & ( ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4312_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4322_combout )))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~4327_combout & ( \datamem|ram~4317_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4312_combout )) # (\alu_unit|Mux12~2_combout ))) # (\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & ((\datamem|ram~4322_combout
+// )))) ) ) ) # ( \datamem|ram~4327_combout & ( !\datamem|ram~4317_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & (\datamem|ram~4312_combout ))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~4322_combout )) #
+// (\alu_unit|Mux12~2_combout ))) ) ) ) # ( !\datamem|ram~4327_combout & ( !\datamem|ram~4317_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4312_combout )) # (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4322_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4312_combout ),
+ .datad(!\datamem|ram~4322_combout ),
+ .datae(!\datamem|ram~4327_combout ),
+ .dataf(!\datamem|ram~4317_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4328_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4328 .extended_lut = "off";
+defparam \datamem|ram~4328 .lut_mask = 64'h084C195D2A6E3B7F;
+defparam \datamem|ram~4328 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N24
+cyclonev_lcell_comb \datamem|ram~35feeder (
+// Equation(s):
+// \datamem|ram~35feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~35feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~35feeder .extended_lut = "off";
+defparam \datamem|ram~35feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~35feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N25
+dffeas \datamem|ram~35 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~35feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~35_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~35 .is_wysiwyg = "true";
+defparam \datamem|ram~35 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y19_N39
+cyclonev_lcell_comb \datamem|ram~163feeder (
+// Equation(s):
+// \datamem|ram~163feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~163feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~163feeder .extended_lut = "off";
+defparam \datamem|ram~163feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~163feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N40
+dffeas \datamem|ram~163 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~163feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~163_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~163 .is_wysiwyg = "true";
+defparam \datamem|ram~163 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N1
+dffeas \datamem|ram~99 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~99_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~99 .is_wysiwyg = "true";
+defparam \datamem|ram~99 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y16_N50
+dffeas \datamem|ram~227 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~227_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~227 .is_wysiwyg = "true";
+defparam \datamem|ram~227 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y16_N48
+cyclonev_lcell_comb \datamem|ram~4268 (
+// Equation(s):
+// \datamem|ram~4268_combout = ( \datamem|ram~227_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~163_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~227_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~163_q
+// ) ) ) ) # ( \datamem|ram~227_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~35_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~99_q ))) ) ) ) # ( !\datamem|ram~227_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~35_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~99_q ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~35_q ),
+ .datac(!\datamem|ram~163_q ),
+ .datad(!\datamem|ram~99_q ),
+ .datae(!\datamem|ram~227_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4268_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4268 .extended_lut = "off";
+defparam \datamem|ram~4268 .lut_mask = 64'h227722770A0A5F5F;
+defparam \datamem|ram~4268 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N27
+cyclonev_lcell_comb \datamem|ram~211feeder (
+// Equation(s):
+// \datamem|ram~211feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~211feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~211feeder .extended_lut = "off";
+defparam \datamem|ram~211feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~211feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N28
+dffeas \datamem|ram~211 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~211feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~211_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~211 .is_wysiwyg = "true";
+defparam \datamem|ram~211 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N20
+dffeas \datamem|ram~83 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~83_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~83 .is_wysiwyg = "true";
+defparam \datamem|ram~83 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N57
+cyclonev_lcell_comb \datamem|ram~147feeder (
+// Equation(s):
+// \datamem|ram~147feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~147feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~147feeder .extended_lut = "off";
+defparam \datamem|ram~147feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~147feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N59
+dffeas \datamem|ram~147 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~147feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~147_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~147 .is_wysiwyg = "true";
+defparam \datamem|ram~147 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N52
+dffeas \datamem|ram~19 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~19_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~19 .is_wysiwyg = "true";
+defparam \datamem|ram~19 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N6
+cyclonev_lcell_comb \datamem|ram~4267 (
+// Equation(s):
+// \datamem|ram~4267_combout = ( \datamem|ram~19_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~147_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~211_q )) ) ) ) # ( !\datamem|ram~19_q & ( \alu_unit|Mux11~4_combout
+// & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~147_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~211_q )) ) ) ) # ( \datamem|ram~19_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout ) # (\datamem|ram~83_q ) ) ) ) # (
+// !\datamem|ram~19_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~83_q & \alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~211_q ),
+ .datab(!\datamem|ram~83_q ),
+ .datac(!\datamem|ram~147_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~19_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4267_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4267 .extended_lut = "off";
+defparam \datamem|ram~4267 .lut_mask = 64'h0033FF330F550F55;
+defparam \datamem|ram~4267 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N43
+dffeas \datamem|ram~3 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3 .is_wysiwyg = "true";
+defparam \datamem|ram~3 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y8_N42
+cyclonev_lcell_comb \datamem|ram~131feeder (
+// Equation(s):
+// \datamem|ram~131feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~131feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~131feeder .extended_lut = "off";
+defparam \datamem|ram~131feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~131feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y8_N43
+dffeas \datamem|ram~131 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~131feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~131_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~131 .is_wysiwyg = "true";
+defparam \datamem|ram~131 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N57
+cyclonev_lcell_comb \datamem|ram~195feeder (
+// Equation(s):
+// \datamem|ram~195feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~195feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~195feeder .extended_lut = "off";
+defparam \datamem|ram~195feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~195feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N58
+dffeas \datamem|ram~195 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~195feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~195_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~195 .is_wysiwyg = "true";
+defparam \datamem|ram~195 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y16_N32
+dffeas \datamem|ram~67 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~67_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~67 .is_wysiwyg = "true";
+defparam \datamem|ram~67 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y16_N30
+cyclonev_lcell_comb \datamem|ram~4266 (
+// Equation(s):
+// \datamem|ram~4266_combout = ( \datamem|ram~67_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~131_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~195_q ))) ) ) ) # ( !\datamem|ram~67_q & ( \alu_unit|Mux11~4_combout
+// & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~131_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~195_q ))) ) ) ) # ( \datamem|ram~67_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3_q ) ) ) ) # (
+// !\datamem|ram~67_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~3_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~3_q ),
+ .datab(!\datamem|ram~131_q ),
+ .datac(!\datamem|ram~195_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~67_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4266_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4266 .extended_lut = "off";
+defparam \datamem|ram~4266 .lut_mask = 64'h550055FF330F330F;
+defparam \datamem|ram~4266 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N0
+cyclonev_lcell_comb \datamem|ram~51feeder (
+// Equation(s):
+// \datamem|ram~51feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~51feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~51feeder .extended_lut = "off";
+defparam \datamem|ram~51feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~51feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N2
+dffeas \datamem|ram~51 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~51feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~51_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~51 .is_wysiwyg = "true";
+defparam \datamem|ram~51 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N54
+cyclonev_lcell_comb \datamem|ram~115feeder (
+// Equation(s):
+// \datamem|ram~115feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~115feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~115feeder .extended_lut = "off";
+defparam \datamem|ram~115feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~115feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N55
+dffeas \datamem|ram~115 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~115feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~115_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~115 .is_wysiwyg = "true";
+defparam \datamem|ram~115 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N2
+dffeas \datamem|ram~179 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~179_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~179 .is_wysiwyg = "true";
+defparam \datamem|ram~179 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N20
+dffeas \datamem|ram~243 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~243_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~243 .is_wysiwyg = "true";
+defparam \datamem|ram~243 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y5_N18
+cyclonev_lcell_comb \datamem|ram~4269 (
+// Equation(s):
+// \datamem|ram~4269_combout = ( \datamem|ram~243_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~179_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~243_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~179_q
+// ) ) ) ) # ( \datamem|ram~243_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~51_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~115_q ))) ) ) ) # ( !\datamem|ram~243_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~51_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~115_q ))) ) ) )
+
+ .dataa(!\datamem|ram~51_q ),
+ .datab(!\datamem|ram~115_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~179_q ),
+ .datae(!\datamem|ram~243_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4269_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4269 .extended_lut = "off";
+defparam \datamem|ram~4269 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4269 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y16_N0
+cyclonev_lcell_comb \datamem|ram~4270 (
+// Equation(s):
+// \datamem|ram~4270_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~4269_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~4268_combout ) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~4269_combout & ( (!\alu_unit|Mux14~6_combout
+// & ((\datamem|ram~4266_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4267_combout )) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~4269_combout & ( (\datamem|ram~4268_combout & !\alu_unit|Mux14~6_combout ) ) ) ) # (
+// !\alu_unit|Mux13~4_combout & ( !\datamem|ram~4269_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4266_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4267_combout )) ) ) )
+
+ .dataa(!\datamem|ram~4268_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4267_combout ),
+ .datad(!\datamem|ram~4266_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~4269_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4270_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4270 .extended_lut = "off";
+defparam \datamem|ram~4270 .lut_mask = 64'h03CF444403CF7777;
+defparam \datamem|ram~4270 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y18_N7
+dffeas \datamem|ram~291 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~291_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~291 .is_wysiwyg = "true";
+defparam \datamem|ram~291 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N55
+dffeas \datamem|ram~419 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~419_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~419 .is_wysiwyg = "true";
+defparam \datamem|ram~419 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N2
+dffeas \datamem|ram~355 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~355_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~355 .is_wysiwyg = "true";
+defparam \datamem|ram~355 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N14
+dffeas \datamem|ram~483 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~483_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~483 .is_wysiwyg = "true";
+defparam \datamem|ram~483 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4273 (
+// Equation(s):
+// \datamem|ram~4273_combout = ( \datamem|ram~483_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~419_q ) ) ) ) # ( !\datamem|ram~483_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~419_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~483_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~291_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~355_q ))) ) ) ) # ( !\datamem|ram~483_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~291_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~355_q ))) ) ) )
+
+ .dataa(!\datamem|ram~291_q ),
+ .datab(!\datamem|ram~419_q ),
+ .datac(!\datamem|ram~355_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~483_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4273_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4273 .extended_lut = "off";
+defparam \datamem|ram~4273 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4273 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N28
+dffeas \datamem|ram~387 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~387_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~387 .is_wysiwyg = "true";
+defparam \datamem|ram~387 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y13_N48
+cyclonev_lcell_comb \datamem|ram~323feeder (
+// Equation(s):
+// \datamem|ram~323feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~323feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~323feeder .extended_lut = "off";
+defparam \datamem|ram~323feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~323feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N49
+dffeas \datamem|ram~323 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~323feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~323_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~323 .is_wysiwyg = "true";
+defparam \datamem|ram~323 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N27
+cyclonev_lcell_comb \datamem|ram~259feeder (
+// Equation(s):
+// \datamem|ram~259feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~259feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~259feeder .extended_lut = "off";
+defparam \datamem|ram~259feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~259feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N29
+dffeas \datamem|ram~259 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~259feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~259_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~259 .is_wysiwyg = "true";
+defparam \datamem|ram~259 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y12_N55
+dffeas \datamem|ram~451 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~451_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~451 .is_wysiwyg = "true";
+defparam \datamem|ram~451 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y15_N54
+cyclonev_lcell_comb \datamem|ram~4271 (
+// Equation(s):
+// \datamem|ram~4271_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~451_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~387_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~323_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~259_q ) ) )
+
+ .dataa(!\datamem|ram~387_q ),
+ .datab(!\datamem|ram~323_q ),
+ .datac(!\datamem|ram~259_q ),
+ .datad(!\datamem|ram~451_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4271_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4271 .extended_lut = "off";
+defparam \datamem|ram~4271 .lut_mask = 64'h0F0F3333555500FF;
+defparam \datamem|ram~4271 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N50
+dffeas \datamem|ram~435 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~435_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~435 .is_wysiwyg = "true";
+defparam \datamem|ram~435 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N25
+dffeas \datamem|ram~307 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~307_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~307 .is_wysiwyg = "true";
+defparam \datamem|ram~307 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N25
+dffeas \datamem|ram~371 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~371_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~371 .is_wysiwyg = "true";
+defparam \datamem|ram~371 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N50
+dffeas \datamem|ram~499 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~499_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~499 .is_wysiwyg = "true";
+defparam \datamem|ram~499 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y7_N48
+cyclonev_lcell_comb \datamem|ram~4274 (
+// Equation(s):
+// \datamem|ram~4274_combout = ( \datamem|ram~499_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~435_q ) ) ) ) # ( !\datamem|ram~499_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~435_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~499_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~307_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~371_q ))) ) ) ) # ( !\datamem|ram~499_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~307_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~371_q ))) ) ) )
+
+ .dataa(!\datamem|ram~435_q ),
+ .datab(!\datamem|ram~307_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~371_q ),
+ .datae(!\datamem|ram~499_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4274_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4274 .extended_lut = "off";
+defparam \datamem|ram~4274 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4274 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N19
+dffeas \datamem|ram~339 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~339_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~339 .is_wysiwyg = "true";
+defparam \datamem|ram~339 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N10
+dffeas \datamem|ram~403 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~403_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~403 .is_wysiwyg = "true";
+defparam \datamem|ram~403 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N40
+dffeas \datamem|ram~275 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~275_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~275 .is_wysiwyg = "true";
+defparam \datamem|ram~275 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N16
+dffeas \datamem|ram~467 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~467_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~467 .is_wysiwyg = "true";
+defparam \datamem|ram~467 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y9_N36
+cyclonev_lcell_comb \datamem|ram~4272 (
+// Equation(s):
+// \datamem|ram~4272_combout = ( \datamem|ram~467_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~403_q ) ) ) ) # ( !\datamem|ram~467_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~403_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~467_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~275_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~339_q )) ) ) ) # ( !\datamem|ram~467_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~275_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~339_q )) ) ) )
+
+ .dataa(!\datamem|ram~339_q ),
+ .datab(!\datamem|ram~403_q ),
+ .datac(!\datamem|ram~275_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~467_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4272_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4272 .extended_lut = "off";
+defparam \datamem|ram~4272 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4272 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y16_N6
+cyclonev_lcell_comb \datamem|ram~4275 (
+// Equation(s):
+// \datamem|ram~4275_combout = ( \datamem|ram~4274_combout & ( \datamem|ram~4272_combout & ( ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4271_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4273_combout ))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~4274_combout & ( \datamem|ram~4272_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4271_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4273_combout )))) #
+// (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) ) ) ) # ( \datamem|ram~4274_combout & ( !\datamem|ram~4272_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4271_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4273_combout )))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4274_combout & ( !\datamem|ram~4272_combout & ( (!\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4271_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4273_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4273_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4271_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4274_combout ),
+ .dataf(!\datamem|ram~4272_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4275_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4275 .extended_lut = "off";
+defparam \datamem|ram~4275 .lut_mask = 64'h0C440C773F443F77;
+defparam \datamem|ram~4275 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N48
+cyclonev_lcell_comb \datamem|ram~643feeder (
+// Equation(s):
+// \datamem|ram~643feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~643feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~643feeder .extended_lut = "off";
+defparam \datamem|ram~643feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~643feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N50
+dffeas \datamem|ram~643 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~643feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~643_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~643 .is_wysiwyg = "true";
+defparam \datamem|ram~643 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N15
+cyclonev_lcell_comb \datamem|ram~579feeder (
+// Equation(s):
+// \datamem|ram~579feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~579feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~579feeder .extended_lut = "off";
+defparam \datamem|ram~579feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~579feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N17
+dffeas \datamem|ram~579 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~579feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~579_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~579 .is_wysiwyg = "true";
+defparam \datamem|ram~579 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N41
+dffeas \datamem|ram~515 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~515_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~515 .is_wysiwyg = "true";
+defparam \datamem|ram~515 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N32
+dffeas \datamem|ram~707 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~707_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~707 .is_wysiwyg = "true";
+defparam \datamem|ram~707 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N30
+cyclonev_lcell_comb \datamem|ram~4276 (
+// Equation(s):
+// \datamem|ram~4276_combout = ( \datamem|ram~707_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~643_q ) ) ) ) # ( !\datamem|ram~707_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~643_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~707_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~515_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~579_q )) ) ) ) # ( !\datamem|ram~707_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~515_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~579_q )) ) ) )
+
+ .dataa(!\datamem|ram~643_q ),
+ .datab(!\datamem|ram~579_q ),
+ .datac(!\datamem|ram~515_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~707_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4276_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4276 .extended_lut = "off";
+defparam \datamem|ram~4276 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4276 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N27
+cyclonev_lcell_comb \datamem|ram~563feeder (
+// Equation(s):
+// \datamem|ram~563feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~563feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~563feeder .extended_lut = "off";
+defparam \datamem|ram~563feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~563feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N28
+dffeas \datamem|ram~563 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~563feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~563_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~563 .is_wysiwyg = "true";
+defparam \datamem|ram~563 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N59
+dffeas \datamem|ram~691 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~691_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~691 .is_wysiwyg = "true";
+defparam \datamem|ram~691 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N48
+cyclonev_lcell_comb \datamem|ram~627feeder (
+// Equation(s):
+// \datamem|ram~627feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~627feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~627feeder .extended_lut = "off";
+defparam \datamem|ram~627feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~627feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N49
+dffeas \datamem|ram~627 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~627feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~627_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~627 .is_wysiwyg = "true";
+defparam \datamem|ram~627 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N56
+dffeas \datamem|ram~755 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~755_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~755 .is_wysiwyg = "true";
+defparam \datamem|ram~755 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y6_N54
+cyclonev_lcell_comb \datamem|ram~4279 (
+// Equation(s):
+// \datamem|ram~4279_combout = ( \datamem|ram~755_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~691_q ) ) ) ) # ( !\datamem|ram~755_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~691_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~755_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~563_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~627_q ))) ) ) ) # ( !\datamem|ram~755_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~563_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~627_q ))) ) ) )
+
+ .dataa(!\datamem|ram~563_q ),
+ .datab(!\datamem|ram~691_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~627_q ),
+ .datae(!\datamem|ram~755_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4279_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4279 .extended_lut = "off";
+defparam \datamem|ram~4279 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4279 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N45
+cyclonev_lcell_comb \datamem|ram~547feeder (
+// Equation(s):
+// \datamem|ram~547feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~547feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~547feeder .extended_lut = "off";
+defparam \datamem|ram~547feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~547feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N46
+dffeas \datamem|ram~547 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~547feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~547_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~547 .is_wysiwyg = "true";
+defparam \datamem|ram~547 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N15
+cyclonev_lcell_comb \datamem|ram~675feeder (
+// Equation(s):
+// \datamem|ram~675feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~675feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~675feeder .extended_lut = "off";
+defparam \datamem|ram~675feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~675feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N17
+dffeas \datamem|ram~675 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~675feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~675_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~675 .is_wysiwyg = "true";
+defparam \datamem|ram~675 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N43
+dffeas \datamem|ram~611 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~611_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~611 .is_wysiwyg = "true";
+defparam \datamem|ram~611 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N2
+dffeas \datamem|ram~739 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~739_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~739 .is_wysiwyg = "true";
+defparam \datamem|ram~739 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N0
+cyclonev_lcell_comb \datamem|ram~4278 (
+// Equation(s):
+// \datamem|ram~4278_combout = ( \datamem|ram~739_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~675_q ) ) ) ) # ( !\datamem|ram~739_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~675_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~739_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~547_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~611_q ))) ) ) ) # ( !\datamem|ram~739_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~547_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~611_q ))) ) ) )
+
+ .dataa(!\datamem|ram~547_q ),
+ .datab(!\datamem|ram~675_q ),
+ .datac(!\datamem|ram~611_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~739_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4278_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4278 .extended_lut = "off";
+defparam \datamem|ram~4278 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4278 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N33
+cyclonev_lcell_comb \datamem|ram~659feeder (
+// Equation(s):
+// \datamem|ram~659feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~659feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~659feeder .extended_lut = "off";
+defparam \datamem|ram~659feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~659feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N35
+dffeas \datamem|ram~659 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~659feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~659_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~659 .is_wysiwyg = "true";
+defparam \datamem|ram~659 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N51
+cyclonev_lcell_comb \datamem|ram~531feeder (
+// Equation(s):
+// \datamem|ram~531feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~531feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~531feeder .extended_lut = "off";
+defparam \datamem|ram~531feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~531feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N52
+dffeas \datamem|ram~531 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~531feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~531_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~531 .is_wysiwyg = "true";
+defparam \datamem|ram~531 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y4_N18
+cyclonev_lcell_comb \datamem|ram~595feeder (
+// Equation(s):
+// \datamem|ram~595feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~595feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~595feeder .extended_lut = "off";
+defparam \datamem|ram~595feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~595feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N19
+dffeas \datamem|ram~595 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~595feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~595_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~595 .is_wysiwyg = "true";
+defparam \datamem|ram~595 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N38
+dffeas \datamem|ram~723 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~723_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~723 .is_wysiwyg = "true";
+defparam \datamem|ram~723 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4277 (
+// Equation(s):
+// \datamem|ram~4277_combout = ( \datamem|ram~723_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~659_q ) ) ) ) # ( !\datamem|ram~723_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~659_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~723_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~531_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~595_q ))) ) ) ) # ( !\datamem|ram~723_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~531_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~595_q ))) ) ) )
+
+ .dataa(!\datamem|ram~659_q ),
+ .datab(!\datamem|ram~531_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~595_q ),
+ .datae(!\datamem|ram~723_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4277_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4277 .extended_lut = "off";
+defparam \datamem|ram~4277 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4277 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y16_N54
+cyclonev_lcell_comb \datamem|ram~4280 (
+// Equation(s):
+// \datamem|ram~4280_combout = ( \datamem|ram~4278_combout & ( \datamem|ram~4277_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~4276_combout )) # (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) #
+// ((\datamem|ram~4279_combout )))) ) ) ) # ( !\datamem|ram~4278_combout & ( \datamem|ram~4277_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~4276_combout )) # (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout &
+// (\alu_unit|Mux14~6_combout & ((\datamem|ram~4279_combout )))) ) ) ) # ( \datamem|ram~4278_combout & ( !\datamem|ram~4277_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout & (\datamem|ram~4276_combout ))) #
+// (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) # ((\datamem|ram~4279_combout )))) ) ) ) # ( !\datamem|ram~4278_combout & ( !\datamem|ram~4277_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4276_combout ))) # (\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout & ((\datamem|ram~4279_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4276_combout ),
+ .datad(!\datamem|ram~4279_combout ),
+ .datae(!\datamem|ram~4278_combout ),
+ .dataf(!\datamem|ram~4277_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4280_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4280 .extended_lut = "off";
+defparam \datamem|ram~4280 .lut_mask = 64'h08194C5D2A3B6E7F;
+defparam \datamem|ram~4280 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N13
+dffeas \datamem|ram~915 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~915_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~915 .is_wysiwyg = "true";
+defparam \datamem|ram~915 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N39
+cyclonev_lcell_comb \datamem|ram~899feeder (
+// Equation(s):
+// \datamem|ram~899feeder_combout = \reg_file|reg_read_data_2[3]~2_combout
+
+ .dataa(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~899feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~899feeder .extended_lut = "off";
+defparam \datamem|ram~899feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~899feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N41
+dffeas \datamem|ram~899 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~899feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~899_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~899 .is_wysiwyg = "true";
+defparam \datamem|ram~899 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y18_N40
+dffeas \datamem|ram~931 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~931_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~931 .is_wysiwyg = "true";
+defparam \datamem|ram~931 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N44
+dffeas \datamem|ram~947 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~947_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~947 .is_wysiwyg = "true";
+defparam \datamem|ram~947 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N42
+cyclonev_lcell_comb \datamem|ram~4283 (
+// Equation(s):
+// \datamem|ram~4283_combout = ( \datamem|ram~947_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~915_q ) ) ) ) # ( !\datamem|ram~947_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~915_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~947_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~899_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~931_q ))) ) ) ) # ( !\datamem|ram~947_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~899_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~931_q ))) ) ) )
+
+ .dataa(!\datamem|ram~915_q ),
+ .datab(!\datamem|ram~899_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~931_q ),
+ .datae(!\datamem|ram~947_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4283_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4283 .extended_lut = "off";
+defparam \datamem|ram~4283 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4283 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N41
+dffeas \datamem|ram~787 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~787_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~787 .is_wysiwyg = "true";
+defparam \datamem|ram~787 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N45
+cyclonev_lcell_comb \datamem|ram~771feeder (
+// Equation(s):
+// \datamem|ram~771feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~771feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~771feeder .extended_lut = "off";
+defparam \datamem|ram~771feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~771feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N47
+dffeas \datamem|ram~771 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~771feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~771_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~771 .is_wysiwyg = "true";
+defparam \datamem|ram~771 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N33
+cyclonev_lcell_comb \datamem|ram~803feeder (
+// Equation(s):
+// \datamem|ram~803feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~803feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~803feeder .extended_lut = "off";
+defparam \datamem|ram~803feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~803feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N35
+dffeas \datamem|ram~803 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~803feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~803_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~803 .is_wysiwyg = "true";
+defparam \datamem|ram~803 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N50
+dffeas \datamem|ram~819 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~819_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~819 .is_wysiwyg = "true";
+defparam \datamem|ram~819 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N48
+cyclonev_lcell_comb \datamem|ram~4281 (
+// Equation(s):
+// \datamem|ram~4281_combout = ( \datamem|ram~819_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~787_q ) ) ) ) # ( !\datamem|ram~819_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~787_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~819_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~771_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~803_q ))) ) ) ) # ( !\datamem|ram~819_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~771_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~803_q ))) ) ) )
+
+ .dataa(!\datamem|ram~787_q ),
+ .datab(!\datamem|ram~771_q ),
+ .datac(!\datamem|ram~803_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~819_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4281_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4281 .extended_lut = "off";
+defparam \datamem|ram~4281 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4281 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y11_N16
+dffeas \datamem|ram~867 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~867_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~867 .is_wysiwyg = "true";
+defparam \datamem|ram~867 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N49
+dffeas \datamem|ram~835 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~835_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~835 .is_wysiwyg = "true";
+defparam \datamem|ram~835 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N16
+dffeas \datamem|ram~851 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~851_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~851 .is_wysiwyg = "true";
+defparam \datamem|ram~851 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N38
+dffeas \datamem|ram~883 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~883_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~883 .is_wysiwyg = "true";
+defparam \datamem|ram~883 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N36
+cyclonev_lcell_comb \datamem|ram~4282 (
+// Equation(s):
+// \datamem|ram~4282_combout = ( \datamem|ram~883_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~851_q ) ) ) ) # ( !\datamem|ram~883_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~851_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~883_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~835_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~867_q )) ) ) ) # ( !\datamem|ram~883_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~835_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~867_q )) ) ) )
+
+ .dataa(!\datamem|ram~867_q ),
+ .datab(!\datamem|ram~835_q ),
+ .datac(!\datamem|ram~851_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~883_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4282_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4282 .extended_lut = "off";
+defparam \datamem|ram~4282 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4282 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N38
+dffeas \datamem|ram~979 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~979_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~979 .is_wysiwyg = "true";
+defparam \datamem|ram~979 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y21_N6
+cyclonev_lcell_comb \datamem|ram~963feeder (
+// Equation(s):
+// \datamem|ram~963feeder_combout = ( \reg_file|reg_read_data_2[3]~2_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~963feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~963feeder .extended_lut = "off";
+defparam \datamem|ram~963feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~963feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N7
+dffeas \datamem|ram~963 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~963feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~963_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~963 .is_wysiwyg = "true";
+defparam \datamem|ram~963 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N56
+dffeas \datamem|ram~995 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~995_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~995 .is_wysiwyg = "true";
+defparam \datamem|ram~995 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N20
+dffeas \datamem|ram~1011 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[3]~2_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1011_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1011 .is_wysiwyg = "true";
+defparam \datamem|ram~1011 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y19_N18
+cyclonev_lcell_comb \datamem|ram~4284 (
+// Equation(s):
+// \datamem|ram~4284_combout = ( \datamem|ram~1011_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~979_q ) ) ) ) # ( !\datamem|ram~1011_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~979_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1011_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~963_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~995_q ))) ) ) ) # ( !\datamem|ram~1011_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~963_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~995_q ))) ) ) )
+
+ .dataa(!\datamem|ram~979_q ),
+ .datab(!\datamem|ram~963_q ),
+ .datac(!\datamem|ram~995_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1011_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4284_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4284 .extended_lut = "off";
+defparam \datamem|ram~4284 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4284 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N51
+cyclonev_lcell_comb \datamem|ram~4285 (
+// Equation(s):
+// \datamem|ram~4285_combout = ( \datamem|ram~4282_combout & ( \datamem|ram~4284_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4281_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4283_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~4282_combout & ( \datamem|ram~4284_combout & ( (!\alu_unit|Mux11~4_combout & (!\alu_unit|Mux12~2_combout & ((\datamem|ram~4281_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~4283_combout )) #
+// (\alu_unit|Mux12~2_combout ))) ) ) ) # ( \datamem|ram~4282_combout & ( !\datamem|ram~4284_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4281_combout )) # (\alu_unit|Mux12~2_combout ))) # (\alu_unit|Mux11~4_combout &
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~4283_combout ))) ) ) ) # ( !\datamem|ram~4282_combout & ( !\datamem|ram~4284_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4281_combout ))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~4283_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~4283_combout ),
+ .datad(!\datamem|ram~4281_combout ),
+ .datae(!\datamem|ram~4282_combout ),
+ .dataf(!\datamem|ram~4284_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4285_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4285 .extended_lut = "off";
+defparam \datamem|ram~4285 .lut_mask = 64'h048C26AE159D37BF;
+defparam \datamem|ram~4285 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y16_N36
+cyclonev_lcell_comb \datamem|ram~4286 (
+// Equation(s):
+// \datamem|ram~4286_combout = ( \datamem|ram~4280_combout & ( \datamem|ram~4285_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4270_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4275_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4280_combout & ( \datamem|ram~4285_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4270_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4275_combout ))))) # (\alu_unit|Mux9~4_combout &
+// (\alu_unit|Mux10~6_combout )) ) ) ) # ( \datamem|ram~4280_combout & ( !\datamem|ram~4285_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4270_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4275_combout
+// ))))) # (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout )) ) ) ) # ( !\datamem|ram~4280_combout & ( !\datamem|ram~4285_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4270_combout )) #
+// (\alu_unit|Mux10~6_combout & ((\datamem|ram~4275_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4270_combout ),
+ .datad(!\datamem|ram~4275_combout ),
+ .datae(!\datamem|ram~4280_combout ),
+ .dataf(!\datamem|ram~4285_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4286_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4286 .extended_lut = "off";
+defparam \datamem|ram~4286 .lut_mask = 64'h082A4C6E193B5D7F;
+defparam \datamem|ram~4286 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y14_N18
+cyclonev_lcell_comb \datamem|ram~4350 (
+// Equation(s):
+// \datamem|ram~4350_combout = ( \datamem|ram~4328_combout & ( \datamem|ram~4286_combout & ( (!\alu_unit|Mux8~2_combout ) # ((!\alu_unit|Mux7~2_combout & ((\datamem|ram~4307_combout ))) # (\alu_unit|Mux7~2_combout & (\datamem|ram~4349_combout ))) ) ) )
+// # ( !\datamem|ram~4328_combout & ( \datamem|ram~4286_combout & ( (!\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout ) # (\datamem|ram~4307_combout )))) # (\alu_unit|Mux7~2_combout & (\datamem|ram~4349_combout & ((\alu_unit|Mux8~2_combout ))))
+// ) ) ) # ( \datamem|ram~4328_combout & ( !\datamem|ram~4286_combout & ( (!\alu_unit|Mux7~2_combout & (((\datamem|ram~4307_combout & \alu_unit|Mux8~2_combout )))) # (\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout )) #
+// (\datamem|ram~4349_combout ))) ) ) ) # ( !\datamem|ram~4328_combout & ( !\datamem|ram~4286_combout & ( (\alu_unit|Mux8~2_combout & ((!\alu_unit|Mux7~2_combout & ((\datamem|ram~4307_combout ))) # (\alu_unit|Mux7~2_combout & (\datamem|ram~4349_combout
+// )))) ) ) )
+
+ .dataa(!\datamem|ram~4349_combout ),
+ .datab(!\alu_unit|Mux7~2_combout ),
+ .datac(!\datamem|ram~4307_combout ),
+ .datad(!\alu_unit|Mux8~2_combout ),
+ .datae(!\datamem|ram~4328_combout ),
+ .dataf(!\datamem|ram~4286_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4350_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4350 .extended_lut = "off";
+defparam \datamem|ram~4350 .lut_mask = 64'h001D331DCC1DFF1D;
+defparam \datamem|ram~4350 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N21
+cyclonev_lcell_comb \reg_write_data[3]~4 (
+// Equation(s):
+// \reg_write_data[3]~4_combout = ( \Add0~9_sumout & ( \datamem|ram~4350_combout & ( (\alu_unit|Mux12~2_combout ) # (\reg_write_data[1]~0_combout ) ) ) ) # ( !\Add0~9_sumout & ( \datamem|ram~4350_combout & ( (!\reg_write_data[1]~0_combout &
+// ((\alu_unit|Mux12~2_combout ))) # (\reg_write_data[1]~0_combout & (\reg_write_data[1]~1_combout )) ) ) ) # ( \Add0~9_sumout & ( !\datamem|ram~4350_combout & ( (!\reg_write_data[1]~0_combout & ((\alu_unit|Mux12~2_combout ))) #
+// (\reg_write_data[1]~0_combout & (!\reg_write_data[1]~1_combout )) ) ) ) # ( !\Add0~9_sumout & ( !\datamem|ram~4350_combout & ( (!\reg_write_data[1]~0_combout & \alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\reg_write_data[1]~0_combout ),
+ .datab(gnd),
+ .datac(!\reg_write_data[1]~1_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\Add0~9_sumout ),
+ .dataf(!\datamem|ram~4350_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[3]~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[3]~4 .extended_lut = "off";
+defparam \reg_write_data[3]~4 .lut_mask = 64'h00AA50FA05AF55FF;
+defparam \reg_write_data[3]~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y14_N45
+cyclonev_lcell_comb \reg_file|reg_array[3][3]~feeder (
+// Equation(s):
+// \reg_file|reg_array[3][3]~feeder_combout = ( \reg_write_data[3]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[3]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[3][3]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[3][3]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[3][3]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[3][3]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y14_N47
+dffeas \reg_file|reg_array[3][3] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[3][3]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~4_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[3][3]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[3][3] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[3][3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y13_N14
+dffeas \reg_file|reg_array[2][3] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[3]~4_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~6_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[2][3]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[2][3] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[2][3] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N38
+dffeas \reg_file|reg_array[1][3] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[3]~4_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~7_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[1][3]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[1][3] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[1][3] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N15
+cyclonev_lcell_comb \reg_file|reg_read_data_2[3]~2 (
+// Equation(s):
+// \reg_file|reg_read_data_2[3]~2_combout = ( \instrucion_memory|rom~18_combout & ( \instrucion_memory|rom~17_combout & ( \reg_file|reg_array[3][3]~q ) ) ) # ( !\instrucion_memory|rom~18_combout & ( \instrucion_memory|rom~17_combout & (
+// \reg_file|reg_array[1][3]~q ) ) ) # ( \instrucion_memory|rom~18_combout & ( !\instrucion_memory|rom~17_combout & ( \reg_file|reg_array[2][3]~q ) ) ) # ( !\instrucion_memory|rom~18_combout & ( !\instrucion_memory|rom~17_combout & (
+// \reg_file|reg_array[0][3]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[3][3]~q ),
+ .datab(!\reg_file|reg_array[2][3]~q ),
+ .datac(!\reg_file|reg_array[1][3]~q ),
+ .datad(!\reg_file|reg_array[0][3]~q ),
+ .datae(!\instrucion_memory|rom~18_combout ),
+ .dataf(!\instrucion_memory|rom~17_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_2[3]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_2[3]~2 .extended_lut = "off";
+defparam \reg_file|reg_read_data_2[3]~2 .lut_mask = 64'h00FF33330F0F5555;
+defparam \reg_file|reg_read_data_2[3]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y13_N12
+cyclonev_lcell_comb \read_data2[3]~2 (
+// Equation(s):
+// \read_data2[3]~2_combout = ( \reg_file|reg_read_data_2[3]~2_combout & ( \control_unit|WideOr0~0_combout & ( \instrucion_memory|rom~8_combout ) ) ) # ( !\reg_file|reg_read_data_2[3]~2_combout & ( \control_unit|WideOr0~0_combout & (
+// \instrucion_memory|rom~8_combout ) ) ) # ( \reg_file|reg_read_data_2[3]~2_combout & ( !\control_unit|WideOr0~0_combout & ( !\reg_file|Equal1~0_combout ) ) )
+
+ .dataa(!\reg_file|Equal1~0_combout ),
+ .datab(gnd),
+ .datac(!\instrucion_memory|rom~8_combout ),
+ .datad(gnd),
+ .datae(!\reg_file|reg_read_data_2[3]~2_combout ),
+ .dataf(!\control_unit|WideOr0~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\read_data2[3]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \read_data2[3]~2 .extended_lut = "off";
+defparam \read_data2[3]~2 .lut_mask = 64'h0000AAAA0F0F0F0F;
+defparam \read_data2[3]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N12
+cyclonev_lcell_comb \alu_unit|Add0~13 (
+// Equation(s):
+// \alu_unit|Add0~13_sumout = SUM(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[4]~6_combout ))) ) + ( \read_data2[4]~4_combout ) + ( \alu_unit|Add0~10 ))
+// \alu_unit|Add0~14 = CARRY(( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[4]~6_combout ))) ) + ( \read_data2[4]~4_combout ) + ( \alu_unit|Add0~10 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\reg_file|reg_read_data_1[4]~6_combout ),
+ .datae(gnd),
+ .dataf(!\read_data2[4]~4_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~10 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~13_sumout ),
+ .cout(\alu_unit|Add0~14 ),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~13 .extended_lut = "off";
+defparam \alu_unit|Add0~13 .lut_mask = 64'h0000FF0000000008;
+defparam \alu_unit|Add0~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N18
+cyclonev_lcell_comb \alu_unit|Mux11~6 (
+// Equation(s):
+// \alu_unit|Mux11~6_combout = ( \ALU_Control_unit|WideOr2~0_combout & ( \alu_unit|Add1~13_sumout & ( (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Add0~13_sumout & ((!\ALU_Control_unit|WideOr1~0_combout )))) # (\ALU_Control_unit|WideOr0~0_combout
+// & (((!\alu_unit|shifter_left|st2[0]~5_combout )))) ) ) ) # ( !\ALU_Control_unit|WideOr2~0_combout & ( \alu_unit|Add1~13_sumout & ( (!\alu_unit|shifter_left|st2[0]~5_combout & \ALU_Control_unit|WideOr0~0_combout ) ) ) ) # (
+// \ALU_Control_unit|WideOr2~0_combout & ( !\alu_unit|Add1~13_sumout & ( (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Add0~13_sumout & ((!\ALU_Control_unit|WideOr1~0_combout )))) # (\ALU_Control_unit|WideOr0~0_combout &
+// (((!\alu_unit|shifter_left|st2[0]~5_combout )))) ) ) ) # ( !\ALU_Control_unit|WideOr2~0_combout & ( !\alu_unit|Add1~13_sumout & ( (!\ALU_Control_unit|WideOr0~0_combout & ((!\ALU_Control_unit|WideOr1~0_combout ))) # (\ALU_Control_unit|WideOr0~0_combout
+// & (!\alu_unit|shifter_left|st2[0]~5_combout )) ) ) )
+
+ .dataa(!\alu_unit|Add0~13_sumout ),
+ .datab(!\alu_unit|shifter_left|st2[0]~5_combout ),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datae(!\ALU_Control_unit|WideOr2~0_combout ),
+ .dataf(!\alu_unit|Add1~13_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux11~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux11~6 .extended_lut = "off";
+defparam \alu_unit|Mux11~6 .lut_mask = 64'hFC0CAC0C0C0CAC0C;
+defparam \alu_unit|Mux11~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N3
+cyclonev_lcell_comb \alu_unit|Mux11~0 (
+// Equation(s):
+// \alu_unit|Mux11~0_combout = ( !\alu_unit|Mux10~0_combout & ( (!\read_data2[3]~2_combout & (!\read_data2[2]~3_combout & \alu_unit|shifter_right|st2[11]~11_combout )) ) )
+
+ .dataa(!\read_data2[3]~2_combout ),
+ .datab(!\read_data2[2]~3_combout ),
+ .datac(!\alu_unit|shifter_right|st2[11]~11_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux10~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux11~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux11~0 .extended_lut = "off";
+defparam \alu_unit|Mux11~0 .lut_mask = 64'h0808080800000000;
+defparam \alu_unit|Mux11~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N42
+cyclonev_lcell_comb \alu_unit|Mux11~2 (
+// Equation(s):
+// \alu_unit|Mux11~2_combout = ( \alu_unit|shifter_right|st2[7]~12_combout & ( \alu_unit|shifter_left|st2[4]~4_combout & ( ((!\read_data2[2]~3_combout & (\read_data2[3]~2_combout & \alu_unit|shifter_right|st2[3]~13_combout )) # (\read_data2[2]~3_combout
+// & (!\read_data2[3]~2_combout ))) # (\alu_unit|Mux10~0_combout ) ) ) ) # ( !\alu_unit|shifter_right|st2[7]~12_combout & ( \alu_unit|shifter_left|st2[4]~4_combout & ( ((!\read_data2[2]~3_combout & (\read_data2[3]~2_combout &
+// \alu_unit|shifter_right|st2[3]~13_combout ))) # (\alu_unit|Mux10~0_combout ) ) ) ) # ( \alu_unit|shifter_right|st2[7]~12_combout & ( !\alu_unit|shifter_left|st2[4]~4_combout & ( (!\alu_unit|Mux10~0_combout & ((!\read_data2[2]~3_combout &
+// (\read_data2[3]~2_combout & \alu_unit|shifter_right|st2[3]~13_combout )) # (\read_data2[2]~3_combout & (!\read_data2[3]~2_combout )))) ) ) ) # ( !\alu_unit|shifter_right|st2[7]~12_combout & ( !\alu_unit|shifter_left|st2[4]~4_combout & (
+// (!\alu_unit|Mux10~0_combout & (!\read_data2[2]~3_combout & (\read_data2[3]~2_combout & \alu_unit|shifter_right|st2[3]~13_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~0_combout ),
+ .datab(!\read_data2[2]~3_combout ),
+ .datac(!\read_data2[3]~2_combout ),
+ .datad(!\alu_unit|shifter_right|st2[3]~13_combout ),
+ .datae(!\alu_unit|shifter_right|st2[7]~12_combout ),
+ .dataf(!\alu_unit|shifter_left|st2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux11~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux11~2 .extended_lut = "off";
+defparam \alu_unit|Mux11~2 .lut_mask = 64'h00082028555D757D;
+defparam \alu_unit|Mux11~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N27
+cyclonev_lcell_comb \alu_unit|Mux11~7 (
+// Equation(s):
+// \alu_unit|Mux11~7_combout = ( \alu_unit|Mux11~6_combout & ( (!\alu_unit|Mux11~1_combout ) # ((!\alu_unit|Mux11~0_combout & !\alu_unit|Mux11~2_combout )) ) ) # ( !\alu_unit|Mux11~6_combout & ( (!\alu_unit|Mux11~3_combout & ((!\alu_unit|Mux11~1_combout
+// ) # ((!\alu_unit|Mux11~0_combout & !\alu_unit|Mux11~2_combout )))) ) )
+
+ .dataa(!\alu_unit|Mux11~0_combout ),
+ .datab(!\alu_unit|Mux11~3_combout ),
+ .datac(!\alu_unit|Mux11~2_combout ),
+ .datad(!\alu_unit|Mux11~1_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux11~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux11~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux11~7 .extended_lut = "off";
+defparam \alu_unit|Mux11~7 .lut_mask = 64'hCC80CC80FFA0FFA0;
+defparam \alu_unit|Mux11~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N48
+cyclonev_lcell_comb \alu_unit|Mux11~4 (
+// Equation(s):
+// \alu_unit|Mux11~4_combout = ( \alu_unit|Mux11~7_combout & ( \alu_unit|Mult0~12 & ( (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux11~8_combout & ((!\alu_unit|Mux11~6_combout )))) # (\ALU_Control_unit|WideOr0~0_combout &
+// (((!\alu_unit|Mux10~1_combout )))) ) ) ) # ( !\alu_unit|Mux11~7_combout & ( \alu_unit|Mult0~12 & ( ((!\alu_unit|Mux11~8_combout & !\alu_unit|Mux11~6_combout )) # (\ALU_Control_unit|WideOr0~0_combout ) ) ) ) # ( \alu_unit|Mux11~7_combout & (
+// !\alu_unit|Mult0~12 & ( (!\alu_unit|Mux11~8_combout & (!\alu_unit|Mux11~6_combout & !\ALU_Control_unit|WideOr0~0_combout )) ) ) ) # ( !\alu_unit|Mux11~7_combout & ( !\alu_unit|Mult0~12 & ( ((!\alu_unit|Mux11~8_combout & !\alu_unit|Mux11~6_combout ))
+// # (\ALU_Control_unit|WideOr0~0_combout ) ) ) )
+
+ .dataa(!\alu_unit|Mux11~8_combout ),
+ .datab(!\alu_unit|Mux10~1_combout ),
+ .datac(!\alu_unit|Mux11~6_combout ),
+ .datad(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datae(!\alu_unit|Mux11~7_combout ),
+ .dataf(!\alu_unit|Mult0~12 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux11~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux11~4 .extended_lut = "off";
+defparam \alu_unit|Mux11~4 .lut_mask = 64'hA0FFA000A0FFA0CC;
+defparam \alu_unit|Mux11~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N22
+dffeas \datamem|ram~1924 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1924_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1924 .is_wysiwyg = "true";
+defparam \datamem|ram~1924 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y12_N18
+cyclonev_lcell_comb \datamem|ram~1956feeder (
+// Equation(s):
+// \datamem|ram~1956feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1956feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1956feeder .extended_lut = "off";
+defparam \datamem|ram~1956feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1956feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N19
+dffeas \datamem|ram~1956 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1956feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1956_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1956 .is_wysiwyg = "true";
+defparam \datamem|ram~1956 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y14_N10
+dffeas \datamem|ram~1940 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1940_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1940 .is_wysiwyg = "true";
+defparam \datamem|ram~1940 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y12_N2
+dffeas \datamem|ram~1972 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1972_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1972 .is_wysiwyg = "true";
+defparam \datamem|ram~1972 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y12_N0
+cyclonev_lcell_comb \datamem|ram~4389 (
+// Equation(s):
+// \datamem|ram~4389_combout = ( \datamem|ram~1972_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1940_q ) ) ) ) # ( !\datamem|ram~1972_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1940_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1972_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1924_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1956_q ))) ) ) ) # ( !\datamem|ram~1972_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1924_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1956_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1924_q ),
+ .datab(!\datamem|ram~1956_q ),
+ .datac(!\datamem|ram~1940_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1972_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4389_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4389 .extended_lut = "off";
+defparam \datamem|ram~4389 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4389 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N53
+dffeas \datamem|ram~1828 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1828_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1828 .is_wysiwyg = "true";
+defparam \datamem|ram~1828 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N48
+cyclonev_lcell_comb \datamem|ram~1812feeder (
+// Equation(s):
+// \datamem|ram~1812feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1812feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1812feeder .extended_lut = "off";
+defparam \datamem|ram~1812feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1812feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N49
+dffeas \datamem|ram~1812 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1812feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1812_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1812 .is_wysiwyg = "true";
+defparam \datamem|ram~1812 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y18_N4
+dffeas \datamem|ram~1796 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1796_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1796 .is_wysiwyg = "true";
+defparam \datamem|ram~1796 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N8
+dffeas \datamem|ram~1844 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1844_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1844 .is_wysiwyg = "true";
+defparam \datamem|ram~1844 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y14_N6
+cyclonev_lcell_comb \datamem|ram~4387 (
+// Equation(s):
+// \datamem|ram~4387_combout = ( \datamem|ram~1844_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1828_q ) ) ) ) # ( !\datamem|ram~1844_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1828_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1844_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1796_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1812_q )) ) ) ) # ( !\datamem|ram~1844_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1796_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~1812_q )) ) ) )
+
+ .dataa(!\datamem|ram~1828_q ),
+ .datab(!\datamem|ram~1812_q ),
+ .datac(!\datamem|ram~1796_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1844_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4387_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4387 .extended_lut = "off";
+defparam \datamem|ram~4387 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4387 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N51
+cyclonev_lcell_comb \datamem|ram~2004feeder (
+// Equation(s):
+// \datamem|ram~2004feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2004feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2004feeder .extended_lut = "off";
+defparam \datamem|ram~2004feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2004feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N52
+dffeas \datamem|ram~2004 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2004feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2004_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2004 .is_wysiwyg = "true";
+defparam \datamem|ram~2004 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y14_N15
+cyclonev_lcell_comb \datamem|ram~2020feeder (
+// Equation(s):
+// \datamem|ram~2020feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2020feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2020feeder .extended_lut = "off";
+defparam \datamem|ram~2020feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2020feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N17
+dffeas \datamem|ram~2020 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2020feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2020_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2020 .is_wysiwyg = "true";
+defparam \datamem|ram~2020 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y19_N58
+dffeas \datamem|ram~1988 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1988_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1988 .is_wysiwyg = "true";
+defparam \datamem|ram~1988 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N32
+dffeas \datamem|ram~2036 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2036_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2036 .is_wysiwyg = "true";
+defparam \datamem|ram~2036 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y14_N30
+cyclonev_lcell_comb \datamem|ram~4390 (
+// Equation(s):
+// \datamem|ram~4390_combout = ( \datamem|ram~2036_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2020_q ) ) ) ) # ( !\datamem|ram~2036_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2020_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2036_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1988_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2004_q )) ) ) ) # ( !\datamem|ram~2036_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~1988_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2004_q )) ) ) )
+
+ .dataa(!\datamem|ram~2004_q ),
+ .datab(!\datamem|ram~2020_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~1988_q ),
+ .datae(!\datamem|ram~2036_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4390_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4390 .extended_lut = "off";
+defparam \datamem|ram~4390 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4390 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N50
+dffeas \datamem|ram~1876 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1876_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1876 .is_wysiwyg = "true";
+defparam \datamem|ram~1876 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N44
+dffeas \datamem|ram~1860 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1860_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1860 .is_wysiwyg = "true";
+defparam \datamem|ram~1860 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y9_N39
+cyclonev_lcell_comb \datamem|ram~1892feeder (
+// Equation(s):
+// \datamem|ram~1892feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1892feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1892feeder .extended_lut = "off";
+defparam \datamem|ram~1892feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1892feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y9_N41
+dffeas \datamem|ram~1892 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1892feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1892_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1892 .is_wysiwyg = "true";
+defparam \datamem|ram~1892 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N56
+dffeas \datamem|ram~1908 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1908_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1908 .is_wysiwyg = "true";
+defparam \datamem|ram~1908 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y18_N54
+cyclonev_lcell_comb \datamem|ram~4388 (
+// Equation(s):
+// \datamem|ram~4388_combout = ( \datamem|ram~1908_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1876_q ) ) ) ) # ( !\datamem|ram~1908_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1876_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1908_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1860_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1892_q ))) ) ) ) # ( !\datamem|ram~1908_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1860_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1892_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1876_q ),
+ .datab(!\datamem|ram~1860_q ),
+ .datac(!\datamem|ram~1892_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1908_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4388_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4388 .extended_lut = "off";
+defparam \datamem|ram~4388 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4388 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N24
+cyclonev_lcell_comb \datamem|ram~4391 (
+// Equation(s):
+// \datamem|ram~4391_combout = ( \datamem|ram~4390_combout & ( \datamem|ram~4388_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4387_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4389_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~4390_combout & ( \datamem|ram~4388_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4387_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4389_combout )))) #
+// (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) ) ) ) # ( \datamem|ram~4390_combout & ( !\datamem|ram~4388_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4387_combout ))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~4389_combout )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) ) ) ) # ( !\datamem|ram~4390_combout & ( !\datamem|ram~4388_combout & ( (!\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4387_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4389_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4389_combout ),
+ .datad(!\datamem|ram~4387_combout ),
+ .datae(!\datamem|ram~4390_combout ),
+ .dataf(!\datamem|ram~4388_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4391_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4391 .extended_lut = "off";
+defparam \datamem|ram~4391 .lut_mask = 64'h028A139B46CE57DF;
+defparam \datamem|ram~4391 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N11
+dffeas \datamem|ram~1716 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1716_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1716 .is_wysiwyg = "true";
+defparam \datamem|ram~1716 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y11_N32
+dffeas \datamem|ram~1588 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1588_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1588 .is_wysiwyg = "true";
+defparam \datamem|ram~1588 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N18
+cyclonev_lcell_comb \datamem|ram~1652feeder (
+// Equation(s):
+// \datamem|ram~1652feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1652feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1652feeder .extended_lut = "off";
+defparam \datamem|ram~1652feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1652feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N19
+dffeas \datamem|ram~1652 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1652feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1652_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1652 .is_wysiwyg = "true";
+defparam \datamem|ram~1652 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y11_N38
+dffeas \datamem|ram~1780 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1780_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1780 .is_wysiwyg = "true";
+defparam \datamem|ram~1780 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y11_N36
+cyclonev_lcell_comb \datamem|ram~4385 (
+// Equation(s):
+// \datamem|ram~4385_combout = ( \datamem|ram~1780_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1716_q ) ) ) ) # ( !\datamem|ram~1780_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1716_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1780_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1588_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1652_q ))) ) ) ) # ( !\datamem|ram~1780_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1588_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1652_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1716_q ),
+ .datab(!\datamem|ram~1588_q ),
+ .datac(!\datamem|ram~1652_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1780_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4385_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4385 .extended_lut = "off";
+defparam \datamem|ram~4385 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4385 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N52
+dffeas \datamem|ram~1620 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1620_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1620 .is_wysiwyg = "true";
+defparam \datamem|ram~1620 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y13_N30
+cyclonev_lcell_comb \datamem|ram~1748feeder (
+// Equation(s):
+// \datamem|ram~1748feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1748feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1748feeder .extended_lut = "off";
+defparam \datamem|ram~1748feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1748feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N31
+dffeas \datamem|ram~1748 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1748feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1748_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1748 .is_wysiwyg = "true";
+defparam \datamem|ram~1748 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y11_N33
+cyclonev_lcell_comb \datamem|ram~1684feeder (
+// Equation(s):
+// \datamem|ram~1684feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1684feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1684feeder .extended_lut = "off";
+defparam \datamem|ram~1684feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1684feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N34
+dffeas \datamem|ram~1684 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1684feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1684_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1684 .is_wysiwyg = "true";
+defparam \datamem|ram~1684 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y11_N54
+cyclonev_lcell_comb \datamem|ram~1556feeder (
+// Equation(s):
+// \datamem|ram~1556feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1556feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1556feeder .extended_lut = "off";
+defparam \datamem|ram~1556feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1556feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y11_N55
+dffeas \datamem|ram~1556 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1556feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1556_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1556 .is_wysiwyg = "true";
+defparam \datamem|ram~1556 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N48
+cyclonev_lcell_comb \datamem|ram~4383 (
+// Equation(s):
+// \datamem|ram~4383_combout = ( \alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~1748_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~1620_q ) ) ) # ( \alu_unit|Mux11~4_combout & (
+// !\alu_unit|Mux12~2_combout & ( \datamem|ram~1684_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( !\alu_unit|Mux12~2_combout & ( \datamem|ram~1556_q ) ) )
+
+ .dataa(!\datamem|ram~1620_q ),
+ .datab(!\datamem|ram~1748_q ),
+ .datac(!\datamem|ram~1684_q ),
+ .datad(!\datamem|ram~1556_q ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4383_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4383 .extended_lut = "off";
+defparam \datamem|ram~4383 .lut_mask = 64'h00FF0F0F55553333;
+defparam \datamem|ram~4383 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y23_N12
+cyclonev_lcell_comb \datamem|ram~1700feeder (
+// Equation(s):
+// \datamem|ram~1700feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1700feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1700feeder .extended_lut = "off";
+defparam \datamem|ram~1700feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1700feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y23_N13
+dffeas \datamem|ram~1700 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1700feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1700_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1700 .is_wysiwyg = "true";
+defparam \datamem|ram~1700 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y23_N6
+cyclonev_lcell_comb \datamem|ram~1636feeder (
+// Equation(s):
+// \datamem|ram~1636feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1636feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1636feeder .extended_lut = "off";
+defparam \datamem|ram~1636feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1636feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y23_N7
+dffeas \datamem|ram~1636 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1636feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1636_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1636 .is_wysiwyg = "true";
+defparam \datamem|ram~1636 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N11
+dffeas \datamem|ram~1572 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1572_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1572 .is_wysiwyg = "true";
+defparam \datamem|ram~1572 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N38
+dffeas \datamem|ram~1764 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1764_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1764 .is_wysiwyg = "true";
+defparam \datamem|ram~1764 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N36
+cyclonev_lcell_comb \datamem|ram~4384 (
+// Equation(s):
+// \datamem|ram~4384_combout = ( \datamem|ram~1764_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1636_q ) ) ) ) # ( !\datamem|ram~1764_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1636_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1764_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1572_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1700_q )) ) ) ) # ( !\datamem|ram~1764_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1572_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1700_q )) ) ) )
+
+ .dataa(!\datamem|ram~1700_q ),
+ .datab(!\datamem|ram~1636_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~1572_q ),
+ .datae(!\datamem|ram~1764_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4384_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4384 .extended_lut = "off";
+defparam \datamem|ram~4384 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4384 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y17_N54
+cyclonev_lcell_comb \datamem|ram~1668feeder (
+// Equation(s):
+// \datamem|ram~1668feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1668feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1668feeder .extended_lut = "off";
+defparam \datamem|ram~1668feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1668feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N56
+dffeas \datamem|ram~1668 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1668feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1668_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1668 .is_wysiwyg = "true";
+defparam \datamem|ram~1668 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N55
+dffeas \datamem|ram~1604 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1604_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1604 .is_wysiwyg = "true";
+defparam \datamem|ram~1604 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y11_N28
+dffeas \datamem|ram~1540 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1540_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1540 .is_wysiwyg = "true";
+defparam \datamem|ram~1540 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N26
+dffeas \datamem|ram~1732 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1732_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1732 .is_wysiwyg = "true";
+defparam \datamem|ram~1732 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y13_N24
+cyclonev_lcell_comb \datamem|ram~4382 (
+// Equation(s):
+// \datamem|ram~4382_combout = ( \datamem|ram~1732_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1668_q ) ) ) ) # ( !\datamem|ram~1732_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1668_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1732_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1540_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1604_q )) ) ) ) # ( !\datamem|ram~1732_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1540_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1604_q )) ) ) )
+
+ .dataa(!\datamem|ram~1668_q ),
+ .datab(!\datamem|ram~1604_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1540_q ),
+ .datae(!\datamem|ram~1732_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4382_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4382 .extended_lut = "off";
+defparam \datamem|ram~4382 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4382 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N6
+cyclonev_lcell_comb \datamem|ram~4386 (
+// Equation(s):
+// \datamem|ram~4386_combout = ( \datamem|ram~4384_combout & ( \datamem|ram~4382_combout & ( (!\alu_unit|Mux14~6_combout ) # ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4383_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4385_combout ))) ) )
+// ) # ( !\datamem|ram~4384_combout & ( \datamem|ram~4382_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4383_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4385_combout )))) ) ) ) # ( \datamem|ram~4384_combout & ( !\datamem|ram~4382_combout & ( (!\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4383_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4385_combout )))) ) ) ) # ( !\datamem|ram~4384_combout & ( !\datamem|ram~4382_combout & ( (\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4383_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4385_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4385_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4383_combout ),
+ .datae(!\datamem|ram~4384_combout ),
+ .dataf(!\datamem|ram~4382_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4386_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4386 .extended_lut = "off";
+defparam \datamem|ram~4386 .lut_mask = 64'h01510B5BA1F1ABFB;
+defparam \datamem|ram~4386 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y19_N24
+cyclonev_lcell_comb \datamem|ram~1236feeder (
+// Equation(s):
+// \datamem|ram~1236feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1236feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1236feeder .extended_lut = "off";
+defparam \datamem|ram~1236feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1236feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N26
+dffeas \datamem|ram~1236 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1236feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1236_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1236 .is_wysiwyg = "true";
+defparam \datamem|ram~1236 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N16
+dffeas \datamem|ram~1044 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1044_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1044 .is_wysiwyg = "true";
+defparam \datamem|ram~1044 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y11_N25
+dffeas \datamem|ram~1172 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1172_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1172 .is_wysiwyg = "true";
+defparam \datamem|ram~1172 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y11_N8
+dffeas \datamem|ram~1108 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1108_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1108 .is_wysiwyg = "true";
+defparam \datamem|ram~1108 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y11_N6
+cyclonev_lcell_comb \datamem|ram~4373 (
+// Equation(s):
+// \datamem|ram~4373_combout = ( \datamem|ram~1108_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1172_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1236_q )) ) ) ) # ( !\datamem|ram~1108_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1172_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1236_q )) ) ) ) # ( \datamem|ram~1108_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1044_q ) #
+// (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1108_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~1044_q ) ) ) )
+
+ .dataa(!\datamem|ram~1236_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~1044_q ),
+ .datad(!\datamem|ram~1172_q ),
+ .datae(!\datamem|ram~1108_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4373_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4373 .extended_lut = "off";
+defparam \datamem|ram~4373 .lut_mask = 64'h0C0C3F3F11DD11DD;
+defparam \datamem|ram~4373 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N49
+dffeas \datamem|ram~1076 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1076_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1076 .is_wysiwyg = "true";
+defparam \datamem|ram~1076 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N21
+cyclonev_lcell_comb \datamem|ram~1140feeder (
+// Equation(s):
+// \datamem|ram~1140feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1140feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1140feeder .extended_lut = "off";
+defparam \datamem|ram~1140feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1140feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N22
+dffeas \datamem|ram~1140 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1140feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1140_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1140 .is_wysiwyg = "true";
+defparam \datamem|ram~1140 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y11_N7
+dffeas \datamem|ram~1204 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1204_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1204 .is_wysiwyg = "true";
+defparam \datamem|ram~1204 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y11_N20
+dffeas \datamem|ram~1268 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1268_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1268 .is_wysiwyg = "true";
+defparam \datamem|ram~1268 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y11_N18
+cyclonev_lcell_comb \datamem|ram~4375 (
+// Equation(s):
+// \datamem|ram~4375_combout = ( \datamem|ram~1268_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1204_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~1268_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~1204_q ) ) ) ) # ( \datamem|ram~1268_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1076_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1140_q ))) ) ) ) # ( !\datamem|ram~1268_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1076_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1140_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1076_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~1140_q ),
+ .datad(!\datamem|ram~1204_q ),
+ .datae(!\datamem|ram~1268_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4375_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4375 .extended_lut = "off";
+defparam \datamem|ram~4375 .lut_mask = 64'h4747474700CC33FF;
+defparam \datamem|ram~4375 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N3
+cyclonev_lcell_comb \datamem|ram~1124feeder (
+// Equation(s):
+// \datamem|ram~1124feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1124feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1124feeder .extended_lut = "off";
+defparam \datamem|ram~1124feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1124feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N5
+dffeas \datamem|ram~1124 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1124feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1124_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1124 .is_wysiwyg = "true";
+defparam \datamem|ram~1124 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y11_N3
+cyclonev_lcell_comb \datamem|ram~1188feeder (
+// Equation(s):
+// \datamem|ram~1188feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1188feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1188feeder .extended_lut = "off";
+defparam \datamem|ram~1188feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1188feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N4
+dffeas \datamem|ram~1188 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1188feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1188_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1188 .is_wysiwyg = "true";
+defparam \datamem|ram~1188 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N26
+dffeas \datamem|ram~1060 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1060_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1060 .is_wysiwyg = "true";
+defparam \datamem|ram~1060 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N20
+dffeas \datamem|ram~1252 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1252_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1252 .is_wysiwyg = "true";
+defparam \datamem|ram~1252 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y11_N18
+cyclonev_lcell_comb \datamem|ram~4374 (
+// Equation(s):
+// \datamem|ram~4374_combout = ( \datamem|ram~1252_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1124_q ) ) ) ) # ( !\datamem|ram~1252_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1124_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1252_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1060_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1188_q )) ) ) ) # ( !\datamem|ram~1252_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~1060_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~1188_q )) ) ) )
+
+ .dataa(!\datamem|ram~1124_q ),
+ .datab(!\datamem|ram~1188_q ),
+ .datac(!\datamem|ram~1060_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~1252_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4374_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4374 .extended_lut = "off";
+defparam \datamem|ram~4374 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4374 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N0
+cyclonev_lcell_comb \datamem|ram~1028feeder (
+// Equation(s):
+// \datamem|ram~1028feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1028feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1028feeder .extended_lut = "off";
+defparam \datamem|ram~1028feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1028feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N2
+dffeas \datamem|ram~1028 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1028feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1028_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1028 .is_wysiwyg = "true";
+defparam \datamem|ram~1028 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N12
+cyclonev_lcell_comb \datamem|ram~1220feeder (
+// Equation(s):
+// \datamem|ram~1220feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1220feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1220feeder .extended_lut = "off";
+defparam \datamem|ram~1220feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1220feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N14
+dffeas \datamem|ram~1220 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1220feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1220_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1220 .is_wysiwyg = "true";
+defparam \datamem|ram~1220 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y17_N24
+cyclonev_lcell_comb \datamem|ram~1156feeder (
+// Equation(s):
+// \datamem|ram~1156feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1156feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1156feeder .extended_lut = "off";
+defparam \datamem|ram~1156feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1156feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y17_N25
+dffeas \datamem|ram~1156 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1156feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1156_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1156 .is_wysiwyg = "true";
+defparam \datamem|ram~1156 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N32
+dffeas \datamem|ram~1092 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1092_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1092 .is_wysiwyg = "true";
+defparam \datamem|ram~1092 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y13_N30
+cyclonev_lcell_comb \datamem|ram~4372 (
+// Equation(s):
+// \datamem|ram~4372_combout = ( \datamem|ram~1092_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1156_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1220_q )) ) ) ) # ( !\datamem|ram~1092_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1156_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1220_q )) ) ) ) # ( \datamem|ram~1092_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1028_q ) ) ) ) # ( !\datamem|ram~1092_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1028_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1028_q ),
+ .datab(!\datamem|ram~1220_q ),
+ .datac(!\datamem|ram~1156_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1092_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4372_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4372 .extended_lut = "off";
+defparam \datamem|ram~4372 .lut_mask = 64'h550055FF0F330F33;
+defparam \datamem|ram~4372 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y11_N36
+cyclonev_lcell_comb \datamem|ram~4376 (
+// Equation(s):
+// \datamem|ram~4376_combout = ( \alu_unit|Mux14~6_combout & ( \datamem|ram~4372_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4373_combout )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4375_combout ))) ) ) ) # ( !\alu_unit|Mux14~6_combout
+// & ( \datamem|ram~4372_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~4374_combout ) ) ) ) # ( \alu_unit|Mux14~6_combout & ( !\datamem|ram~4372_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4373_combout )) #
+// (\alu_unit|Mux13~4_combout & ((\datamem|ram~4375_combout ))) ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\datamem|ram~4372_combout & ( (\alu_unit|Mux13~4_combout & \datamem|ram~4374_combout ) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~4373_combout ),
+ .datac(!\datamem|ram~4375_combout ),
+ .datad(!\datamem|ram~4374_combout ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\datamem|ram~4372_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4376_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4376 .extended_lut = "off";
+defparam \datamem|ram~4376 .lut_mask = 64'h00552727AAFF2727;
+defparam \datamem|ram~4376 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y7_N6
+cyclonev_lcell_comb \datamem|ram~1300feeder (
+// Equation(s):
+// \datamem|ram~1300feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1300feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1300feeder .extended_lut = "off";
+defparam \datamem|ram~1300feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1300feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y7_N7
+dffeas \datamem|ram~1300 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1300feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1300_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1300 .is_wysiwyg = "true";
+defparam \datamem|ram~1300 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N42
+cyclonev_lcell_comb \datamem|ram~1492feeder (
+// Equation(s):
+// \datamem|ram~1492feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1492feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1492feeder .extended_lut = "off";
+defparam \datamem|ram~1492feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1492feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N44
+dffeas \datamem|ram~1492 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1492feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1492_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1492 .is_wysiwyg = "true";
+defparam \datamem|ram~1492 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N0
+cyclonev_lcell_comb \datamem|ram~1428feeder (
+// Equation(s):
+// \datamem|ram~1428feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1428feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1428feeder .extended_lut = "off";
+defparam \datamem|ram~1428feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1428feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N2
+dffeas \datamem|ram~1428 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1428feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1428_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1428 .is_wysiwyg = "true";
+defparam \datamem|ram~1428 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N20
+dffeas \datamem|ram~1364 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1364_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1364 .is_wysiwyg = "true";
+defparam \datamem|ram~1364 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y6_N18
+cyclonev_lcell_comb \datamem|ram~4378 (
+// Equation(s):
+// \datamem|ram~4378_combout = ( \datamem|ram~1364_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1428_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1492_q )) ) ) ) # ( !\datamem|ram~1364_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1428_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1492_q )) ) ) ) # ( \datamem|ram~1364_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1300_q ) ) ) ) # ( !\datamem|ram~1364_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1300_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1300_q ),
+ .datab(!\datamem|ram~1492_q ),
+ .datac(!\datamem|ram~1428_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1364_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4378_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4378 .extended_lut = "off";
+defparam \datamem|ram~4378 .lut_mask = 64'h550055FF0F330F33;
+defparam \datamem|ram~4378 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N10
+dffeas \datamem|ram~1380 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1380_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1380 .is_wysiwyg = "true";
+defparam \datamem|ram~1380 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y22_N9
+cyclonev_lcell_comb \datamem|ram~1316feeder (
+// Equation(s):
+// \datamem|ram~1316feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1316feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1316feeder .extended_lut = "off";
+defparam \datamem|ram~1316feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1316feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y22_N10
+dffeas \datamem|ram~1316 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1316feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1316_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1316 .is_wysiwyg = "true";
+defparam \datamem|ram~1316 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N17
+dffeas \datamem|ram~1444 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1444_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1444 .is_wysiwyg = "true";
+defparam \datamem|ram~1444 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y7_N44
+dffeas \datamem|ram~1508 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1508_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1508 .is_wysiwyg = "true";
+defparam \datamem|ram~1508 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y7_N42
+cyclonev_lcell_comb \datamem|ram~4379 (
+// Equation(s):
+// \datamem|ram~4379_combout = ( \datamem|ram~1508_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~1380_q ) ) ) ) # ( !\datamem|ram~1508_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~1380_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1508_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1316_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1444_q ))) ) ) ) # ( !\datamem|ram~1508_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~1316_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~1444_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1380_q ),
+ .datab(!\datamem|ram~1316_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~1444_q ),
+ .datae(!\datamem|ram~1508_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4379_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4379 .extended_lut = "off";
+defparam \datamem|ram~4379 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4379 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y17_N21
+cyclonev_lcell_comb \datamem|ram~1332feeder (
+// Equation(s):
+// \datamem|ram~1332feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1332feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1332feeder .extended_lut = "off";
+defparam \datamem|ram~1332feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1332feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N22
+dffeas \datamem|ram~1332 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1332feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1332_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1332 .is_wysiwyg = "true";
+defparam \datamem|ram~1332 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y6_N33
+cyclonev_lcell_comb \datamem|ram~1396feeder (
+// Equation(s):
+// \datamem|ram~1396feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1396feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1396feeder .extended_lut = "off";
+defparam \datamem|ram~1396feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1396feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N35
+dffeas \datamem|ram~1396 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1396feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1396_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1396 .is_wysiwyg = "true";
+defparam \datamem|ram~1396 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N56
+dffeas \datamem|ram~1460 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1460_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1460 .is_wysiwyg = "true";
+defparam \datamem|ram~1460 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N2
+dffeas \datamem|ram~1524 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1524_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1524 .is_wysiwyg = "true";
+defparam \datamem|ram~1524 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N0
+cyclonev_lcell_comb \datamem|ram~4380 (
+// Equation(s):
+// \datamem|ram~4380_combout = ( \datamem|ram~1524_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1460_q ) ) ) ) # ( !\datamem|ram~1524_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1460_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1524_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1332_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1396_q ))) ) ) ) # ( !\datamem|ram~1524_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1332_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1396_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1332_q ),
+ .datab(!\datamem|ram~1396_q ),
+ .datac(!\datamem|ram~1460_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1524_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4380_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4380 .extended_lut = "off";
+defparam \datamem|ram~4380 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4380 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N2
+dffeas \datamem|ram~1284 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1284_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1284 .is_wysiwyg = "true";
+defparam \datamem|ram~1284 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N32
+dffeas \datamem|ram~1476 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1476_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1476 .is_wysiwyg = "true";
+defparam \datamem|ram~1476 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y18_N3
+cyclonev_lcell_comb \datamem|ram~1412feeder (
+// Equation(s):
+// \datamem|ram~1412feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1412feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1412feeder .extended_lut = "off";
+defparam \datamem|ram~1412feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1412feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N4
+dffeas \datamem|ram~1412 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1412feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1412_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1412 .is_wysiwyg = "true";
+defparam \datamem|ram~1412 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y6_N20
+dffeas \datamem|ram~1348 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1348_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1348 .is_wysiwyg = "true";
+defparam \datamem|ram~1348 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y6_N18
+cyclonev_lcell_comb \datamem|ram~4377 (
+// Equation(s):
+// \datamem|ram~4377_combout = ( \datamem|ram~1348_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1412_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1476_q )) ) ) ) # ( !\datamem|ram~1348_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1412_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1476_q )) ) ) ) # ( \datamem|ram~1348_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~1284_q ) ) ) ) # ( !\datamem|ram~1348_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~1284_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1284_q ),
+ .datab(!\datamem|ram~1476_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~1412_q ),
+ .datae(!\datamem|ram~1348_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4377_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4377 .extended_lut = "off";
+defparam \datamem|ram~4377 .lut_mask = 64'h50505F5F03F303F3;
+defparam \datamem|ram~4377 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y7_N36
+cyclonev_lcell_comb \datamem|ram~4381 (
+// Equation(s):
+// \datamem|ram~4381_combout = ( \datamem|ram~4380_combout & ( \datamem|ram~4377_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) # ((\datamem|ram~4378_combout )))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~4379_combout )) #
+// (\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~4380_combout & ( \datamem|ram~4377_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) # ((\datamem|ram~4378_combout )))) # (\alu_unit|Mux13~4_combout &
+// (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4379_combout )))) ) ) ) # ( \datamem|ram~4380_combout & ( !\datamem|ram~4377_combout & ( (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout & (\datamem|ram~4378_combout ))) #
+// (\alu_unit|Mux13~4_combout & (((\datamem|ram~4379_combout )) # (\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~4380_combout & ( !\datamem|ram~4377_combout & ( (!\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4378_combout ))) # (\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4379_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4378_combout ),
+ .datad(!\datamem|ram~4379_combout ),
+ .datae(!\datamem|ram~4380_combout ),
+ .dataf(!\datamem|ram~4377_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4381_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4381 .extended_lut = "off";
+defparam \datamem|ram~4381 .lut_mask = 64'h024613578ACE9BDF;
+defparam \datamem|ram~4381 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N36
+cyclonev_lcell_comb \datamem|ram~4392 (
+// Equation(s):
+// \datamem|ram~4392_combout = ( \datamem|ram~4376_combout & ( \datamem|ram~4381_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4386_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4391_combout ))) ) )
+// ) # ( !\datamem|ram~4376_combout & ( \datamem|ram~4381_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout & ((\datamem|ram~4386_combout )))) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout ) # ((\datamem|ram~4391_combout
+// )))) ) ) ) # ( \datamem|ram~4376_combout & ( !\datamem|ram~4381_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout ) # ((\datamem|ram~4386_combout )))) # (\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4391_combout ))) ) ) ) # ( !\datamem|ram~4376_combout & ( !\datamem|ram~4381_combout & ( (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4386_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4391_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4391_combout ),
+ .datad(!\datamem|ram~4386_combout ),
+ .datae(!\datamem|ram~4376_combout ),
+ .dataf(!\datamem|ram~4381_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4392_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4392 .extended_lut = "off";
+defparam \datamem|ram~4392 .lut_mask = 64'h012389AB4567CDEF;
+defparam \datamem|ram~4392 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y14_N21
+cyclonev_lcell_comb \datamem|ram~2724feeder (
+// Equation(s):
+// \datamem|ram~2724feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2724feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2724feeder .extended_lut = "off";
+defparam \datamem|ram~2724feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2724feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N22
+dffeas \datamem|ram~2724 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2724feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2724_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2724 .is_wysiwyg = "true";
+defparam \datamem|ram~2724 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y11_N42
+cyclonev_lcell_comb \datamem|ram~2980feeder (
+// Equation(s):
+// \datamem|ram~2980feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2980feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2980feeder .extended_lut = "off";
+defparam \datamem|ram~2980feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2980feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y11_N44
+dffeas \datamem|ram~2980 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2980feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2980_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2980 .is_wysiwyg = "true";
+defparam \datamem|ram~2980 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N40
+dffeas \datamem|ram~2468 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2468_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2468 .is_wysiwyg = "true";
+defparam \datamem|ram~2468 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N43
+dffeas \datamem|ram~2212 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2212_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2212 .is_wysiwyg = "true";
+defparam \datamem|ram~2212 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y11_N51
+cyclonev_lcell_comb \datamem|ram~4405 (
+// Equation(s):
+// \datamem|ram~4405_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2980_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2468_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~2724_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~2212_q ) ) )
+
+ .dataa(!\datamem|ram~2724_q ),
+ .datab(!\datamem|ram~2980_q ),
+ .datac(!\datamem|ram~2468_q ),
+ .datad(!\datamem|ram~2212_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4405_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4405 .extended_lut = "off";
+defparam \datamem|ram~4405 .lut_mask = 64'h00FF55550F0F3333;
+defparam \datamem|ram~4405 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N24
+cyclonev_lcell_comb \datamem|ram~2596feeder (
+// Equation(s):
+// \datamem|ram~2596feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2596feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2596feeder .extended_lut = "off";
+defparam \datamem|ram~2596feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2596feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N25
+dffeas \datamem|ram~2596 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2596feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2596_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2596 .is_wysiwyg = "true";
+defparam \datamem|ram~2596 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N31
+dffeas \datamem|ram~2852 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2852_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2852 .is_wysiwyg = "true";
+defparam \datamem|ram~2852 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y13_N18
+cyclonev_lcell_comb \datamem|ram~2340feeder (
+// Equation(s):
+// \datamem|ram~2340feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2340feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2340feeder .extended_lut = "off";
+defparam \datamem|ram~2340feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2340feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y13_N20
+dffeas \datamem|ram~2340 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2340feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2340_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2340 .is_wysiwyg = "true";
+defparam \datamem|ram~2340 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y9_N42
+cyclonev_lcell_comb \datamem|ram~2084feeder (
+// Equation(s):
+// \datamem|ram~2084feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2084feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2084feeder .extended_lut = "off";
+defparam \datamem|ram~2084feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2084feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N43
+dffeas \datamem|ram~2084 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2084feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2084_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2084 .is_wysiwyg = "true";
+defparam \datamem|ram~2084 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y11_N27
+cyclonev_lcell_comb \datamem|ram~4403 (
+// Equation(s):
+// \datamem|ram~4403_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2852_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2340_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~2596_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~2084_q ) ) )
+
+ .dataa(!\datamem|ram~2596_q ),
+ .datab(!\datamem|ram~2852_q ),
+ .datac(!\datamem|ram~2340_q ),
+ .datad(!\datamem|ram~2084_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4403_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4403 .extended_lut = "off";
+defparam \datamem|ram~4403 .lut_mask = 64'h00FF55550F0F3333;
+defparam \datamem|ram~4403 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N46
+dffeas \datamem|ram~2532 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2532_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2532 .is_wysiwyg = "true";
+defparam \datamem|ram~2532 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N10
+dffeas \datamem|ram~2788 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2788_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2788 .is_wysiwyg = "true";
+defparam \datamem|ram~2788 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y18_N12
+cyclonev_lcell_comb \datamem|ram~2276feeder (
+// Equation(s):
+// \datamem|ram~2276feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2276feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2276feeder .extended_lut = "off";
+defparam \datamem|ram~2276feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2276feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N14
+dffeas \datamem|ram~2276 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2276feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2276_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2276 .is_wysiwyg = "true";
+defparam \datamem|ram~2276 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y18_N11
+dffeas \datamem|ram~3044 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3044_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3044 .is_wysiwyg = "true";
+defparam \datamem|ram~3044 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y18_N9
+cyclonev_lcell_comb \datamem|ram~4406 (
+// Equation(s):
+// \datamem|ram~4406_combout = ( \datamem|ram~3044_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2788_q ) ) ) ) # ( !\datamem|ram~3044_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2788_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3044_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2276_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2532_q )) ) ) ) # ( !\datamem|ram~3044_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2276_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2532_q )) ) ) )
+
+ .dataa(!\datamem|ram~2532_q ),
+ .datab(!\datamem|ram~2788_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2276_q ),
+ .datae(!\datamem|ram~3044_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4406_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4406 .extended_lut = "off";
+defparam \datamem|ram~4406 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4406 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N50
+dffeas \datamem|ram~2660 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2660_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2660 .is_wysiwyg = "true";
+defparam \datamem|ram~2660 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y10_N0
+cyclonev_lcell_comb \datamem|ram~2148feeder (
+// Equation(s):
+// \datamem|ram~2148feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2148feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2148feeder .extended_lut = "off";
+defparam \datamem|ram~2148feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2148feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y10_N1
+dffeas \datamem|ram~2148 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2148feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2148_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2148 .is_wysiwyg = "true";
+defparam \datamem|ram~2148 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N5
+dffeas \datamem|ram~2404 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2404_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2404 .is_wysiwyg = "true";
+defparam \datamem|ram~2404 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N44
+dffeas \datamem|ram~2916 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2916_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2916 .is_wysiwyg = "true";
+defparam \datamem|ram~2916 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N42
+cyclonev_lcell_comb \datamem|ram~4404 (
+// Equation(s):
+// \datamem|ram~4404_combout = ( \datamem|ram~2916_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2404_q ) ) ) ) # ( !\datamem|ram~2916_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2404_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2916_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2148_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2660_q )) ) ) ) # ( !\datamem|ram~2916_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2148_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2660_q )) ) ) )
+
+ .dataa(!\datamem|ram~2660_q ),
+ .datab(!\datamem|ram~2148_q ),
+ .datac(!\datamem|ram~2404_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2916_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4404_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4404 .extended_lut = "off";
+defparam \datamem|ram~4404 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4404 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y11_N6
+cyclonev_lcell_comb \datamem|ram~4407 (
+// Equation(s):
+// \datamem|ram~4407_combout = ( \datamem|ram~4406_combout & ( \datamem|ram~4404_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4403_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4405_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~4406_combout & ( \datamem|ram~4404_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~4403_combout )))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4405_combout & ((!\alu_unit|Mux12~2_combout
+// )))) ) ) ) # ( \datamem|ram~4406_combout & ( !\datamem|ram~4404_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4403_combout & !\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~4405_combout ))) ) ) ) # ( !\datamem|ram~4406_combout & ( !\datamem|ram~4404_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4403_combout ))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4405_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4405_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4403_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4406_combout ),
+ .dataf(!\datamem|ram~4404_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4407_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4407 .extended_lut = "off";
+defparam \datamem|ram~4407 .lut_mask = 64'h1D001D331DCC1DFF;
+defparam \datamem|ram~4407 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y7_N30
+cyclonev_lcell_comb \datamem|ram~2372feeder (
+// Equation(s):
+// \datamem|ram~2372feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2372feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2372feeder .extended_lut = "off";
+defparam \datamem|ram~2372feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2372feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N32
+dffeas \datamem|ram~2372 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2372feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2372_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2372 .is_wysiwyg = "true";
+defparam \datamem|ram~2372 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X46_Y13_N6
+cyclonev_lcell_comb \datamem|ram~2116feeder (
+// Equation(s):
+// \datamem|ram~2116feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2116feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2116feeder .extended_lut = "off";
+defparam \datamem|ram~2116feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2116feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X46_Y13_N7
+dffeas \datamem|ram~2116 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2116feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2116_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2116 .is_wysiwyg = "true";
+defparam \datamem|ram~2116 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y7_N48
+cyclonev_lcell_comb \datamem|ram~2628feeder (
+// Equation(s):
+// \datamem|ram~2628feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2628feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2628feeder .extended_lut = "off";
+defparam \datamem|ram~2628feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2628feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y7_N49
+dffeas \datamem|ram~2628 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2628feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2628_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2628 .is_wysiwyg = "true";
+defparam \datamem|ram~2628 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y11_N59
+dffeas \datamem|ram~2884 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2884_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2884 .is_wysiwyg = "true";
+defparam \datamem|ram~2884 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N57
+cyclonev_lcell_comb \datamem|ram~4394 (
+// Equation(s):
+// \datamem|ram~4394_combout = ( \datamem|ram~2884_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2628_q ) ) ) ) # ( !\datamem|ram~2884_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2628_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2884_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2116_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2372_q )) ) ) ) # ( !\datamem|ram~2884_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~2116_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~2372_q )) ) ) )
+
+ .dataa(!\datamem|ram~2372_q ),
+ .datab(!\datamem|ram~2116_q ),
+ .datac(!\datamem|ram~2628_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2884_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4394_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4394 .extended_lut = "off";
+defparam \datamem|ram~4394 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4394 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N2
+dffeas \datamem|ram~2564 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2564_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2564 .is_wysiwyg = "true";
+defparam \datamem|ram~2564 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y11_N41
+dffeas \datamem|ram~2052 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2052_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2052 .is_wysiwyg = "true";
+defparam \datamem|ram~2052 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N12
+cyclonev_lcell_comb \datamem|ram~2308feeder (
+// Equation(s):
+// \datamem|ram~2308feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2308feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2308feeder .extended_lut = "off";
+defparam \datamem|ram~2308feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2308feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N13
+dffeas \datamem|ram~2308 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2308feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2308_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2308 .is_wysiwyg = "true";
+defparam \datamem|ram~2308 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y11_N29
+dffeas \datamem|ram~2820 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2820_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2820 .is_wysiwyg = "true";
+defparam \datamem|ram~2820 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N27
+cyclonev_lcell_comb \datamem|ram~4393 (
+// Equation(s):
+// \datamem|ram~4393_combout = ( \datamem|ram~2820_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2564_q ) ) ) ) # ( !\datamem|ram~2820_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2564_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2820_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2052_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2308_q ))) ) ) ) # ( !\datamem|ram~2820_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2052_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2308_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2564_q ),
+ .datab(!\datamem|ram~2052_q ),
+ .datac(!\datamem|ram~2308_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2820_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4393_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4393 .extended_lut = "off";
+defparam \datamem|ram~4393 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4393 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N5
+dffeas \datamem|ram~2500 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2500_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2500 .is_wysiwyg = "true";
+defparam \datamem|ram~2500 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N15
+cyclonev_lcell_comb \datamem|ram~2244feeder (
+// Equation(s):
+// \datamem|ram~2244feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2244feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2244feeder .extended_lut = "off";
+defparam \datamem|ram~2244feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2244feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N17
+dffeas \datamem|ram~2244 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2244feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2244_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2244 .is_wysiwyg = "true";
+defparam \datamem|ram~2244 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y13_N16
+dffeas \datamem|ram~2756 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2756_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2756 .is_wysiwyg = "true";
+defparam \datamem|ram~2756 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y12_N32
+dffeas \datamem|ram~3012 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3012_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3012 .is_wysiwyg = "true";
+defparam \datamem|ram~3012 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N30
+cyclonev_lcell_comb \datamem|ram~4396 (
+// Equation(s):
+// \datamem|ram~4396_combout = ( \datamem|ram~3012_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2500_q ) ) ) ) # ( !\datamem|ram~3012_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2500_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3012_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2244_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2756_q ))) ) ) ) # ( !\datamem|ram~3012_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2244_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2756_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2500_q ),
+ .datab(!\datamem|ram~2244_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2756_q ),
+ .datae(!\datamem|ram~3012_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4396_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4396 .extended_lut = "off";
+defparam \datamem|ram~4396 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4396 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N56
+dffeas \datamem|ram~2180 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2180_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2180 .is_wysiwyg = "true";
+defparam \datamem|ram~2180 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N30
+cyclonev_lcell_comb \datamem|ram~2436feeder (
+// Equation(s):
+// \datamem|ram~2436feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2436feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2436feeder .extended_lut = "off";
+defparam \datamem|ram~2436feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~2436feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N32
+dffeas \datamem|ram~2436 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2436feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2436_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2436 .is_wysiwyg = "true";
+defparam \datamem|ram~2436 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y9_N40
+dffeas \datamem|ram~2692 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2692_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2692 .is_wysiwyg = "true";
+defparam \datamem|ram~2692 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N20
+dffeas \datamem|ram~2948 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2948_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2948 .is_wysiwyg = "true";
+defparam \datamem|ram~2948 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y9_N18
+cyclonev_lcell_comb \datamem|ram~4395 (
+// Equation(s):
+// \datamem|ram~4395_combout = ( \datamem|ram~2948_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2692_q ) ) ) ) # ( !\datamem|ram~2948_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2692_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2948_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2180_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2436_q ))) ) ) ) # ( !\datamem|ram~2948_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2180_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2436_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2180_q ),
+ .datab(!\datamem|ram~2436_q ),
+ .datac(!\datamem|ram~2692_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2948_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4395_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4395 .extended_lut = "off";
+defparam \datamem|ram~4395 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4395 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N15
+cyclonev_lcell_comb \datamem|ram~4397 (
+// Equation(s):
+// \datamem|ram~4397_combout = ( \datamem|ram~4396_combout & ( \datamem|ram~4395_combout & ( ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4393_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4394_combout ))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4396_combout & ( \datamem|ram~4395_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4393_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4394_combout
+// ))) ) ) ) # ( \datamem|ram~4396_combout & ( !\datamem|ram~4395_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4393_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4394_combout )) #
+// (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~4396_combout & ( !\datamem|ram~4395_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4393_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4394_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4394_combout ),
+ .datad(!\datamem|ram~4393_combout ),
+ .datae(!\datamem|ram~4396_combout ),
+ .dataf(!\datamem|ram~4395_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4397_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4397 .extended_lut = "off";
+defparam \datamem|ram~4397 .lut_mask = 64'h048C159D26AE37BF;
+defparam \datamem|ram~4397 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N49
+dffeas \datamem|ram~2580 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2580_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2580 .is_wysiwyg = "true";
+defparam \datamem|ram~2580 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y15_N55
+dffeas \datamem|ram~2068 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2068_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2068 .is_wysiwyg = "true";
+defparam \datamem|ram~2068 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y16_N57
+cyclonev_lcell_comb \datamem|ram~2324feeder (
+// Equation(s):
+// \datamem|ram~2324feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2324feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2324feeder .extended_lut = "off";
+defparam \datamem|ram~2324feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2324feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y16_N58
+dffeas \datamem|ram~2324 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2324feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2324_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2324 .is_wysiwyg = "true";
+defparam \datamem|ram~2324 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N5
+dffeas \datamem|ram~2836 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2836_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2836 .is_wysiwyg = "true";
+defparam \datamem|ram~2836 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N3
+cyclonev_lcell_comb \datamem|ram~4398 (
+// Equation(s):
+// \datamem|ram~4398_combout = ( \datamem|ram~2836_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2324_q ) ) ) ) # ( !\datamem|ram~2836_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2324_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2836_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2068_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2580_q )) ) ) ) # ( !\datamem|ram~2836_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2068_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2580_q )) ) ) )
+
+ .dataa(!\datamem|ram~2580_q ),
+ .datab(!\datamem|ram~2068_q ),
+ .datac(!\datamem|ram~2324_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2836_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4398_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4398 .extended_lut = "off";
+defparam \datamem|ram~4398 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4398 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y23_N21
+cyclonev_lcell_comb \datamem|ram~2260feeder (
+// Equation(s):
+// \datamem|ram~2260feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2260feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2260feeder .extended_lut = "off";
+defparam \datamem|ram~2260feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2260feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N22
+dffeas \datamem|ram~2260 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2260feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2260_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2260 .is_wysiwyg = "true";
+defparam \datamem|ram~2260 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N55
+dffeas \datamem|ram~2516 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2516_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2516 .is_wysiwyg = "true";
+defparam \datamem|ram~2516 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y12_N0
+cyclonev_lcell_comb \datamem|ram~2772feeder (
+// Equation(s):
+// \datamem|ram~2772feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2772feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2772feeder .extended_lut = "off";
+defparam \datamem|ram~2772feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2772feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N1
+dffeas \datamem|ram~2772 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2772feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2772_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2772 .is_wysiwyg = "true";
+defparam \datamem|ram~2772 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y12_N56
+dffeas \datamem|ram~3028 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3028_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3028 .is_wysiwyg = "true";
+defparam \datamem|ram~3028 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y12_N54
+cyclonev_lcell_comb \datamem|ram~4401 (
+// Equation(s):
+// \datamem|ram~4401_combout = ( \datamem|ram~3028_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2772_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~3028_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~2772_q ) ) ) ) # ( \datamem|ram~3028_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2260_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2516_q ))) ) ) ) # ( !\datamem|ram~3028_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2260_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2516_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2260_q ),
+ .datab(!\datamem|ram~2516_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2772_q ),
+ .datae(!\datamem|ram~3028_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4401_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4401 .extended_lut = "off";
+defparam \datamem|ram~4401 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4401 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N54
+cyclonev_lcell_comb \datamem|ram~2132feeder (
+// Equation(s):
+// \datamem|ram~2132feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2132feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2132feeder .extended_lut = "off";
+defparam \datamem|ram~2132feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2132feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N56
+dffeas \datamem|ram~2132 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2132feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2132_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2132 .is_wysiwyg = "true";
+defparam \datamem|ram~2132 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N48
+cyclonev_lcell_comb \datamem|ram~2388feeder (
+// Equation(s):
+// \datamem|ram~2388feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2388feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2388feeder .extended_lut = "off";
+defparam \datamem|ram~2388feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2388feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N49
+dffeas \datamem|ram~2388 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2388feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2388_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2388 .is_wysiwyg = "true";
+defparam \datamem|ram~2388 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N55
+dffeas \datamem|ram~2644 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2644_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2644 .is_wysiwyg = "true";
+defparam \datamem|ram~2644 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y12_N14
+dffeas \datamem|ram~2900 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2900_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2900 .is_wysiwyg = "true";
+defparam \datamem|ram~2900 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y12_N12
+cyclonev_lcell_comb \datamem|ram~4399 (
+// Equation(s):
+// \datamem|ram~4399_combout = ( \datamem|ram~2900_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2644_q ) ) ) ) # ( !\datamem|ram~2900_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2644_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2900_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2132_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2388_q ))) ) ) ) # ( !\datamem|ram~2900_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2132_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2388_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2132_q ),
+ .datab(!\datamem|ram~2388_q ),
+ .datac(!\datamem|ram~2644_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~2900_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4399_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4399 .extended_lut = "off";
+defparam \datamem|ram~4399 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4399 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N3
+cyclonev_lcell_comb \datamem|ram~2708feeder (
+// Equation(s):
+// \datamem|ram~2708feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2708feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2708feeder .extended_lut = "off";
+defparam \datamem|ram~2708feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2708feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N5
+dffeas \datamem|ram~2708 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2708feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2708_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2708 .is_wysiwyg = "true";
+defparam \datamem|ram~2708 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N26
+dffeas \datamem|ram~2964 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2964_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2964 .is_wysiwyg = "true";
+defparam \datamem|ram~2964 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N21
+cyclonev_lcell_comb \datamem|ram~2196feeder (
+// Equation(s):
+// \datamem|ram~2196feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2196feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2196feeder .extended_lut = "off";
+defparam \datamem|ram~2196feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2196feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N23
+dffeas \datamem|ram~2196 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2196feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2196_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2196 .is_wysiwyg = "true";
+defparam \datamem|ram~2196 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N46
+dffeas \datamem|ram~2452 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2452_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2452 .is_wysiwyg = "true";
+defparam \datamem|ram~2452 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y10_N18
+cyclonev_lcell_comb \datamem|ram~4400 (
+// Equation(s):
+// \datamem|ram~4400_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2964_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2452_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~2708_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~2196_q ) ) )
+
+ .dataa(!\datamem|ram~2708_q ),
+ .datab(!\datamem|ram~2964_q ),
+ .datac(!\datamem|ram~2196_q ),
+ .datad(!\datamem|ram~2452_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4400_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4400 .extended_lut = "off";
+defparam \datamem|ram~4400 .lut_mask = 64'h0F0F555500FF3333;
+defparam \datamem|ram~4400 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N18
+cyclonev_lcell_comb \datamem|ram~4402 (
+// Equation(s):
+// \datamem|ram~4402_combout = ( \datamem|ram~4399_combout & ( \datamem|ram~4400_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4398_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~4401_combout )))) ) ) ) # ( !\datamem|ram~4399_combout & ( \datamem|ram~4400_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4398_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\alu_unit|Mux11~4_combout & ((\datamem|ram~4401_combout )))) ) ) ) # ( \datamem|ram~4399_combout & ( !\datamem|ram~4400_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4398_combout ))) #
+// (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4401_combout )))) ) ) ) # ( !\datamem|ram~4399_combout & ( !\datamem|ram~4400_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4398_combout ))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~4401_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4398_combout ),
+ .datad(!\datamem|ram~4401_combout ),
+ .datae(!\datamem|ram~4399_combout ),
+ .dataf(!\datamem|ram~4400_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4402_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4402 .extended_lut = "off";
+defparam \datamem|ram~4402 .lut_mask = 64'h08194C5D2A3B6E7F;
+defparam \datamem|ram~4402 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N52
+dffeas \datamem|ram~2100 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2100_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2100 .is_wysiwyg = "true";
+defparam \datamem|ram~2100 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N34
+dffeas \datamem|ram~2164 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2164_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2164 .is_wysiwyg = "true";
+defparam \datamem|ram~2164 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X78_Y12_N27
+cyclonev_lcell_comb \datamem|ram~2228feeder (
+// Equation(s):
+// \datamem|ram~2228feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2228feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2228feeder .extended_lut = "off";
+defparam \datamem|ram~2228feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2228feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N28
+dffeas \datamem|ram~2228 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2228feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2228_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2228 .is_wysiwyg = "true";
+defparam \datamem|ram~2228 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y10_N50
+dffeas \datamem|ram~2292 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2292_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2292 .is_wysiwyg = "true";
+defparam \datamem|ram~2292 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y10_N48
+cyclonev_lcell_comb \datamem|ram~4408 (
+// Equation(s):
+// \datamem|ram~4408_combout = ( \datamem|ram~2292_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2228_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2292_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2228_q ) ) ) ) # ( \datamem|ram~2292_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2100_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2164_q ))) ) ) ) # ( !\datamem|ram~2292_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2100_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2164_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2100_q ),
+ .datab(!\datamem|ram~2164_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2228_q ),
+ .datae(!\datamem|ram~2292_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4408_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4408 .extended_lut = "off";
+defparam \datamem|ram~4408 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4408 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N7
+dffeas \datamem|ram~2420 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2420_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2420 .is_wysiwyg = "true";
+defparam \datamem|ram~2420 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N40
+dffeas \datamem|ram~2484 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2484_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2484 .is_wysiwyg = "true";
+defparam \datamem|ram~2484 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y19_N48
+cyclonev_lcell_comb \datamem|ram~2356feeder (
+// Equation(s):
+// \datamem|ram~2356feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2356feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2356feeder .extended_lut = "off";
+defparam \datamem|ram~2356feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2356feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y19_N49
+dffeas \datamem|ram~2356 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2356feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2356_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2356 .is_wysiwyg = "true";
+defparam \datamem|ram~2356 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y10_N44
+dffeas \datamem|ram~2548 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2548_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2548 .is_wysiwyg = "true";
+defparam \datamem|ram~2548 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y10_N42
+cyclonev_lcell_comb \datamem|ram~4409 (
+// Equation(s):
+// \datamem|ram~4409_combout = ( \datamem|ram~2548_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2484_q ) ) ) ) # ( !\datamem|ram~2548_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2484_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2548_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2356_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2420_q )) ) ) ) # ( !\datamem|ram~2548_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2356_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2420_q )) ) ) )
+
+ .dataa(!\datamem|ram~2420_q ),
+ .datab(!\datamem|ram~2484_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2356_q ),
+ .datae(!\datamem|ram~2548_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4409_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4409 .extended_lut = "off";
+defparam \datamem|ram~4409 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4409 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N48
+cyclonev_lcell_comb \datamem|ram~2740feeder (
+// Equation(s):
+// \datamem|ram~2740feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2740feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2740feeder .extended_lut = "off";
+defparam \datamem|ram~2740feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2740feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N49
+dffeas \datamem|ram~2740 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2740feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2740_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2740 .is_wysiwyg = "true";
+defparam \datamem|ram~2740 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N55
+dffeas \datamem|ram~2612 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2612_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2612 .is_wysiwyg = "true";
+defparam \datamem|ram~2612 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N12
+cyclonev_lcell_comb \datamem|ram~2676feeder (
+// Equation(s):
+// \datamem|ram~2676feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2676feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2676feeder .extended_lut = "off";
+defparam \datamem|ram~2676feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2676feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N13
+dffeas \datamem|ram~2676 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2676feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2676_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2676 .is_wysiwyg = "true";
+defparam \datamem|ram~2676 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y10_N2
+dffeas \datamem|ram~2804 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2804_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2804 .is_wysiwyg = "true";
+defparam \datamem|ram~2804 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y10_N0
+cyclonev_lcell_comb \datamem|ram~4410 (
+// Equation(s):
+// \datamem|ram~4410_combout = ( \datamem|ram~2804_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2740_q ) ) ) ) # ( !\datamem|ram~2804_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2740_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2804_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2612_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2676_q ))) ) ) ) # ( !\datamem|ram~2804_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2612_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2676_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2740_q ),
+ .datab(!\datamem|ram~2612_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2676_q ),
+ .datae(!\datamem|ram~2804_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4410_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4410 .extended_lut = "off";
+defparam \datamem|ram~4410 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4410 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y11_N19
+dffeas \datamem|ram~2932 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2932_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2932 .is_wysiwyg = "true";
+defparam \datamem|ram~2932 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y7_N57
+cyclonev_lcell_comb \datamem|ram~2996feeder (
+// Equation(s):
+// \datamem|ram~2996feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2996feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2996feeder .extended_lut = "off";
+defparam \datamem|ram~2996feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2996feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y7_N59
+dffeas \datamem|ram~2996 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2996feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2996_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2996 .is_wysiwyg = "true";
+defparam \datamem|ram~2996 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y7_N27
+cyclonev_lcell_comb \datamem|ram~2868feeder (
+// Equation(s):
+// \datamem|ram~2868feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2868feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2868feeder .extended_lut = "off";
+defparam \datamem|ram~2868feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2868feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y7_N29
+dffeas \datamem|ram~2868 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2868feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2868_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2868 .is_wysiwyg = "true";
+defparam \datamem|ram~2868 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y7_N14
+dffeas \datamem|ram~3060 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3060_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3060 .is_wysiwyg = "true";
+defparam \datamem|ram~3060 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y7_N12
+cyclonev_lcell_comb \datamem|ram~4411 (
+// Equation(s):
+// \datamem|ram~4411_combout = ( \datamem|ram~3060_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2996_q ) ) ) ) # ( !\datamem|ram~3060_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2996_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3060_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2868_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2932_q )) ) ) ) # ( !\datamem|ram~3060_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2868_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2932_q )) ) ) )
+
+ .dataa(!\datamem|ram~2932_q ),
+ .datab(!\datamem|ram~2996_q ),
+ .datac(!\datamem|ram~2868_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3060_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4411_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4411 .extended_lut = "off";
+defparam \datamem|ram~4411 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4411 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y10_N18
+cyclonev_lcell_comb \datamem|ram~4412 (
+// Equation(s):
+// \datamem|ram~4412_combout = ( \datamem|ram~4410_combout & ( \datamem|ram~4411_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4408_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4409_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4410_combout & ( \datamem|ram~4411_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~4408_combout ))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4409_combout )) # (\alu_unit|Mux9~4_combout )))
+// ) ) ) # ( \datamem|ram~4410_combout & ( !\datamem|ram~4411_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4408_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4409_combout )))) ) ) ) # ( !\datamem|ram~4410_combout & ( !\datamem|ram~4411_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4408_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4409_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4408_combout ),
+ .datad(!\datamem|ram~4409_combout ),
+ .datae(!\datamem|ram~4410_combout ),
+ .dataf(!\datamem|ram~4411_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4412_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4412 .extended_lut = "off";
+defparam \datamem|ram~4412 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~4412 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N30
+cyclonev_lcell_comb \datamem|ram~4413 (
+// Equation(s):
+// \datamem|ram~4413_combout = ( \datamem|ram~4402_combout & ( \datamem|ram~4412_combout & ( ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4397_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4407_combout ))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~4402_combout & ( \datamem|ram~4412_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4397_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4407_combout )))) #
+// (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )))) ) ) ) # ( \datamem|ram~4402_combout & ( !\datamem|ram~4412_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4397_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4407_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4402_combout & ( !\datamem|ram~4412_combout & ( (!\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4397_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4407_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4407_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4397_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4402_combout ),
+ .dataf(!\datamem|ram~4412_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4413_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4413 .extended_lut = "off";
+defparam \datamem|ram~4413 .lut_mask = 64'h0C443F440C773F77;
+defparam \datamem|ram~4413 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N48
+cyclonev_lcell_comb \datamem|ram~548feeder (
+// Equation(s):
+// \datamem|ram~548feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~548feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~548feeder .extended_lut = "off";
+defparam \datamem|ram~548feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~548feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N50
+dffeas \datamem|ram~548 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~548feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~548_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~548 .is_wysiwyg = "true";
+defparam \datamem|ram~548 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N57
+cyclonev_lcell_comb \datamem|ram~516feeder (
+// Equation(s):
+// \datamem|ram~516feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~516feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~516feeder .extended_lut = "off";
+defparam \datamem|ram~516feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~516feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N59
+dffeas \datamem|ram~516 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~516feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~516_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~516 .is_wysiwyg = "true";
+defparam \datamem|ram~516 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y10_N3
+cyclonev_lcell_comb \datamem|ram~532feeder (
+// Equation(s):
+// \datamem|ram~532feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~532feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~532feeder .extended_lut = "off";
+defparam \datamem|ram~532feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~532feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y10_N4
+dffeas \datamem|ram~532 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~532feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~532_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~532 .is_wysiwyg = "true";
+defparam \datamem|ram~532 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N8
+dffeas \datamem|ram~564 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~564_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~564 .is_wysiwyg = "true";
+defparam \datamem|ram~564 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N6
+cyclonev_lcell_comb \datamem|ram~4353 (
+// Equation(s):
+// \datamem|ram~4353_combout = ( \datamem|ram~564_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~532_q ) ) ) ) # ( !\datamem|ram~564_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~532_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~564_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~516_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~548_q )) ) ) ) # ( !\datamem|ram~564_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~516_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~548_q )) ) ) )
+
+ .dataa(!\datamem|ram~548_q ),
+ .datab(!\datamem|ram~516_q ),
+ .datac(!\datamem|ram~532_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~564_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4353_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4353 .extended_lut = "off";
+defparam \datamem|ram~4353 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4353 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y11_N51
+cyclonev_lcell_comb \datamem|ram~788feeder (
+// Equation(s):
+// \datamem|ram~788feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~788feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~788feeder .extended_lut = "off";
+defparam \datamem|ram~788feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~788feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y11_N52
+dffeas \datamem|ram~788 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~788feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~788_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~788 .is_wysiwyg = "true";
+defparam \datamem|ram~788 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N9
+cyclonev_lcell_comb \datamem|ram~772feeder (
+// Equation(s):
+// \datamem|ram~772feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~772feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~772feeder .extended_lut = "off";
+defparam \datamem|ram~772feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~772feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N10
+dffeas \datamem|ram~772 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~772feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~772_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~772 .is_wysiwyg = "true";
+defparam \datamem|ram~772 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y8_N36
+cyclonev_lcell_comb \datamem|ram~804feeder (
+// Equation(s):
+// \datamem|ram~804feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~804feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~804feeder .extended_lut = "off";
+defparam \datamem|ram~804feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~804feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N37
+dffeas \datamem|ram~804 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~804feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~804_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~804 .is_wysiwyg = "true";
+defparam \datamem|ram~804 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y7_N44
+dffeas \datamem|ram~820 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~820_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~820 .is_wysiwyg = "true";
+defparam \datamem|ram~820 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y7_N42
+cyclonev_lcell_comb \datamem|ram~4354 (
+// Equation(s):
+// \datamem|ram~4354_combout = ( \datamem|ram~820_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~788_q ) ) ) ) # ( !\datamem|ram~820_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~788_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~820_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~772_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~804_q ))) ) ) ) # ( !\datamem|ram~820_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~772_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~804_q ))) ) ) )
+
+ .dataa(!\datamem|ram~788_q ),
+ .datab(!\datamem|ram~772_q ),
+ .datac(!\datamem|ram~804_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~820_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4354_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4354 .extended_lut = "off";
+defparam \datamem|ram~4354 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4354 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N16
+dffeas \datamem|ram~52 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~52_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~52 .is_wysiwyg = "true";
+defparam \datamem|ram~52 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N4
+dffeas \datamem|ram~4 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4 .is_wysiwyg = "true";
+defparam \datamem|ram~4 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N34
+dffeas \datamem|ram~36 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~36_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~36 .is_wysiwyg = "true";
+defparam \datamem|ram~36 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y7_N8
+dffeas \datamem|ram~20 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~20_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~20 .is_wysiwyg = "true";
+defparam \datamem|ram~20 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y7_N6
+cyclonev_lcell_comb \datamem|ram~4351 (
+// Equation(s):
+// \datamem|ram~4351_combout = ( \datamem|ram~20_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~52_q ) ) ) ) # ( !\datamem|ram~20_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~52_q & \alu_unit|Mux13~4_combout ) )
+// ) ) # ( \datamem|ram~20_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~36_q ))) ) ) ) # ( !\datamem|ram~20_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~4_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~36_q ))) ) ) )
+
+ .dataa(!\datamem|ram~52_q ),
+ .datab(!\datamem|ram~4_q ),
+ .datac(!\datamem|ram~36_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~20_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4351_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4351 .extended_lut = "off";
+defparam \datamem|ram~4351 .lut_mask = 64'h330F330F0055FF55;
+defparam \datamem|ram~4351 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y4_N15
+cyclonev_lcell_comb \datamem|ram~260feeder (
+// Equation(s):
+// \datamem|ram~260feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~260feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~260feeder .extended_lut = "off";
+defparam \datamem|ram~260feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~260feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y4_N16
+dffeas \datamem|ram~260 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~260feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~260_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~260 .is_wysiwyg = "true";
+defparam \datamem|ram~260 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N19
+dffeas \datamem|ram~292 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~292_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~292 .is_wysiwyg = "true";
+defparam \datamem|ram~292 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N19
+dffeas \datamem|ram~308 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~308_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~308 .is_wysiwyg = "true";
+defparam \datamem|ram~308 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y7_N26
+dffeas \datamem|ram~276 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~276_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~276 .is_wysiwyg = "true";
+defparam \datamem|ram~276 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y7_N24
+cyclonev_lcell_comb \datamem|ram~4352 (
+// Equation(s):
+// \datamem|ram~4352_combout = ( \datamem|ram~276_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~308_q ) ) ) ) # ( !\datamem|ram~276_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~308_q & \alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~276_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~260_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~292_q ))) ) ) ) # ( !\datamem|ram~276_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~260_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~292_q ))) ) ) )
+
+ .dataa(!\datamem|ram~260_q ),
+ .datab(!\datamem|ram~292_q ),
+ .datac(!\datamem|ram~308_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~276_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4352_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4352 .extended_lut = "off";
+defparam \datamem|ram~4352 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~4352 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y7_N12
+cyclonev_lcell_comb \datamem|ram~4355 (
+// Equation(s):
+// \datamem|ram~4355_combout = ( \datamem|ram~4351_combout & ( \datamem|ram~4352_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4353_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4354_combout )))) ) )
+// ) # ( !\datamem|ram~4351_combout & ( \datamem|ram~4352_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout )) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4353_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4354_combout ))))) ) ) ) # ( \datamem|ram~4351_combout & ( !\datamem|ram~4352_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout )) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4353_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4354_combout ))))) ) ) ) # ( !\datamem|ram~4351_combout & ( !\datamem|ram~4352_combout & ( (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4353_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4354_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4353_combout ),
+ .datad(!\datamem|ram~4354_combout ),
+ .datae(!\datamem|ram~4351_combout ),
+ .dataf(!\datamem|ram~4352_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4355_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4355 .extended_lut = "off";
+defparam \datamem|ram~4355 .lut_mask = 64'h04158C9D2637AEBF;
+defparam \datamem|ram~4355 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N48
+cyclonev_lcell_comb \datamem|ram~996feeder (
+// Equation(s):
+// \datamem|ram~996feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~996feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~996feeder .extended_lut = "off";
+defparam \datamem|ram~996feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~996feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N50
+dffeas \datamem|ram~996 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~996feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~996_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~996 .is_wysiwyg = "true";
+defparam \datamem|ram~996 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N55
+dffeas \datamem|ram~964 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~964_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~964 .is_wysiwyg = "true";
+defparam \datamem|ram~964 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y16_N7
+dffeas \datamem|ram~1012 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1012_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1012 .is_wysiwyg = "true";
+defparam \datamem|ram~1012 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N28
+dffeas \datamem|ram~980 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~980_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~980 .is_wysiwyg = "true";
+defparam \datamem|ram~980 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y16_N24
+cyclonev_lcell_comb \datamem|ram~4369 (
+// Equation(s):
+// \datamem|ram~4369_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~1012_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~980_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~996_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~964_q ) ) )
+
+ .dataa(!\datamem|ram~996_q ),
+ .datab(!\datamem|ram~964_q ),
+ .datac(!\datamem|ram~1012_q ),
+ .datad(!\datamem|ram~980_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4369_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4369 .extended_lut = "off";
+defparam \datamem|ram~4369 .lut_mask = 64'h3333555500FF0F0F;
+defparam \datamem|ram~4369 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N36
+cyclonev_lcell_comb \datamem|ram~452feeder (
+// Equation(s):
+// \datamem|ram~452feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~452feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~452feeder .extended_lut = "off";
+defparam \datamem|ram~452feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~452feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N38
+dffeas \datamem|ram~452 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~452feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~452_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~452 .is_wysiwyg = "true";
+defparam \datamem|ram~452 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N17
+dffeas \datamem|ram~468 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~468_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~468 .is_wysiwyg = "true";
+defparam \datamem|ram~468 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N40
+dffeas \datamem|ram~484 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~484_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~484 .is_wysiwyg = "true";
+defparam \datamem|ram~484 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N32
+dffeas \datamem|ram~500 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~500_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~500 .is_wysiwyg = "true";
+defparam \datamem|ram~500 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N30
+cyclonev_lcell_comb \datamem|ram~4367 (
+// Equation(s):
+// \datamem|ram~4367_combout = ( \datamem|ram~500_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~484_q ) ) ) ) # ( !\datamem|ram~500_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~484_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~500_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~452_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~468_q ))) ) ) ) # ( !\datamem|ram~500_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~452_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~468_q ))) ) ) )
+
+ .dataa(!\datamem|ram~452_q ),
+ .datab(!\datamem|ram~468_q ),
+ .datac(!\datamem|ram~484_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~500_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4367_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4367 .extended_lut = "off";
+defparam \datamem|ram~4367 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4367 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N34
+dffeas \datamem|ram~708 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~708_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~708 .is_wysiwyg = "true";
+defparam \datamem|ram~708 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N5
+dffeas \datamem|ram~740 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~740_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~740 .is_wysiwyg = "true";
+defparam \datamem|ram~740 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y4_N36
+cyclonev_lcell_comb \datamem|ram~724feeder (
+// Equation(s):
+// \datamem|ram~724feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~724feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~724feeder .extended_lut = "off";
+defparam \datamem|ram~724feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~724feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y4_N38
+dffeas \datamem|ram~724 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~724feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~724_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~724 .is_wysiwyg = "true";
+defparam \datamem|ram~724 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y4_N44
+dffeas \datamem|ram~756 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~756_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~756 .is_wysiwyg = "true";
+defparam \datamem|ram~756 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y4_N42
+cyclonev_lcell_comb \datamem|ram~4368 (
+// Equation(s):
+// \datamem|ram~4368_combout = ( \datamem|ram~756_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~740_q ) ) ) ) # ( !\datamem|ram~756_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~740_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~756_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~708_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~724_q ))) ) ) ) # ( !\datamem|ram~756_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~708_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~724_q ))) ) ) )
+
+ .dataa(!\datamem|ram~708_q ),
+ .datab(!\datamem|ram~740_q ),
+ .datac(!\datamem|ram~724_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~756_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4368_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4368 .extended_lut = "off";
+defparam \datamem|ram~4368 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4368 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N45
+cyclonev_lcell_comb \datamem|ram~212feeder (
+// Equation(s):
+// \datamem|ram~212feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~212feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~212feeder .extended_lut = "off";
+defparam \datamem|ram~212feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~212feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N46
+dffeas \datamem|ram~212 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~212feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~212_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~212 .is_wysiwyg = "true";
+defparam \datamem|ram~212 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N56
+dffeas \datamem|ram~196 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~196_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~196 .is_wysiwyg = "true";
+defparam \datamem|ram~196 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y21_N27
+cyclonev_lcell_comb \datamem|ram~228feeder (
+// Equation(s):
+// \datamem|ram~228feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~228feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~228feeder .extended_lut = "off";
+defparam \datamem|ram~228feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~228feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y21_N28
+dffeas \datamem|ram~228 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~228feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~228_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~228 .is_wysiwyg = "true";
+defparam \datamem|ram~228 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y4_N56
+dffeas \datamem|ram~244 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~244_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~244 .is_wysiwyg = "true";
+defparam \datamem|ram~244 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y4_N54
+cyclonev_lcell_comb \datamem|ram~4366 (
+// Equation(s):
+// \datamem|ram~4366_combout = ( \datamem|ram~244_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~228_q ) ) ) ) # ( !\datamem|ram~244_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~228_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~244_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~196_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~212_q )) ) ) ) # ( !\datamem|ram~244_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & ((\datamem|ram~196_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~212_q )) ) ) )
+
+ .dataa(!\datamem|ram~212_q ),
+ .datab(!\datamem|ram~196_q ),
+ .datac(!\datamem|ram~228_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~244_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4366_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4366 .extended_lut = "off";
+defparam \datamem|ram~4366 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4366 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N12
+cyclonev_lcell_comb \datamem|ram~4370 (
+// Equation(s):
+// \datamem|ram~4370_combout = ( \datamem|ram~4368_combout & ( \datamem|ram~4366_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4367_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4369_combout ))) ) ) )
+// # ( !\datamem|ram~4368_combout & ( \datamem|ram~4366_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4367_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4369_combout )))) ) ) ) # ( \datamem|ram~4368_combout & ( !\datamem|ram~4366_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4367_combout
+// ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4369_combout )))) ) ) ) # ( !\datamem|ram~4368_combout & ( !\datamem|ram~4366_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4367_combout ))) #
+// (\alu_unit|Mux9~4_combout & (\datamem|ram~4369_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4369_combout ),
+ .datad(!\datamem|ram~4367_combout ),
+ .datae(!\datamem|ram~4368_combout ),
+ .dataf(!\datamem|ram~4366_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4370_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4370 .extended_lut = "off";
+defparam \datamem|ram~4370 .lut_mask = 64'h0145236789CDABEF;
+defparam \datamem|ram~4370 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N30
+cyclonev_lcell_comb \datamem|ram~164feeder (
+// Equation(s):
+// \datamem|ram~164feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~164feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~164feeder .extended_lut = "off";
+defparam \datamem|ram~164feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~164feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N31
+dffeas \datamem|ram~164 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~164feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~164_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~164 .is_wysiwyg = "true";
+defparam \datamem|ram~164 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N57
+cyclonev_lcell_comb \datamem|ram~676feeder (
+// Equation(s):
+// \datamem|ram~676feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~676feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~676feeder .extended_lut = "off";
+defparam \datamem|ram~676feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~676feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N59
+dffeas \datamem|ram~676 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~676feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~676_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~676 .is_wysiwyg = "true";
+defparam \datamem|ram~676 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N11
+dffeas \datamem|ram~420 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~420_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~420 .is_wysiwyg = "true";
+defparam \datamem|ram~420 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y10_N24
+cyclonev_lcell_comb \datamem|ram~932feeder (
+// Equation(s):
+// \datamem|ram~932feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~932feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~932feeder .extended_lut = "off";
+defparam \datamem|ram~932feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~932feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N25
+dffeas \datamem|ram~932 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~932feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~932_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~932 .is_wysiwyg = "true";
+defparam \datamem|ram~932 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y11_N42
+cyclonev_lcell_comb \datamem|ram~4363 (
+// Equation(s):
+// \datamem|ram~4363_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~932_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~420_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~676_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~164_q ) ) )
+
+ .dataa(!\datamem|ram~164_q ),
+ .datab(!\datamem|ram~676_q ),
+ .datac(!\datamem|ram~420_q ),
+ .datad(!\datamem|ram~932_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4363_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4363 .extended_lut = "off";
+defparam \datamem|ram~4363 .lut_mask = 64'h555533330F0F00FF;
+defparam \datamem|ram~4363 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y4_N30
+cyclonev_lcell_comb \datamem|ram~180feeder (
+// Equation(s):
+// \datamem|ram~180feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~180feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~180feeder .extended_lut = "off";
+defparam \datamem|ram~180feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~180feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y4_N31
+dffeas \datamem|ram~180 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~180feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~180_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~180 .is_wysiwyg = "true";
+defparam \datamem|ram~180 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N21
+cyclonev_lcell_comb \datamem|ram~692feeder (
+// Equation(s):
+// \datamem|ram~692feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~692feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~692feeder .extended_lut = "off";
+defparam \datamem|ram~692feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~692feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N22
+dffeas \datamem|ram~692 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~692feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~692_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~692 .is_wysiwyg = "true";
+defparam \datamem|ram~692 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y11_N15
+cyclonev_lcell_comb \datamem|ram~436feeder (
+// Equation(s):
+// \datamem|ram~436feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~436feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~436feeder .extended_lut = "off";
+defparam \datamem|ram~436feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~436feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y11_N16
+dffeas \datamem|ram~436 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~436feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~436_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~436 .is_wysiwyg = "true";
+defparam \datamem|ram~436 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y14_N56
+dffeas \datamem|ram~948 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~948_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~948 .is_wysiwyg = "true";
+defparam \datamem|ram~948 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y14_N54
+cyclonev_lcell_comb \datamem|ram~4364 (
+// Equation(s):
+// \datamem|ram~4364_combout = ( \datamem|ram~948_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~692_q ) ) ) ) # ( !\datamem|ram~948_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~692_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~948_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~180_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~436_q ))) ) ) ) # ( !\datamem|ram~948_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & (\datamem|ram~180_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~436_q ))) ) ) )
+
+ .dataa(!\datamem|ram~180_q ),
+ .datab(!\datamem|ram~692_q ),
+ .datac(!\datamem|ram~436_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~948_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4364_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4364 .extended_lut = "off";
+defparam \datamem|ram~4364 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4364 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N40
+dffeas \datamem|ram~404 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~404_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~404 .is_wysiwyg = "true";
+defparam \datamem|ram~404 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y17_N54
+cyclonev_lcell_comb \datamem|ram~660feeder (
+// Equation(s):
+// \datamem|ram~660feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~660feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~660feeder .extended_lut = "off";
+defparam \datamem|ram~660feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~660feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y17_N55
+dffeas \datamem|ram~660 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~660feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~660_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~660 .is_wysiwyg = "true";
+defparam \datamem|ram~660 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N52
+dffeas \datamem|ram~148 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~148_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~148 .is_wysiwyg = "true";
+defparam \datamem|ram~148 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N56
+dffeas \datamem|ram~916 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~916_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~916 .is_wysiwyg = "true";
+defparam \datamem|ram~916 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y11_N54
+cyclonev_lcell_comb \datamem|ram~4362 (
+// Equation(s):
+// \datamem|ram~4362_combout = ( \datamem|ram~916_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~404_q ) ) ) ) # ( !\datamem|ram~916_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~404_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~916_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~148_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~660_q )) ) ) ) # ( !\datamem|ram~916_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~148_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~660_q )) ) ) )
+
+ .dataa(!\datamem|ram~404_q ),
+ .datab(!\datamem|ram~660_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~148_q ),
+ .datae(!\datamem|ram~916_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4362_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4362 .extended_lut = "off";
+defparam \datamem|ram~4362 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4362 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N20
+dffeas \datamem|ram~644 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~644_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~644 .is_wysiwyg = "true";
+defparam \datamem|ram~644 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N22
+dffeas \datamem|ram~388 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~388_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~388 .is_wysiwyg = "true";
+defparam \datamem|ram~388 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N8
+dffeas \datamem|ram~132 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~132_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~132 .is_wysiwyg = "true";
+defparam \datamem|ram~132 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N44
+dffeas \datamem|ram~900 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~900_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~900 .is_wysiwyg = "true";
+defparam \datamem|ram~900 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N42
+cyclonev_lcell_comb \datamem|ram~4361 (
+// Equation(s):
+// \datamem|ram~4361_combout = ( \datamem|ram~900_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~388_q ) ) ) ) # ( !\datamem|ram~900_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~388_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~900_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~132_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~644_q )) ) ) ) # ( !\datamem|ram~900_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~132_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~644_q )) ) ) )
+
+ .dataa(!\datamem|ram~644_q ),
+ .datab(!\datamem|ram~388_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~132_q ),
+ .datae(!\datamem|ram~900_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4361_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4361 .extended_lut = "off";
+defparam \datamem|ram~4361 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4361 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y11_N27
+cyclonev_lcell_comb \datamem|ram~4365 (
+// Equation(s):
+// \datamem|ram~4365_combout = ( \datamem|ram~4362_combout & ( \datamem|ram~4361_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4363_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4364_combout )))) ) )
+// ) # ( !\datamem|ram~4362_combout & ( \datamem|ram~4361_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4363_combout )) #
+// (\alu_unit|Mux14~6_combout & ((\datamem|ram~4364_combout ))))) ) ) ) # ( \datamem|ram~4362_combout & ( !\datamem|ram~4361_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4363_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4364_combout ))))) ) ) ) # ( !\datamem|ram~4362_combout & ( !\datamem|ram~4361_combout & ( (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & (\datamem|ram~4363_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4364_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~4363_combout ),
+ .datac(!\datamem|ram~4364_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4362_combout ),
+ .dataf(!\datamem|ram~4361_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4365_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4365 .extended_lut = "off";
+defparam \datamem|ram~4365 .lut_mask = 64'h110511AFBB05BBAF;
+defparam \datamem|ram~4365 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N40
+dffeas \datamem|ram~836 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~836_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~836 .is_wysiwyg = "true";
+defparam \datamem|ram~836 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y7_N44
+dffeas \datamem|ram~852 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~852_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~852 .is_wysiwyg = "true";
+defparam \datamem|ram~852 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y6_N12
+cyclonev_lcell_comb \datamem|ram~868feeder (
+// Equation(s):
+// \datamem|ram~868feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~868feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~868feeder .extended_lut = "off";
+defparam \datamem|ram~868feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~868feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y6_N13
+dffeas \datamem|ram~868 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~868feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~868_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~868 .is_wysiwyg = "true";
+defparam \datamem|ram~868 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y7_N29
+dffeas \datamem|ram~884 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~884_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~884 .is_wysiwyg = "true";
+defparam \datamem|ram~884 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y7_N27
+cyclonev_lcell_comb \datamem|ram~4359 (
+// Equation(s):
+// \datamem|ram~4359_combout = ( \datamem|ram~884_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~868_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~884_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & \datamem|ram~868_q
+// ) ) ) ) # ( \datamem|ram~884_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~836_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~852_q ))) ) ) ) # ( !\datamem|ram~884_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~836_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~852_q ))) ) ) )
+
+ .dataa(!\datamem|ram~836_q ),
+ .datab(!\datamem|ram~852_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~868_q ),
+ .datae(!\datamem|ram~884_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4359_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4359 .extended_lut = "off";
+defparam \datamem|ram~4359 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4359 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N20
+dffeas \datamem|ram~324 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~324_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~324 .is_wysiwyg = "true";
+defparam \datamem|ram~324 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y8_N13
+dffeas \datamem|ram~372 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~372_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~372 .is_wysiwyg = "true";
+defparam \datamem|ram~372 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N38
+dffeas \datamem|ram~356 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~356_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~356 .is_wysiwyg = "true";
+defparam \datamem|ram~356 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y10_N26
+dffeas \datamem|ram~340 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~340_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~340 .is_wysiwyg = "true";
+defparam \datamem|ram~340 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4357 (
+// Equation(s):
+// \datamem|ram~4357_combout = ( \datamem|ram~340_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~372_q ) ) ) ) # ( !\datamem|ram~340_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~372_q & \alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~340_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~324_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~356_q ))) ) ) ) # ( !\datamem|ram~340_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~324_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~356_q ))) ) ) )
+
+ .dataa(!\datamem|ram~324_q ),
+ .datab(!\datamem|ram~372_q ),
+ .datac(!\datamem|ram~356_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~340_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4357_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4357 .extended_lut = "off";
+defparam \datamem|ram~4357 .lut_mask = 64'h550F550F0033FF33;
+defparam \datamem|ram~4357 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y4_N21
+cyclonev_lcell_comb \datamem|ram~116feeder (
+// Equation(s):
+// \datamem|ram~116feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~116feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~116feeder .extended_lut = "off";
+defparam \datamem|ram~116feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~116feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y4_N22
+dffeas \datamem|ram~116 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~116feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~116_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~116 .is_wysiwyg = "true";
+defparam \datamem|ram~116 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N24
+cyclonev_lcell_comb \datamem|ram~100feeder (
+// Equation(s):
+// \datamem|ram~100feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~100feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~100feeder .extended_lut = "off";
+defparam \datamem|ram~100feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~100feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N25
+dffeas \datamem|ram~100 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~100feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~100_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~100 .is_wysiwyg = "true";
+defparam \datamem|ram~100 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N22
+dffeas \datamem|ram~68 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~68_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~68 .is_wysiwyg = "true";
+defparam \datamem|ram~68 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N41
+dffeas \datamem|ram~84 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~84_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~84 .is_wysiwyg = "true";
+defparam \datamem|ram~84 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N39
+cyclonev_lcell_comb \datamem|ram~4356 (
+// Equation(s):
+// \datamem|ram~4356_combout = ( \datamem|ram~84_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~116_q ) ) ) ) # ( !\datamem|ram~84_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~116_q & \alu_unit|Mux13~4_combout )
+// ) ) ) # ( \datamem|ram~84_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~68_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~100_q )) ) ) ) # ( !\datamem|ram~84_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~68_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~100_q )) ) ) )
+
+ .dataa(!\datamem|ram~116_q ),
+ .datab(!\datamem|ram~100_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~68_q ),
+ .datae(!\datamem|ram~84_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4356_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4356 .extended_lut = "off";
+defparam \datamem|ram~4356 .lut_mask = 64'h03F303F30505F5F5;
+defparam \datamem|ram~4356 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N36
+cyclonev_lcell_comb \datamem|ram~580feeder (
+// Equation(s):
+// \datamem|ram~580feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~580feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~580feeder .extended_lut = "off";
+defparam \datamem|ram~580feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~580feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N38
+dffeas \datamem|ram~580 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~580feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~580_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~580 .is_wysiwyg = "true";
+defparam \datamem|ram~580 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N12
+cyclonev_lcell_comb \datamem|ram~612feeder (
+// Equation(s):
+// \datamem|ram~612feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~612feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~612feeder .extended_lut = "off";
+defparam \datamem|ram~612feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~612feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N14
+dffeas \datamem|ram~612 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~612feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~612_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~612 .is_wysiwyg = "true";
+defparam \datamem|ram~612 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N28
+dffeas \datamem|ram~596 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~596_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~596 .is_wysiwyg = "true";
+defparam \datamem|ram~596 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N32
+dffeas \datamem|ram~628 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~628_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~628 .is_wysiwyg = "true";
+defparam \datamem|ram~628 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y5_N30
+cyclonev_lcell_comb \datamem|ram~4358 (
+// Equation(s):
+// \datamem|ram~4358_combout = ( \datamem|ram~628_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~612_q ) ) ) ) # ( !\datamem|ram~628_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~612_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~628_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~580_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~596_q ))) ) ) ) # ( !\datamem|ram~628_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~580_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~596_q ))) ) ) )
+
+ .dataa(!\datamem|ram~580_q ),
+ .datab(!\datamem|ram~612_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~596_q ),
+ .datae(!\datamem|ram~628_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4358_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4358 .extended_lut = "off";
+defparam \datamem|ram~4358 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4358 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y7_N30
+cyclonev_lcell_comb \datamem|ram~4360 (
+// Equation(s):
+// \datamem|ram~4360_combout = ( \datamem|ram~4356_combout & ( \datamem|ram~4358_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4357_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4359_combout ))) ) ) )
+// # ( !\datamem|ram~4356_combout & ( \datamem|ram~4358_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4357_combout & \alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) # (\datamem|ram~4359_combout
+// ))) ) ) ) # ( \datamem|ram~4356_combout & ( !\datamem|ram~4358_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4357_combout )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4359_combout &
+// ((\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4356_combout & ( !\datamem|ram~4358_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4357_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4359_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4359_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4357_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4356_combout ),
+ .dataf(!\datamem|ram~4358_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4360_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4360 .extended_lut = "off";
+defparam \datamem|ram~4360 .lut_mask = 64'h001DCC1D331DFF1D;
+defparam \datamem|ram~4360 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N54
+cyclonev_lcell_comb \datamem|ram~4371 (
+// Equation(s):
+// \datamem|ram~4371_combout = ( \datamem|ram~4365_combout & ( \datamem|ram~4360_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4355_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~4370_combout )))) ) ) ) # ( !\datamem|ram~4365_combout & ( \datamem|ram~4360_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4355_combout ))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4370_combout )))) ) ) ) # ( \datamem|ram~4365_combout & ( !\datamem|ram~4360_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4355_combout )) # (\alu_unit|Mux11~4_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~4370_combout )))) ) ) ) # ( !\datamem|ram~4365_combout & ( !\datamem|ram~4360_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4355_combout
+// ))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~4370_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4355_combout ),
+ .datad(!\datamem|ram~4370_combout ),
+ .datae(!\datamem|ram~4365_combout ),
+ .dataf(!\datamem|ram~4360_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4371_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4371 .extended_lut = "off";
+defparam \datamem|ram~4371 .lut_mask = 64'h08192A3B4C5D6E7F;
+defparam \datamem|ram~4371 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y8_N57
+cyclonev_lcell_comb \datamem|ram~3108feeder (
+// Equation(s):
+// \datamem|ram~3108feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3108feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3108feeder .extended_lut = "off";
+defparam \datamem|ram~3108feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3108feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N58
+dffeas \datamem|ram~3108 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3108feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3108_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3108 .is_wysiwyg = "true";
+defparam \datamem|ram~3108 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N51
+cyclonev_lcell_comb \datamem|ram~3092feeder (
+// Equation(s):
+// \datamem|ram~3092feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3092feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3092feeder .extended_lut = "off";
+defparam \datamem|ram~3092feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3092feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N53
+dffeas \datamem|ram~3092 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3092feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3092_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3092 .is_wysiwyg = "true";
+defparam \datamem|ram~3092 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N50
+dffeas \datamem|ram~3076 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3076_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3076 .is_wysiwyg = "true";
+defparam \datamem|ram~3076 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y10_N8
+dffeas \datamem|ram~3124 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3124_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3124 .is_wysiwyg = "true";
+defparam \datamem|ram~3124 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N6
+cyclonev_lcell_comb \datamem|ram~4414 (
+// Equation(s):
+// \datamem|ram~4414_combout = ( \datamem|ram~3124_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3092_q ) ) ) ) # ( !\datamem|ram~3124_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3092_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3124_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3076_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3108_q )) ) ) ) # ( !\datamem|ram~3124_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3076_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3108_q )) ) ) )
+
+ .dataa(!\datamem|ram~3108_q ),
+ .datab(!\datamem|ram~3092_q ),
+ .datac(!\datamem|ram~3076_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3124_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4414_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4414 .extended_lut = "off";
+defparam \datamem|ram~4414 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4414 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N39
+cyclonev_lcell_comb \datamem|ram~3876feeder (
+// Equation(s):
+// \datamem|ram~3876feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3876feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3876feeder .extended_lut = "off";
+defparam \datamem|ram~3876feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3876feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N41
+dffeas \datamem|ram~3876 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3876feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3876_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3876 .is_wysiwyg = "true";
+defparam \datamem|ram~3876 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N7
+dffeas \datamem|ram~3844 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3844_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3844 .is_wysiwyg = "true";
+defparam \datamem|ram~3844 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N34
+dffeas \datamem|ram~3860 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3860_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3860 .is_wysiwyg = "true";
+defparam \datamem|ram~3860 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N48
+cyclonev_lcell_comb \datamem|ram~3892feeder (
+// Equation(s):
+// \datamem|ram~3892feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3892feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3892feeder .extended_lut = "off";
+defparam \datamem|ram~3892feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3892feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N49
+dffeas \datamem|ram~3892 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3892feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3892_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3892 .is_wysiwyg = "true";
+defparam \datamem|ram~3892 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y11_N6
+cyclonev_lcell_comb \datamem|ram~4417 (
+// Equation(s):
+// \datamem|ram~4417_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3892_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~3860_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~3876_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~3844_q ) ) )
+
+ .dataa(!\datamem|ram~3876_q ),
+ .datab(!\datamem|ram~3844_q ),
+ .datac(!\datamem|ram~3860_q ),
+ .datad(!\datamem|ram~3892_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4417_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4417 .extended_lut = "off";
+defparam \datamem|ram~4417 .lut_mask = 64'h333355550F0F00FF;
+defparam \datamem|ram~4417 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N36
+cyclonev_lcell_comb \datamem|ram~3364feeder (
+// Equation(s):
+// \datamem|ram~3364feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3364feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3364feeder .extended_lut = "off";
+defparam \datamem|ram~3364feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~3364feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N38
+dffeas \datamem|ram~3364 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3364feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3364_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3364 .is_wysiwyg = "true";
+defparam \datamem|ram~3364 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N21
+cyclonev_lcell_comb \datamem|ram~3348feeder (
+// Equation(s):
+// \datamem|ram~3348feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3348feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3348feeder .extended_lut = "off";
+defparam \datamem|ram~3348feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3348feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N23
+dffeas \datamem|ram~3348 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3348feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3348_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3348 .is_wysiwyg = "true";
+defparam \datamem|ram~3348 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y7_N15
+cyclonev_lcell_comb \datamem|ram~3332feeder (
+// Equation(s):
+// \datamem|ram~3332feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3332feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3332feeder .extended_lut = "off";
+defparam \datamem|ram~3332feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3332feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y7_N16
+dffeas \datamem|ram~3332 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3332feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3332_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3332 .is_wysiwyg = "true";
+defparam \datamem|ram~3332 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N8
+dffeas \datamem|ram~3380 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3380_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3380 .is_wysiwyg = "true";
+defparam \datamem|ram~3380 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N6
+cyclonev_lcell_comb \datamem|ram~4415 (
+// Equation(s):
+// \datamem|ram~4415_combout = ( \datamem|ram~3380_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3348_q ) ) ) ) # ( !\datamem|ram~3380_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3348_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3380_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3332_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3364_q )) ) ) ) # ( !\datamem|ram~3380_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3332_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3364_q )) ) ) )
+
+ .dataa(!\datamem|ram~3364_q ),
+ .datab(!\datamem|ram~3348_q ),
+ .datac(!\datamem|ram~3332_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3380_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4415_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4415 .extended_lut = "off";
+defparam \datamem|ram~4415 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4415 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y7_N6
+cyclonev_lcell_comb \datamem|ram~3620feeder (
+// Equation(s):
+// \datamem|ram~3620feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3620feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3620feeder .extended_lut = "off";
+defparam \datamem|ram~3620feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3620feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y7_N7
+dffeas \datamem|ram~3620 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3620feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3620_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3620 .is_wysiwyg = "true";
+defparam \datamem|ram~3620 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N27
+cyclonev_lcell_comb \datamem|ram~3604feeder (
+// Equation(s):
+// \datamem|ram~3604feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3604feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3604feeder .extended_lut = "off";
+defparam \datamem|ram~3604feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3604feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N29
+dffeas \datamem|ram~3604 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3604feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3604_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3604 .is_wysiwyg = "true";
+defparam \datamem|ram~3604 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N4
+dffeas \datamem|ram~3588 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3588_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3588 .is_wysiwyg = "true";
+defparam \datamem|ram~3588 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y9_N38
+dffeas \datamem|ram~3636 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3636_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3636 .is_wysiwyg = "true";
+defparam \datamem|ram~3636 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y9_N36
+cyclonev_lcell_comb \datamem|ram~4416 (
+// Equation(s):
+// \datamem|ram~4416_combout = ( \datamem|ram~3636_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3620_q ) ) ) ) # ( !\datamem|ram~3636_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3620_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3636_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3588_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3604_q )) ) ) ) # ( !\datamem|ram~3636_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3588_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3604_q )) ) ) )
+
+ .dataa(!\datamem|ram~3620_q ),
+ .datab(!\datamem|ram~3604_q ),
+ .datac(!\datamem|ram~3588_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3636_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4416_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4416 .extended_lut = "off";
+defparam \datamem|ram~4416 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4416 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N48
+cyclonev_lcell_comb \datamem|ram~4418 (
+// Equation(s):
+// \datamem|ram~4418_combout = ( \datamem|ram~4415_combout & ( \datamem|ram~4416_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~4414_combout ))) # (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) #
+// (\datamem|ram~4417_combout )))) ) ) ) # ( !\datamem|ram~4415_combout & ( \datamem|ram~4416_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~4414_combout ))) # (\alu_unit|Mux10~6_combout &
+// (((\datamem|ram~4417_combout & \alu_unit|Mux9~4_combout )))) ) ) ) # ( \datamem|ram~4415_combout & ( !\datamem|ram~4416_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~4414_combout & ((!\alu_unit|Mux9~4_combout )))) #
+// (\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) # (\datamem|ram~4417_combout )))) ) ) ) # ( !\datamem|ram~4415_combout & ( !\datamem|ram~4416_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~4414_combout &
+// ((!\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4417_combout & \alu_unit|Mux9~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4414_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4417_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4415_combout ),
+ .dataf(!\datamem|ram~4416_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4418_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4418 .extended_lut = "off";
+defparam \datamem|ram~4418 .lut_mask = 64'h4403770344CF77CF;
+defparam \datamem|ram~4418 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N51
+cyclonev_lcell_comb \datamem|ram~3684feeder (
+// Equation(s):
+// \datamem|ram~3684feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3684feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3684feeder .extended_lut = "off";
+defparam \datamem|ram~3684feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3684feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N52
+dffeas \datamem|ram~3684 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3684feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3684_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3684 .is_wysiwyg = "true";
+defparam \datamem|ram~3684 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y19_N54
+cyclonev_lcell_comb \datamem|ram~3668feeder (
+// Equation(s):
+// \datamem|ram~3668feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3668feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3668feeder .extended_lut = "off";
+defparam \datamem|ram~3668feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3668feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N55
+dffeas \datamem|ram~3668 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3668feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3668_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3668 .is_wysiwyg = "true";
+defparam \datamem|ram~3668 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y6_N54
+cyclonev_lcell_comb \datamem|ram~3652feeder (
+// Equation(s):
+// \datamem|ram~3652feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3652feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3652feeder .extended_lut = "off";
+defparam \datamem|ram~3652feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3652feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N56
+dffeas \datamem|ram~3652 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3652feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3652_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3652 .is_wysiwyg = "true";
+defparam \datamem|ram~3652 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y8_N2
+dffeas \datamem|ram~3700 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3700_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3700 .is_wysiwyg = "true";
+defparam \datamem|ram~3700 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N0
+cyclonev_lcell_comb \datamem|ram~4421 (
+// Equation(s):
+// \datamem|ram~4421_combout = ( \datamem|ram~3700_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3684_q ) ) ) ) # ( !\datamem|ram~3700_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3684_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3700_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3652_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3668_q )) ) ) ) # ( !\datamem|ram~3700_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3652_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3668_q )) ) ) )
+
+ .dataa(!\datamem|ram~3684_q ),
+ .datab(!\datamem|ram~3668_q ),
+ .datac(!\datamem|ram~3652_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3700_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4421_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4421 .extended_lut = "off";
+defparam \datamem|ram~4421 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4421 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y12_N11
+dffeas \datamem|ram~3908 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3908_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3908 .is_wysiwyg = "true";
+defparam \datamem|ram~3908 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N52
+dffeas \datamem|ram~3924 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3924_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3924 .is_wysiwyg = "true";
+defparam \datamem|ram~3924 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N10
+dffeas \datamem|ram~3940 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3940_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3940 .is_wysiwyg = "true";
+defparam \datamem|ram~3940 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y8_N32
+dffeas \datamem|ram~3956 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3956_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3956 .is_wysiwyg = "true";
+defparam \datamem|ram~3956 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y8_N30
+cyclonev_lcell_comb \datamem|ram~4422 (
+// Equation(s):
+// \datamem|ram~4422_combout = ( \datamem|ram~3956_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3940_q ) ) ) ) # ( !\datamem|ram~3956_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3940_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3956_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3908_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3924_q ))) ) ) ) # ( !\datamem|ram~3956_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3908_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3924_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3908_q ),
+ .datab(!\datamem|ram~3924_q ),
+ .datac(!\datamem|ram~3940_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3956_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4422_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4422 .extended_lut = "off";
+defparam \datamem|ram~4422 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4422 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y8_N7
+dffeas \datamem|ram~3188 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3188_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3188 .is_wysiwyg = "true";
+defparam \datamem|ram~3188 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N56
+dffeas \datamem|ram~3156 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3156_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3156 .is_wysiwyg = "true";
+defparam \datamem|ram~3156 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N43
+dffeas \datamem|ram~3172 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3172_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3172 .is_wysiwyg = "true";
+defparam \datamem|ram~3172 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y17_N18
+cyclonev_lcell_comb \datamem|ram~3140feeder (
+// Equation(s):
+// \datamem|ram~3140feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3140feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3140feeder .extended_lut = "off";
+defparam \datamem|ram~3140feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3140feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N19
+dffeas \datamem|ram~3140 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3140feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3140_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3140 .is_wysiwyg = "true";
+defparam \datamem|ram~3140 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N45
+cyclonev_lcell_comb \datamem|ram~4419 (
+// Equation(s):
+// \datamem|ram~4419_combout = ( \datamem|ram~3140_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3156_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3188_q )) ) ) ) # ( !\datamem|ram~3140_q & (
+// \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3156_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3188_q )) ) ) ) # ( \datamem|ram~3140_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~3172_q ) ) ) ) # ( !\datamem|ram~3140_q & ( !\alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout & \datamem|ram~3172_q ) ) ) )
+
+ .dataa(!\datamem|ram~3188_q ),
+ .datab(!\datamem|ram~3156_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3172_q ),
+ .datae(!\datamem|ram~3140_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4419_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4419 .extended_lut = "off";
+defparam \datamem|ram~4419 .lut_mask = 64'h000FF0FF35353535;
+defparam \datamem|ram~4419 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y4_N24
+cyclonev_lcell_comb \datamem|ram~3412feeder (
+// Equation(s):
+// \datamem|ram~3412feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3412feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3412feeder .extended_lut = "off";
+defparam \datamem|ram~3412feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3412feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y4_N25
+dffeas \datamem|ram~3412 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3412feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3412_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3412 .is_wysiwyg = "true";
+defparam \datamem|ram~3412 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N55
+dffeas \datamem|ram~3396 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3396_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3396 .is_wysiwyg = "true";
+defparam \datamem|ram~3396 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N27
+cyclonev_lcell_comb \datamem|ram~3428feeder (
+// Equation(s):
+// \datamem|ram~3428feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3428feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3428feeder .extended_lut = "off";
+defparam \datamem|ram~3428feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3428feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N28
+dffeas \datamem|ram~3428 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3428feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3428_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3428 .is_wysiwyg = "true";
+defparam \datamem|ram~3428 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y6_N56
+dffeas \datamem|ram~3444 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3444_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3444 .is_wysiwyg = "true";
+defparam \datamem|ram~3444 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y6_N54
+cyclonev_lcell_comb \datamem|ram~4420 (
+// Equation(s):
+// \datamem|ram~4420_combout = ( \datamem|ram~3444_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3412_q ) ) ) ) # ( !\datamem|ram~3444_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3412_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3444_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3396_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3428_q ))) ) ) ) # ( !\datamem|ram~3444_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3396_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3428_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3412_q ),
+ .datab(!\datamem|ram~3396_q ),
+ .datac(!\datamem|ram~3428_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3444_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4420_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4420 .extended_lut = "off";
+defparam \datamem|ram~4420 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4420 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N21
+cyclonev_lcell_comb \datamem|ram~4423 (
+// Equation(s):
+// \datamem|ram~4423_combout = ( \datamem|ram~4419_combout & ( \datamem|ram~4420_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4421_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4422_combout )))) ) )
+// ) # ( !\datamem|ram~4419_combout & ( \datamem|ram~4420_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout & (\datamem|ram~4421_combout ))) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout ) # ((\datamem|ram~4422_combout
+// )))) ) ) ) # ( \datamem|ram~4419_combout & ( !\datamem|ram~4420_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout ) # ((\datamem|ram~4421_combout )))) # (\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4422_combout )))) ) ) ) # ( !\datamem|ram~4419_combout & ( !\datamem|ram~4420_combout & ( (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4421_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4422_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4421_combout ),
+ .datad(!\datamem|ram~4422_combout ),
+ .datae(!\datamem|ram~4419_combout ),
+ .dataf(!\datamem|ram~4420_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4423_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4423 .extended_lut = "off";
+defparam \datamem|ram~4423 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~4423 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N23
+dffeas \datamem|ram~3524 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3524_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3524 .is_wysiwyg = "true";
+defparam \datamem|ram~3524 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N40
+dffeas \datamem|ram~3540 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3540_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3540 .is_wysiwyg = "true";
+defparam \datamem|ram~3540 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y17_N22
+dffeas \datamem|ram~3556 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3556_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3556 .is_wysiwyg = "true";
+defparam \datamem|ram~3556 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N26
+dffeas \datamem|ram~3572 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3572_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3572 .is_wysiwyg = "true";
+defparam \datamem|ram~3572 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y14_N24
+cyclonev_lcell_comb \datamem|ram~4430 (
+// Equation(s):
+// \datamem|ram~4430_combout = ( \datamem|ram~3572_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3540_q ) ) ) ) # ( !\datamem|ram~3572_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3540_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3572_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3524_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3556_q ))) ) ) ) # ( !\datamem|ram~3572_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3524_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3556_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3524_q ),
+ .datab(!\datamem|ram~3540_q ),
+ .datac(!\datamem|ram~3556_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3572_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4430_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4430 .extended_lut = "off";
+defparam \datamem|ram~4430 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4430 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N35
+dffeas \datamem|ram~3780 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3780_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3780 .is_wysiwyg = "true";
+defparam \datamem|ram~3780 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N41
+dffeas \datamem|ram~3796 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3796_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3796 .is_wysiwyg = "true";
+defparam \datamem|ram~3796 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N4
+dffeas \datamem|ram~3812 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3812_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3812 .is_wysiwyg = "true";
+defparam \datamem|ram~3812 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N56
+dffeas \datamem|ram~3828 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3828_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3828 .is_wysiwyg = "true";
+defparam \datamem|ram~3828 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N54
+cyclonev_lcell_comb \datamem|ram~4431 (
+// Equation(s):
+// \datamem|ram~4431_combout = ( \datamem|ram~3828_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3796_q ) ) ) ) # ( !\datamem|ram~3828_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3796_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3828_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3780_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3812_q ))) ) ) ) # ( !\datamem|ram~3828_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3780_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3812_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3780_q ),
+ .datab(!\datamem|ram~3796_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3812_q ),
+ .datae(!\datamem|ram~3828_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4431_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4431 .extended_lut = "off";
+defparam \datamem|ram~4431 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4431 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y16_N42
+cyclonev_lcell_comb \datamem|ram~4052feeder (
+// Equation(s):
+// \datamem|ram~4052feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4052feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4052feeder .extended_lut = "off";
+defparam \datamem|ram~4052feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4052feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N43
+dffeas \datamem|ram~4052 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4052feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4052_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4052 .is_wysiwyg = "true";
+defparam \datamem|ram~4052 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N51
+cyclonev_lcell_comb \datamem|ram~4068feeder (
+// Equation(s):
+// \datamem|ram~4068feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4068feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4068feeder .extended_lut = "off";
+defparam \datamem|ram~4068feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4068feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N52
+dffeas \datamem|ram~4068 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4068feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4068_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4068 .is_wysiwyg = "true";
+defparam \datamem|ram~4068 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y22_N45
+cyclonev_lcell_comb \datamem|ram~4036feeder (
+// Equation(s):
+// \datamem|ram~4036feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4036feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4036feeder .extended_lut = "off";
+defparam \datamem|ram~4036feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4036feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y22_N46
+dffeas \datamem|ram~4036 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4036feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4036_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4036 .is_wysiwyg = "true";
+defparam \datamem|ram~4036 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y15_N53
+dffeas \datamem|ram~4084 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4084_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4084 .is_wysiwyg = "true";
+defparam \datamem|ram~4084 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y15_N51
+cyclonev_lcell_comb \datamem|ram~4432 (
+// Equation(s):
+// \datamem|ram~4432_combout = ( \datamem|ram~4084_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~4068_q ) ) ) ) # ( !\datamem|ram~4084_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~4068_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~4084_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4036_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4052_q )) ) ) ) # ( !\datamem|ram~4084_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4036_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4052_q )) ) ) )
+
+ .dataa(!\datamem|ram~4052_q ),
+ .datab(!\datamem|ram~4068_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4036_q ),
+ .datae(!\datamem|ram~4084_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4432_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4432 .extended_lut = "off";
+defparam \datamem|ram~4432 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4432 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N46
+dffeas \datamem|ram~3268 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3268_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3268 .is_wysiwyg = "true";
+defparam \datamem|ram~3268 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N22
+dffeas \datamem|ram~3300 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3300_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3300 .is_wysiwyg = "true";
+defparam \datamem|ram~3300 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N10
+dffeas \datamem|ram~3284 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3284_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3284 .is_wysiwyg = "true";
+defparam \datamem|ram~3284 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y22_N44
+dffeas \datamem|ram~3316 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3316_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3316 .is_wysiwyg = "true";
+defparam \datamem|ram~3316 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y22_N42
+cyclonev_lcell_comb \datamem|ram~4429 (
+// Equation(s):
+// \datamem|ram~4429_combout = ( \datamem|ram~3316_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3284_q ) ) ) ) # ( !\datamem|ram~3316_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3284_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3316_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3268_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3300_q ))) ) ) ) # ( !\datamem|ram~3316_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3268_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3300_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3268_q ),
+ .datab(!\datamem|ram~3300_q ),
+ .datac(!\datamem|ram~3284_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~3316_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4429_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4429 .extended_lut = "off";
+defparam \datamem|ram~4429 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4429 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N0
+cyclonev_lcell_comb \datamem|ram~4433 (
+// Equation(s):
+// \datamem|ram~4433_combout = ( \datamem|ram~4432_combout & ( \datamem|ram~4429_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) # (\datamem|ram~4431_combout )))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout )) #
+// (\datamem|ram~4430_combout ))) ) ) ) # ( !\datamem|ram~4432_combout & ( \datamem|ram~4429_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout ) # (\datamem|ram~4431_combout )))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4430_combout & (!\alu_unit|Mux9~4_combout ))) ) ) ) # ( \datamem|ram~4432_combout & ( !\datamem|ram~4429_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout & \datamem|ram~4431_combout )))) # (\alu_unit|Mux10~6_combout
+// & (((\alu_unit|Mux9~4_combout )) # (\datamem|ram~4430_combout ))) ) ) ) # ( !\datamem|ram~4432_combout & ( !\datamem|ram~4429_combout & ( (!\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout & \datamem|ram~4431_combout )))) #
+// (\alu_unit|Mux10~6_combout & (\datamem|ram~4430_combout & (!\alu_unit|Mux9~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\datamem|ram~4430_combout ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~4431_combout ),
+ .datae(!\datamem|ram~4432_combout ),
+ .dataf(!\datamem|ram~4429_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4433_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4433 .extended_lut = "off";
+defparam \datamem|ram~4433 .lut_mask = 64'h101A151FB0BAB5BF;
+defparam \datamem|ram~4433 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N56
+dffeas \datamem|ram~3492 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3492_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3492 .is_wysiwyg = "true";
+defparam \datamem|ram~3492 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N36
+cyclonev_lcell_comb \datamem|ram~3748feeder (
+// Equation(s):
+// \datamem|ram~3748feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3748feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3748feeder .extended_lut = "off";
+defparam \datamem|ram~3748feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3748feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N37
+dffeas \datamem|ram~3748 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3748feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3748_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3748 .is_wysiwyg = "true";
+defparam \datamem|ram~3748 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N46
+dffeas \datamem|ram~3236 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3236_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3236 .is_wysiwyg = "true";
+defparam \datamem|ram~3236 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N44
+dffeas \datamem|ram~4004 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4004_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4004 .is_wysiwyg = "true";
+defparam \datamem|ram~4004 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y19_N42
+cyclonev_lcell_comb \datamem|ram~4426 (
+// Equation(s):
+// \datamem|ram~4426_combout = ( \datamem|ram~4004_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3748_q ) ) ) ) # ( !\datamem|ram~4004_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3748_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4004_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3236_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3492_q )) ) ) ) # ( !\datamem|ram~4004_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3236_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3492_q )) ) ) )
+
+ .dataa(!\datamem|ram~3492_q ),
+ .datab(!\datamem|ram~3748_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3236_q ),
+ .datae(!\datamem|ram~4004_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4426_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4426 .extended_lut = "off";
+defparam \datamem|ram~4426 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4426 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y13_N42
+cyclonev_lcell_comb \datamem|ram~3732feeder (
+// Equation(s):
+// \datamem|ram~3732feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3732feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3732feeder .extended_lut = "off";
+defparam \datamem|ram~3732feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3732feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y13_N43
+dffeas \datamem|ram~3732 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3732feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3732_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3732 .is_wysiwyg = "true";
+defparam \datamem|ram~3732 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N17
+dffeas \datamem|ram~3220 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3220_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3220 .is_wysiwyg = "true";
+defparam \datamem|ram~3220 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N57
+cyclonev_lcell_comb \datamem|ram~3476feeder (
+// Equation(s):
+// \datamem|ram~3476feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3476feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3476feeder .extended_lut = "off";
+defparam \datamem|ram~3476feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3476feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N59
+dffeas \datamem|ram~3476 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3476feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3476_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3476 .is_wysiwyg = "true";
+defparam \datamem|ram~3476 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N20
+dffeas \datamem|ram~3988 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3988_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3988 .is_wysiwyg = "true";
+defparam \datamem|ram~3988 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N18
+cyclonev_lcell_comb \datamem|ram~4425 (
+// Equation(s):
+// \datamem|ram~4425_combout = ( \datamem|ram~3988_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3732_q ) ) ) ) # ( !\datamem|ram~3988_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3732_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3988_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3220_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3476_q ))) ) ) ) # ( !\datamem|ram~3988_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3220_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3476_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3732_q ),
+ .datab(!\datamem|ram~3220_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3476_q ),
+ .datae(!\datamem|ram~3988_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4425_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4425 .extended_lut = "off";
+defparam \datamem|ram~4425 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4425 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N48
+cyclonev_lcell_comb \datamem|ram~3508feeder (
+// Equation(s):
+// \datamem|ram~3508feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3508feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3508feeder .extended_lut = "off";
+defparam \datamem|ram~3508feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3508feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N50
+dffeas \datamem|ram~3508 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3508feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3508_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3508 .is_wysiwyg = "true";
+defparam \datamem|ram~3508 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N6
+cyclonev_lcell_comb \datamem|ram~3252feeder (
+// Equation(s):
+// \datamem|ram~3252feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3252feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3252feeder .extended_lut = "off";
+defparam \datamem|ram~3252feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3252feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N8
+dffeas \datamem|ram~3252 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3252feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3252_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3252 .is_wysiwyg = "true";
+defparam \datamem|ram~3252 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y18_N31
+dffeas \datamem|ram~3764 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3764_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3764 .is_wysiwyg = "true";
+defparam \datamem|ram~3764 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y19_N56
+dffeas \datamem|ram~4020 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4020_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4020 .is_wysiwyg = "true";
+defparam \datamem|ram~4020 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y19_N54
+cyclonev_lcell_comb \datamem|ram~4427 (
+// Equation(s):
+// \datamem|ram~4427_combout = ( \datamem|ram~4020_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3764_q ) ) ) ) # ( !\datamem|ram~4020_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3764_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4020_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3252_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3508_q )) ) ) ) # ( !\datamem|ram~4020_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3252_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3508_q )) ) ) )
+
+ .dataa(!\datamem|ram~3508_q ),
+ .datab(!\datamem|ram~3252_q ),
+ .datac(!\datamem|ram~3764_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4020_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4427_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4427 .extended_lut = "off";
+defparam \datamem|ram~4427 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4427 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N27
+cyclonev_lcell_comb \datamem|ram~3716feeder (
+// Equation(s):
+// \datamem|ram~3716feeder_combout = \reg_file|reg_read_data_2[4]~4_combout
+
+ .dataa(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3716feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3716feeder .extended_lut = "off";
+defparam \datamem|ram~3716feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3716feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N28
+dffeas \datamem|ram~3716 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3716feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3716_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3716 .is_wysiwyg = "true";
+defparam \datamem|ram~3716 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N15
+cyclonev_lcell_comb \datamem|ram~3460feeder (
+// Equation(s):
+// \datamem|ram~3460feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3460feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3460feeder .extended_lut = "off";
+defparam \datamem|ram~3460feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3460feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N17
+dffeas \datamem|ram~3460 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3460feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3460_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3460 .is_wysiwyg = "true";
+defparam \datamem|ram~3460 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N6
+cyclonev_lcell_comb \datamem|ram~3204feeder (
+// Equation(s):
+// \datamem|ram~3204feeder_combout = ( \reg_file|reg_read_data_2[4]~4_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[4]~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3204feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3204feeder .extended_lut = "off";
+defparam \datamem|ram~3204feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3204feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N7
+dffeas \datamem|ram~3204 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3204feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3204_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3204 .is_wysiwyg = "true";
+defparam \datamem|ram~3204 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N44
+dffeas \datamem|ram~3972 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[4]~4_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3972_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3972 .is_wysiwyg = "true";
+defparam \datamem|ram~3972 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N42
+cyclonev_lcell_comb \datamem|ram~4424 (
+// Equation(s):
+// \datamem|ram~4424_combout = ( \datamem|ram~3972_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3716_q ) ) ) ) # ( !\datamem|ram~3972_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3716_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3972_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3204_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3460_q )) ) ) ) # ( !\datamem|ram~3972_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3204_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3460_q )) ) ) )
+
+ .dataa(!\datamem|ram~3716_q ),
+ .datab(!\datamem|ram~3460_q ),
+ .datac(!\datamem|ram~3204_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3972_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4424_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4424 .extended_lut = "off";
+defparam \datamem|ram~4424 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4424 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y19_N24
+cyclonev_lcell_comb \datamem|ram~4428 (
+// Equation(s):
+// \datamem|ram~4428_combout = ( \datamem|ram~4427_combout & ( \datamem|ram~4424_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4425_combout )))) # (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) #
+// (\datamem|ram~4426_combout ))) ) ) ) # ( !\datamem|ram~4427_combout & ( \datamem|ram~4424_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4425_combout )))) # (\alu_unit|Mux13~4_combout &
+// (\datamem|ram~4426_combout & (!\alu_unit|Mux14~6_combout ))) ) ) ) # ( \datamem|ram~4427_combout & ( !\datamem|ram~4424_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout & \datamem|ram~4425_combout )))) #
+// (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) # (\datamem|ram~4426_combout ))) ) ) ) # ( !\datamem|ram~4427_combout & ( !\datamem|ram~4424_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout &
+// \datamem|ram~4425_combout )))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4426_combout & (!\alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~4426_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4425_combout ),
+ .datae(!\datamem|ram~4427_combout ),
+ .dataf(!\datamem|ram~4424_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4428_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4428 .extended_lut = "off";
+defparam \datamem|ram~4428 .lut_mask = 64'h101A151FB0BAB5BF;
+defparam \datamem|ram~4428 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N42
+cyclonev_lcell_comb \datamem|ram~4434 (
+// Equation(s):
+// \datamem|ram~4434_combout = ( \datamem|ram~4433_combout & ( \datamem|ram~4428_combout & ( ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4418_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4423_combout )))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4433_combout & ( \datamem|ram~4428_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4418_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4423_combout ))))) #
+// (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )))) ) ) ) # ( \datamem|ram~4433_combout & ( !\datamem|ram~4428_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4418_combout )) #
+// (\alu_unit|Mux12~2_combout & ((\datamem|ram~4423_combout ))))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )))) ) ) ) # ( !\datamem|ram~4433_combout & ( !\datamem|ram~4428_combout & ( (!\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4418_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4423_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4418_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4423_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4433_combout ),
+ .dataf(!\datamem|ram~4428_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4434_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4434 .extended_lut = "off";
+defparam \datamem|ram~4434 .lut_mask = 64'h440C443F770C773F;
+defparam \datamem|ram~4434 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y11_N18
+cyclonev_lcell_comb \datamem|ram~4435 (
+// Equation(s):
+// \datamem|ram~4435_combout = ( \datamem|ram~4371_combout & ( \datamem|ram~4434_combout & ( (!\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout ) # ((\datamem|ram~4392_combout )))) # (\alu_unit|Mux7~2_combout & (((\datamem|ram~4413_combout )) #
+// (\alu_unit|Mux8~2_combout ))) ) ) ) # ( !\datamem|ram~4371_combout & ( \datamem|ram~4434_combout & ( (!\alu_unit|Mux7~2_combout & (\alu_unit|Mux8~2_combout & (\datamem|ram~4392_combout ))) # (\alu_unit|Mux7~2_combout & (((\datamem|ram~4413_combout ))
+// # (\alu_unit|Mux8~2_combout ))) ) ) ) # ( \datamem|ram~4371_combout & ( !\datamem|ram~4434_combout & ( (!\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout ) # ((\datamem|ram~4392_combout )))) # (\alu_unit|Mux7~2_combout &
+// (!\alu_unit|Mux8~2_combout & ((\datamem|ram~4413_combout )))) ) ) ) # ( !\datamem|ram~4371_combout & ( !\datamem|ram~4434_combout & ( (!\alu_unit|Mux7~2_combout & (\alu_unit|Mux8~2_combout & (\datamem|ram~4392_combout ))) # (\alu_unit|Mux7~2_combout
+// & (!\alu_unit|Mux8~2_combout & ((\datamem|ram~4413_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux7~2_combout ),
+ .datab(!\alu_unit|Mux8~2_combout ),
+ .datac(!\datamem|ram~4392_combout ),
+ .datad(!\datamem|ram~4413_combout ),
+ .datae(!\datamem|ram~4371_combout ),
+ .dataf(!\datamem|ram~4434_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4435_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4435 .extended_lut = "off";
+defparam \datamem|ram~4435 .lut_mask = 64'h02468ACE13579BDF;
+defparam \datamem|ram~4435 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N15
+cyclonev_lcell_comb \reg_write_data[4]~5 (
+// Equation(s):
+// \reg_write_data[4]~5_combout = ( \Add0~13_sumout & ( \datamem|ram~4435_combout & ( (\alu_unit|Mux11~4_combout ) # (\reg_write_data[1]~0_combout ) ) ) ) # ( !\Add0~13_sumout & ( \datamem|ram~4435_combout & ( (!\reg_write_data[1]~0_combout &
+// ((\alu_unit|Mux11~4_combout ))) # (\reg_write_data[1]~0_combout & (\reg_write_data[1]~1_combout )) ) ) ) # ( \Add0~13_sumout & ( !\datamem|ram~4435_combout & ( (!\reg_write_data[1]~0_combout & ((\alu_unit|Mux11~4_combout ))) #
+// (\reg_write_data[1]~0_combout & (!\reg_write_data[1]~1_combout )) ) ) ) # ( !\Add0~13_sumout & ( !\datamem|ram~4435_combout & ( (!\reg_write_data[1]~0_combout & \alu_unit|Mux11~4_combout ) ) ) )
+
+ .dataa(!\reg_write_data[1]~0_combout ),
+ .datab(gnd),
+ .datac(!\reg_write_data[1]~1_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\Add0~13_sumout ),
+ .dataf(!\datamem|ram~4435_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[4]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[4]~5 .extended_lut = "off";
+defparam \reg_write_data[4]~5 .lut_mask = 64'h00AA50FA05AF55FF;
+defparam \reg_write_data[4]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N27
+cyclonev_lcell_comb \reg_file|reg_array[0][4]~feeder (
+// Equation(s):
+// \reg_file|reg_array[0][4]~feeder_combout = \reg_write_data[4]~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_write_data[4]~5_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[0][4]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[0][4]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[0][4]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \reg_file|reg_array[0][4]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N29
+dffeas \reg_file|reg_array[0][4] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[0][4]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][4]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][4] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N32
+dffeas \reg_file|reg_array[4][4] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[4]~5_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][4]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][4] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][4] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N14
+dffeas \reg_file|reg_array[7][4] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[4]~5_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][4]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][4] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][4] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N33
+cyclonev_lcell_comb \reg_file|reg_read_data_1[4]~6 (
+// Equation(s):
+// \reg_file|reg_read_data_1[4]~6_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][4]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][4]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][4]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][4]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[0][4]~q ),
+ .datab(!\reg_file|reg_array[4][4]~q ),
+ .datac(!\reg_file|reg_array[7][4]~q ),
+ .datad(!\reg_file|reg_array[3][4]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[4]~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[4]~6 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[4]~6 .lut_mask = 64'h555500FF33330F0F;
+defparam \reg_file|reg_read_data_1[4]~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N36
+cyclonev_lcell_comb \alu_unit|Mux10~2 (
+// Equation(s):
+// \alu_unit|Mux10~2_combout = ( \alu_unit|Add0~17_sumout & ( \alu_unit|Add1~17_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout ) # ((!\ALU_Control_unit|WideOr2~0_combout & ((\reg_file|reg_read_data_1[5]~17_combout ) # (\read_data2[5]~5_combout ))) #
+// (\ALU_Control_unit|WideOr2~0_combout & (\read_data2[5]~5_combout & \reg_file|reg_read_data_1[5]~17_combout ))) ) ) ) # ( !\alu_unit|Add0~17_sumout & ( \alu_unit|Add1~17_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout &
+// (((!\ALU_Control_unit|WideOr1~0_combout ) # (\reg_file|reg_read_data_1[5]~17_combout )) # (\read_data2[5]~5_combout ))) # (\ALU_Control_unit|WideOr2~0_combout & (\read_data2[5]~5_combout & (\reg_file|reg_read_data_1[5]~17_combout &
+// \ALU_Control_unit|WideOr1~0_combout ))) ) ) ) # ( \alu_unit|Add0~17_sumout & ( !\alu_unit|Add1~17_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout & (\ALU_Control_unit|WideOr1~0_combout & ((\reg_file|reg_read_data_1[5]~17_combout ) #
+// (\read_data2[5]~5_combout )))) # (\ALU_Control_unit|WideOr2~0_combout & ((!\ALU_Control_unit|WideOr1~0_combout ) # ((\read_data2[5]~5_combout & \reg_file|reg_read_data_1[5]~17_combout )))) ) ) ) # ( !\alu_unit|Add0~17_sumout & (
+// !\alu_unit|Add1~17_sumout & ( (\ALU_Control_unit|WideOr1~0_combout & ((!\ALU_Control_unit|WideOr2~0_combout & ((\reg_file|reg_read_data_1[5]~17_combout ) # (\read_data2[5]~5_combout ))) # (\ALU_Control_unit|WideOr2~0_combout &
+// (\read_data2[5]~5_combout & \reg_file|reg_read_data_1[5]~17_combout )))) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datab(!\read_data2[5]~5_combout ),
+ .datac(!\reg_file|reg_read_data_1[5]~17_combout ),
+ .datad(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datae(!\alu_unit|Add0~17_sumout ),
+ .dataf(!\alu_unit|Add1~17_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~2 .extended_lut = "off";
+defparam \alu_unit|Mux10~2 .lut_mask = 64'h002B552BAA2BFF2B;
+defparam \alu_unit|Mux10~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N30
+cyclonev_lcell_comb \alu_unit|Mux10~4 (
+// Equation(s):
+// \alu_unit|Mux10~4_combout = ( \read_data2[2]~3_combout & ( \alu_unit|Mux10~0_combout & ( \alu_unit|shifter_left|st2[5]~6_combout ) ) ) # ( !\read_data2[2]~3_combout & ( \alu_unit|Mux10~0_combout & ( \alu_unit|shifter_left|st2[5]~6_combout ) ) ) # (
+// !\read_data2[2]~3_combout & ( !\alu_unit|Mux10~0_combout & ( (!\read_data2[3]~2_combout & ((\alu_unit|shifter_right|st2[10]~1_combout ))) # (\read_data2[3]~2_combout & (\alu_unit|shifter_right|st2[2]~2_combout )) ) ) )
+
+ .dataa(!\read_data2[3]~2_combout ),
+ .datab(!\alu_unit|shifter_right|st2[2]~2_combout ),
+ .datac(!\alu_unit|shifter_left|st2[5]~6_combout ),
+ .datad(!\alu_unit|shifter_right|st2[10]~1_combout ),
+ .datae(!\read_data2[2]~3_combout ),
+ .dataf(!\alu_unit|Mux10~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~4 .extended_lut = "off";
+defparam \alu_unit|Mux10~4 .lut_mask = 64'h11BB00000F0F0F0F;
+defparam \alu_unit|Mux10~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y14_N30
+cyclonev_lcell_comb \alu_unit|Mux10~3 (
+// Equation(s):
+// \alu_unit|Mux10~3_combout = ( \alu_unit|shifter_right|st2[6]~0_combout & ( \alu_unit|Mux11~5_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(!\alu_unit|shifter_right|st2[6]~0_combout ),
+ .dataf(!\alu_unit|Mux11~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~3 .extended_lut = "off";
+defparam \alu_unit|Mux10~3 .lut_mask = 64'h000000000000FFFF;
+defparam \alu_unit|Mux10~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N15
+cyclonev_lcell_comb \alu_unit|Mux10~9 (
+// Equation(s):
+// \alu_unit|Mux10~9_combout = ( \alu_unit|Mux10~3_combout & ( (!\alu_unit|Mux11~1_combout & ((!\alu_unit|Mux11~3_combout ) # (!\alu_unit|shifter_left|st2[1]~1_combout ))) ) ) # ( !\alu_unit|Mux10~3_combout & ( (!\alu_unit|Mux11~1_combout &
+// ((!\alu_unit|Mux11~3_combout ) # ((!\alu_unit|shifter_left|st2[1]~1_combout )))) # (\alu_unit|Mux11~1_combout & (!\alu_unit|Mux10~4_combout & ((!\alu_unit|Mux11~3_combout ) # (!\alu_unit|shifter_left|st2[1]~1_combout )))) ) )
+
+ .dataa(!\alu_unit|Mux11~1_combout ),
+ .datab(!\alu_unit|Mux11~3_combout ),
+ .datac(!\alu_unit|Mux10~4_combout ),
+ .datad(!\alu_unit|shifter_left|st2[1]~1_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux10~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~9 .extended_lut = "off";
+defparam \alu_unit|Mux10~9 .lut_mask = 64'hFAC8FAC8AA88AA88;
+defparam \alu_unit|Mux10~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y12_N12
+cyclonev_lcell_comb \alu_unit|Mux10~6 (
+// Equation(s):
+// \alu_unit|Mux10~6_combout = ( \alu_unit|Mult0~13 & ( (!\ALU_Control_unit|WideOr0~0_combout & (((\alu_unit|Mux10~2_combout )))) # (\ALU_Control_unit|WideOr0~0_combout & ((!\alu_unit|Mux10~1_combout ) # ((!\alu_unit|Mux10~9_combout )))) ) ) # (
+// !\alu_unit|Mult0~13 & ( (!\ALU_Control_unit|WideOr0~0_combout & (\alu_unit|Mux10~2_combout )) # (\ALU_Control_unit|WideOr0~0_combout & ((!\alu_unit|Mux10~9_combout ))) ) )
+
+ .dataa(!\alu_unit|Mux10~1_combout ),
+ .datab(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datac(!\alu_unit|Mux10~2_combout ),
+ .datad(!\alu_unit|Mux10~9_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mult0~13 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~6 .extended_lut = "off";
+defparam \alu_unit|Mux10~6 .lut_mask = 64'h3F0C3F0C3F2E3F2E;
+defparam \alu_unit|Mux10~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N19
+dffeas \datamem|ram~677 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~677_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~677 .is_wysiwyg = "true";
+defparam \datamem|ram~677 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N13
+dffeas \datamem|ram~421 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~421_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~421 .is_wysiwyg = "true";
+defparam \datamem|ram~421 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y10_N32
+dffeas \datamem|ram~165 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~165_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~165 .is_wysiwyg = "true";
+defparam \datamem|ram~165 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y13_N47
+dffeas \datamem|ram~933 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~933_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~933 .is_wysiwyg = "true";
+defparam \datamem|ram~933 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y15_N21
+cyclonev_lcell_comb \datamem|ram~4873 (
+// Equation(s):
+// \datamem|ram~4873_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~933_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~421_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~677_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~165_q ) ) )
+
+ .dataa(!\datamem|ram~677_q ),
+ .datab(!\datamem|ram~421_q ),
+ .datac(!\datamem|ram~165_q ),
+ .datad(!\datamem|ram~933_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4873_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4873 .extended_lut = "off";
+defparam \datamem|ram~4873 .lut_mask = 64'h0F0F5555333300FF;
+defparam \datamem|ram~4873 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N20
+dffeas \datamem|ram~741 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~741_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~741 .is_wysiwyg = "true";
+defparam \datamem|ram~741 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N29
+dffeas \datamem|ram~485 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~485_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~485 .is_wysiwyg = "true";
+defparam \datamem|ram~485 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N8
+dffeas \datamem|ram~229 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~229_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~229 .is_wysiwyg = "true";
+defparam \datamem|ram~229 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y15_N32
+dffeas \datamem|ram~997 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~997_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~997 .is_wysiwyg = "true";
+defparam \datamem|ram~997 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y15_N30
+cyclonev_lcell_comb \datamem|ram~4874 (
+// Equation(s):
+// \datamem|ram~4874_combout = ( \datamem|ram~997_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~485_q ) ) ) ) # ( !\datamem|ram~997_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~485_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~997_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~229_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~741_q )) ) ) ) # ( !\datamem|ram~997_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~229_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~741_q )) ) ) )
+
+ .dataa(!\datamem|ram~741_q ),
+ .datab(!\datamem|ram~485_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~229_q ),
+ .datae(!\datamem|ram~997_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4874_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4874 .extended_lut = "off";
+defparam \datamem|ram~4874 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4874 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N38
+dffeas \datamem|ram~613 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~613_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~613 .is_wysiwyg = "true";
+defparam \datamem|ram~613 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N8
+dffeas \datamem|ram~357 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~357_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~357 .is_wysiwyg = "true";
+defparam \datamem|ram~357 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y6_N15
+cyclonev_lcell_comb \datamem|ram~101feeder (
+// Equation(s):
+// \datamem|ram~101feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~101feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~101feeder .extended_lut = "off";
+defparam \datamem|ram~101feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~101feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y6_N17
+dffeas \datamem|ram~101 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~101feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~101_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~101 .is_wysiwyg = "true";
+defparam \datamem|ram~101 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y13_N50
+dffeas \datamem|ram~869 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~869_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~869 .is_wysiwyg = "true";
+defparam \datamem|ram~869 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y13_N48
+cyclonev_lcell_comb \datamem|ram~4872 (
+// Equation(s):
+// \datamem|ram~4872_combout = ( \datamem|ram~869_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~357_q ) ) ) ) # ( !\datamem|ram~869_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~357_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~869_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~101_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~613_q )) ) ) ) # ( !\datamem|ram~869_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~101_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~613_q )) ) ) )
+
+ .dataa(!\datamem|ram~613_q ),
+ .datab(!\datamem|ram~357_q ),
+ .datac(!\datamem|ram~101_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~869_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4872_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4872 .extended_lut = "off";
+defparam \datamem|ram~4872 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4872 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N36
+cyclonev_lcell_comb \datamem|ram~37feeder (
+// Equation(s):
+// \datamem|ram~37feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~37feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~37feeder .extended_lut = "off";
+defparam \datamem|ram~37feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~37feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N38
+dffeas \datamem|ram~37 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~37feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~37_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~37 .is_wysiwyg = "true";
+defparam \datamem|ram~37 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N30
+cyclonev_lcell_comb \datamem|ram~549feeder (
+// Equation(s):
+// \datamem|ram~549feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~549feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~549feeder .extended_lut = "off";
+defparam \datamem|ram~549feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~549feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N32
+dffeas \datamem|ram~549 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~549feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~549_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~549 .is_wysiwyg = "true";
+defparam \datamem|ram~549 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y17_N18
+cyclonev_lcell_comb \datamem|ram~293feeder (
+// Equation(s):
+// \datamem|ram~293feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~293feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~293feeder .extended_lut = "off";
+defparam \datamem|ram~293feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~293feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y17_N20
+dffeas \datamem|ram~293 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~293feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~293_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~293 .is_wysiwyg = "true";
+defparam \datamem|ram~293 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y15_N56
+dffeas \datamem|ram~805 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~805_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~805 .is_wysiwyg = "true";
+defparam \datamem|ram~805 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y15_N54
+cyclonev_lcell_comb \datamem|ram~4871 (
+// Equation(s):
+// \datamem|ram~4871_combout = ( \datamem|ram~805_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~293_q ) ) ) ) # ( !\datamem|ram~805_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~293_q & !\alu_unit|Mux9~4_combout )
+// ) ) ) # ( \datamem|ram~805_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~37_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~549_q ))) ) ) ) # ( !\datamem|ram~805_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & (\datamem|ram~37_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~549_q ))) ) ) )
+
+ .dataa(!\datamem|ram~37_q ),
+ .datab(!\datamem|ram~549_q ),
+ .datac(!\datamem|ram~293_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~805_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4871_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4871 .extended_lut = "off";
+defparam \datamem|ram~4871 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4871 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y15_N12
+cyclonev_lcell_comb \datamem|ram~4875 (
+// Equation(s):
+// \datamem|ram~4875_combout = ( \datamem|ram~4872_combout & ( \datamem|ram~4871_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4873_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~4874_combout )))) ) )
+// ) # ( !\datamem|ram~4872_combout & ( \datamem|ram~4871_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4873_combout )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & ((\datamem|ram~4874_combout
+// )))) ) ) ) # ( \datamem|ram~4872_combout & ( !\datamem|ram~4871_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4873_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~4874_combout )))) ) ) ) # ( !\datamem|ram~4872_combout & ( !\datamem|ram~4871_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~4873_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~4874_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4873_combout ),
+ .datad(!\datamem|ram~4874_combout ),
+ .datae(!\datamem|ram~4872_combout ),
+ .dataf(!\datamem|ram~4871_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4875_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4875 .extended_lut = "off";
+defparam \datamem|ram~4875 .lut_mask = 64'h021346578A9BCEDF;
+defparam \datamem|ram~4875 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y19_N45
+cyclonev_lcell_comb \datamem|ram~853feeder (
+// Equation(s):
+// \datamem|ram~853feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~853feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~853feeder .extended_lut = "off";
+defparam \datamem|ram~853feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~853feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y19_N46
+dffeas \datamem|ram~853 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~853feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~853_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~853 .is_wysiwyg = "true";
+defparam \datamem|ram~853 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y4_N24
+cyclonev_lcell_comb \datamem|ram~597feeder (
+// Equation(s):
+// \datamem|ram~597feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~597feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~597feeder .extended_lut = "off";
+defparam \datamem|ram~597feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~597feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y4_N26
+dffeas \datamem|ram~597 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~597feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~597_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~597 .is_wysiwyg = "true";
+defparam \datamem|ram~597 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X45_Y12_N40
+dffeas \datamem|ram~85 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~85_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~85 .is_wysiwyg = "true";
+defparam \datamem|ram~85 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y17_N8
+dffeas \datamem|ram~341 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~341_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~341 .is_wysiwyg = "true";
+defparam \datamem|ram~341 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y17_N6
+cyclonev_lcell_comb \datamem|ram~4867 (
+// Equation(s):
+// \datamem|ram~4867_combout = ( \datamem|ram~341_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~597_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~853_q )) ) ) ) # ( !\datamem|ram~341_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~597_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~853_q )) ) ) ) # ( \datamem|ram~341_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~85_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # (
+// !\datamem|ram~341_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & \datamem|ram~85_q ) ) ) )
+
+ .dataa(!\datamem|ram~853_q ),
+ .datab(!\datamem|ram~597_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~85_q ),
+ .datae(!\datamem|ram~341_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4867_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4867 .extended_lut = "off";
+defparam \datamem|ram~4867 .lut_mask = 64'h00F00FFF35353535;
+defparam \datamem|ram~4867 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y11_N12
+cyclonev_lcell_comb \datamem|ram~405feeder (
+// Equation(s):
+// \datamem|ram~405feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~405feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~405feeder .extended_lut = "off";
+defparam \datamem|ram~405feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~405feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N13
+dffeas \datamem|ram~405 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~405feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~405_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~405 .is_wysiwyg = "true";
+defparam \datamem|ram~405 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y12_N20
+dffeas \datamem|ram~149 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~149_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~149 .is_wysiwyg = "true";
+defparam \datamem|ram~149 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y17_N12
+cyclonev_lcell_comb \datamem|ram~661feeder (
+// Equation(s):
+// \datamem|ram~661feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~661feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~661feeder .extended_lut = "off";
+defparam \datamem|ram~661feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~661feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y17_N13
+dffeas \datamem|ram~661 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~661feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~661_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~661 .is_wysiwyg = "true";
+defparam \datamem|ram~661 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y17_N38
+dffeas \datamem|ram~917 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~917_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~917 .is_wysiwyg = "true";
+defparam \datamem|ram~917 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4868 (
+// Equation(s):
+// \datamem|ram~4868_combout = ( \datamem|ram~917_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~661_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~917_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & \datamem|ram~661_q )
+// ) ) ) # ( \datamem|ram~917_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~149_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~405_q )) ) ) ) # ( !\datamem|ram~917_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~149_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~405_q )) ) ) )
+
+ .dataa(!\datamem|ram~405_q ),
+ .datab(!\datamem|ram~149_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~661_q ),
+ .datae(!\datamem|ram~917_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4868_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4868 .extended_lut = "off";
+defparam \datamem|ram~4868 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4868 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N42
+cyclonev_lcell_comb \datamem|ram~213feeder (
+// Equation(s):
+// \datamem|ram~213feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~213feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~213feeder .extended_lut = "off";
+defparam \datamem|ram~213feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~213feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N44
+dffeas \datamem|ram~213 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~213feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~213_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~213 .is_wysiwyg = "true";
+defparam \datamem|ram~213 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N8
+dffeas \datamem|ram~725 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~725_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~725 .is_wysiwyg = "true";
+defparam \datamem|ram~725 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y22_N57
+cyclonev_lcell_comb \datamem|ram~469feeder (
+// Equation(s):
+// \datamem|ram~469feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~469feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~469feeder .extended_lut = "off";
+defparam \datamem|ram~469feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~469feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y22_N58
+dffeas \datamem|ram~469 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~469feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~469_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~469 .is_wysiwyg = "true";
+defparam \datamem|ram~469 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y16_N2
+dffeas \datamem|ram~981 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~981_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~981 .is_wysiwyg = "true";
+defparam \datamem|ram~981 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y16_N0
+cyclonev_lcell_comb \datamem|ram~4869 (
+// Equation(s):
+// \datamem|ram~4869_combout = ( \datamem|ram~981_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~725_q ) ) ) ) # ( !\datamem|ram~981_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~725_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~981_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~213_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~469_q ))) ) ) ) # ( !\datamem|ram~981_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & (\datamem|ram~213_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~469_q ))) ) ) )
+
+ .dataa(!\datamem|ram~213_q ),
+ .datab(!\datamem|ram~725_q ),
+ .datac(!\datamem|ram~469_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~981_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4869_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4869 .extended_lut = "off";
+defparam \datamem|ram~4869 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4869 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N25
+dffeas \datamem|ram~533 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~533_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~533 .is_wysiwyg = "true";
+defparam \datamem|ram~533 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y18_N10
+dffeas \datamem|ram~21 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~21_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~21 .is_wysiwyg = "true";
+defparam \datamem|ram~21 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N39
+cyclonev_lcell_comb \datamem|ram~789feeder (
+// Equation(s):
+// \datamem|ram~789feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~789feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~789feeder .extended_lut = "off";
+defparam \datamem|ram~789feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~789feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N40
+dffeas \datamem|ram~789 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~789feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~789_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~789 .is_wysiwyg = "true";
+defparam \datamem|ram~789 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y17_N32
+dffeas \datamem|ram~277 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~277_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~277 .is_wysiwyg = "true";
+defparam \datamem|ram~277 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y17_N30
+cyclonev_lcell_comb \datamem|ram~4866 (
+// Equation(s):
+// \datamem|ram~4866_combout = ( \datamem|ram~277_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~533_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~789_q ))) ) ) ) # ( !\datamem|ram~277_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~533_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~789_q ))) ) ) ) # ( \datamem|ram~277_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~21_q ) ) ) ) # (
+// !\datamem|ram~277_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~21_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~533_q ),
+ .datab(!\datamem|ram~21_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~789_q ),
+ .datae(!\datamem|ram~277_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4866_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4866 .extended_lut = "off";
+defparam \datamem|ram~4866 .lut_mask = 64'h30303F3F505F505F;
+defparam \datamem|ram~4866 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y17_N48
+cyclonev_lcell_comb \datamem|ram~4870 (
+// Equation(s):
+// \datamem|ram~4870_combout = ( \datamem|ram~4869_combout & ( \datamem|ram~4866_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) # (\datamem|ram~4868_combout )))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )) #
+// (\datamem|ram~4867_combout ))) ) ) ) # ( !\datamem|ram~4869_combout & ( \datamem|ram~4866_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) # (\datamem|ram~4868_combout )))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4867_combout & ((!\alu_unit|Mux11~4_combout )))) ) ) ) # ( \datamem|ram~4869_combout & ( !\datamem|ram~4866_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4868_combout & \alu_unit|Mux11~4_combout )))) #
+// (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )) # (\datamem|ram~4867_combout ))) ) ) ) # ( !\datamem|ram~4869_combout & ( !\datamem|ram~4866_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4868_combout &
+// \alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4867_combout & ((!\alu_unit|Mux11~4_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~4867_combout ),
+ .datac(!\datamem|ram~4868_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4869_combout ),
+ .dataf(!\datamem|ram~4866_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4870_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4870 .extended_lut = "off";
+defparam \datamem|ram~4870 .lut_mask = 64'h110A115FBB0ABB5F;
+defparam \datamem|ram~4870 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y7_N6
+cyclonev_lcell_comb \datamem|ram~773feeder (
+// Equation(s):
+// \datamem|ram~773feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~773feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~773feeder .extended_lut = "off";
+defparam \datamem|ram~773feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~773feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N7
+dffeas \datamem|ram~773 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~773feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~773_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~773 .is_wysiwyg = "true";
+defparam \datamem|ram~773 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y9_N15
+cyclonev_lcell_comb \datamem|ram~517feeder (
+// Equation(s):
+// \datamem|ram~517feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~517feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~517feeder .extended_lut = "off";
+defparam \datamem|ram~517feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~517feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y9_N16
+dffeas \datamem|ram~517 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~517feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~517_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~517 .is_wysiwyg = "true";
+defparam \datamem|ram~517 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N42
+cyclonev_lcell_comb \datamem|ram~5feeder (
+// Equation(s):
+// \datamem|ram~5feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5feeder .extended_lut = "off";
+defparam \datamem|ram~5feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~5feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y9_N44
+dffeas \datamem|ram~5 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~5feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~5_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~5 .is_wysiwyg = "true";
+defparam \datamem|ram~5 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y9_N56
+dffeas \datamem|ram~261 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~261_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~261 .is_wysiwyg = "true";
+defparam \datamem|ram~261 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N54
+cyclonev_lcell_comb \datamem|ram~4861 (
+// Equation(s):
+// \datamem|ram~4861_combout = ( \datamem|ram~261_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~517_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~773_q )) ) ) ) # ( !\datamem|ram~261_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~517_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~773_q )) ) ) ) # ( \datamem|ram~261_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~5_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # (
+// !\datamem|ram~261_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & \datamem|ram~5_q ) ) ) )
+
+ .dataa(!\datamem|ram~773_q ),
+ .datab(!\datamem|ram~517_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~5_q ),
+ .datae(!\datamem|ram~261_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4861_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4861 .extended_lut = "off";
+defparam \datamem|ram~4861 .lut_mask = 64'h00F00FFF35353535;
+defparam \datamem|ram~4861 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y8_N3
+cyclonev_lcell_comb \datamem|ram~645feeder (
+// Equation(s):
+// \datamem|ram~645feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~645feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~645feeder .extended_lut = "off";
+defparam \datamem|ram~645feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~645feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N4
+dffeas \datamem|ram~645 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~645feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~645_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~645 .is_wysiwyg = "true";
+defparam \datamem|ram~645 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y8_N40
+dffeas \datamem|ram~133 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~133_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~133 .is_wysiwyg = "true";
+defparam \datamem|ram~133 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N1
+dffeas \datamem|ram~389 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~389_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~389 .is_wysiwyg = "true";
+defparam \datamem|ram~389 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y8_N26
+dffeas \datamem|ram~901 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~901_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~901 .is_wysiwyg = "true";
+defparam \datamem|ram~901 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y8_N24
+cyclonev_lcell_comb \datamem|ram~4863 (
+// Equation(s):
+// \datamem|ram~4863_combout = ( \datamem|ram~901_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~389_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~901_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & \datamem|ram~389_q )
+// ) ) ) # ( \datamem|ram~901_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~133_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~645_q )) ) ) ) # ( !\datamem|ram~901_q & ( !\alu_unit|Mux10~6_combout & (
+// (!\alu_unit|Mux9~4_combout & ((\datamem|ram~133_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~645_q )) ) ) )
+
+ .dataa(!\datamem|ram~645_q ),
+ .datab(!\datamem|ram~133_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~389_q ),
+ .datae(!\datamem|ram~901_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4863_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4863 .extended_lut = "off";
+defparam \datamem|ram~4863 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4863 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y9_N36
+cyclonev_lcell_comb \datamem|ram~453feeder (
+// Equation(s):
+// \datamem|ram~453feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~453feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~453feeder .extended_lut = "off";
+defparam \datamem|ram~453feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~453feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y9_N38
+dffeas \datamem|ram~453 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~453feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~453_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~453 .is_wysiwyg = "true";
+defparam \datamem|ram~453 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y9_N54
+cyclonev_lcell_comb \datamem|ram~709feeder (
+// Equation(s):
+// \datamem|ram~709feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~709feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~709feeder .extended_lut = "off";
+defparam \datamem|ram~709feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~709feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y9_N55
+dffeas \datamem|ram~709 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~709feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~709_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~709 .is_wysiwyg = "true";
+defparam \datamem|ram~709 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y5_N35
+dffeas \datamem|ram~197 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~197_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~197 .is_wysiwyg = "true";
+defparam \datamem|ram~197 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y9_N26
+dffeas \datamem|ram~965 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~965_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~965 .is_wysiwyg = "true";
+defparam \datamem|ram~965 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y9_N24
+cyclonev_lcell_comb \datamem|ram~4864 (
+// Equation(s):
+// \datamem|ram~4864_combout = ( \datamem|ram~965_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~709_q ) ) ) ) # ( !\datamem|ram~965_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~709_q & !\alu_unit|Mux10~6_combout )
+// ) ) ) # ( \datamem|ram~965_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~197_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~453_q )) ) ) ) # ( !\datamem|ram~965_q & ( !\alu_unit|Mux9~4_combout & (
+// (!\alu_unit|Mux10~6_combout & ((\datamem|ram~197_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~453_q )) ) ) )
+
+ .dataa(!\datamem|ram~453_q ),
+ .datab(!\datamem|ram~709_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~197_q ),
+ .datae(!\datamem|ram~965_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4864_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4864 .extended_lut = "off";
+defparam \datamem|ram~4864 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4864 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N18
+cyclonev_lcell_comb \datamem|ram~581feeder (
+// Equation(s):
+// \datamem|ram~581feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~581feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~581feeder .extended_lut = "off";
+defparam \datamem|ram~581feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~581feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N20
+dffeas \datamem|ram~581 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~581feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~581_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~581 .is_wysiwyg = "true";
+defparam \datamem|ram~581 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N42
+cyclonev_lcell_comb \datamem|ram~69feeder (
+// Equation(s):
+// \datamem|ram~69feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~69feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~69feeder .extended_lut = "off";
+defparam \datamem|ram~69feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~69feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N44
+dffeas \datamem|ram~69 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~69feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~69_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~69 .is_wysiwyg = "true";
+defparam \datamem|ram~69 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N3
+cyclonev_lcell_comb \datamem|ram~837feeder (
+// Equation(s):
+// \datamem|ram~837feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~837feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~837feeder .extended_lut = "off";
+defparam \datamem|ram~837feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~837feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N4
+dffeas \datamem|ram~837 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~837feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~837_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~837 .is_wysiwyg = "true";
+defparam \datamem|ram~837 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y7_N32
+dffeas \datamem|ram~325 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~325_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~325 .is_wysiwyg = "true";
+defparam \datamem|ram~325 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y7_N30
+cyclonev_lcell_comb \datamem|ram~4862 (
+// Equation(s):
+// \datamem|ram~4862_combout = ( \datamem|ram~325_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~581_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~837_q ))) ) ) ) # ( !\datamem|ram~325_q & ( \alu_unit|Mux9~4_combout
+// & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~581_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~837_q ))) ) ) ) # ( \datamem|ram~325_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~69_q ) ) ) ) # (
+// !\datamem|ram~325_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~69_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~581_q ),
+ .datab(!\datamem|ram~69_q ),
+ .datac(!\datamem|ram~837_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~325_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4862_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4862 .extended_lut = "off";
+defparam \datamem|ram~4862 .lut_mask = 64'h330033FF550F550F;
+defparam \datamem|ram~4862 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y9_N21
+cyclonev_lcell_comb \datamem|ram~4865 (
+// Equation(s):
+// \datamem|ram~4865_combout = ( \datamem|ram~4864_combout & ( \datamem|ram~4862_combout & ( ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4861_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4863_combout )))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~4864_combout & ( \datamem|ram~4862_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4861_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4863_combout ))))) #
+// (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )))) ) ) ) # ( \datamem|ram~4864_combout & ( !\datamem|ram~4862_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4861_combout )) #
+// (\alu_unit|Mux11~4_combout & ((\datamem|ram~4863_combout ))))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~4864_combout & ( !\datamem|ram~4862_combout & ( (!\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4861_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4863_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~4861_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~4863_combout ),
+ .datae(!\datamem|ram~4864_combout ),
+ .dataf(!\datamem|ram~4862_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4865_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4865 .extended_lut = "off";
+defparam \datamem|ram~4865 .lut_mask = 64'h404C434F707C737F;
+defparam \datamem|ram~4865 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N7
+dffeas \datamem|ram~629 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~629_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~629 .is_wysiwyg = "true";
+defparam \datamem|ram~629 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N49
+dffeas \datamem|ram~693 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~693_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~693 .is_wysiwyg = "true";
+defparam \datamem|ram~693 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y5_N54
+cyclonev_lcell_comb \datamem|ram~565feeder (
+// Equation(s):
+// \datamem|ram~565feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~565feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~565feeder .extended_lut = "off";
+defparam \datamem|ram~565feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~565feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N55
+dffeas \datamem|ram~565 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~565feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~565_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~565 .is_wysiwyg = "true";
+defparam \datamem|ram~565 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N32
+dffeas \datamem|ram~757 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~757_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~757 .is_wysiwyg = "true";
+defparam \datamem|ram~757 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y17_N30
+cyclonev_lcell_comb \datamem|ram~4878 (
+// Equation(s):
+// \datamem|ram~4878_combout = ( \datamem|ram~757_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~693_q ) ) ) ) # ( !\datamem|ram~757_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~693_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~757_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~565_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~629_q )) ) ) ) # ( !\datamem|ram~757_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~565_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~629_q )) ) ) )
+
+ .dataa(!\datamem|ram~629_q ),
+ .datab(!\datamem|ram~693_q ),
+ .datac(!\datamem|ram~565_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~757_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4878_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4878 .extended_lut = "off";
+defparam \datamem|ram~4878 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4878 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y7_N39
+cyclonev_lcell_comb \datamem|ram~309feeder (
+// Equation(s):
+// \datamem|ram~309feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~309feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~309feeder .extended_lut = "off";
+defparam \datamem|ram~309feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~309feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N40
+dffeas \datamem|ram~309 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~309feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~309_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~309 .is_wysiwyg = "true";
+defparam \datamem|ram~309 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N41
+dffeas \datamem|ram~373 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~373_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~373 .is_wysiwyg = "true";
+defparam \datamem|ram~373 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N32
+dffeas \datamem|ram~437 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~437_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~437 .is_wysiwyg = "true";
+defparam \datamem|ram~437 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N44
+dffeas \datamem|ram~501 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~501_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~501 .is_wysiwyg = "true";
+defparam \datamem|ram~501 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N42
+cyclonev_lcell_comb \datamem|ram~4877 (
+// Equation(s):
+// \datamem|ram~4877_combout = ( \datamem|ram~501_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~373_q ) ) ) ) # ( !\datamem|ram~501_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~373_q & !\alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~501_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~309_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~437_q ))) ) ) ) # ( !\datamem|ram~501_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & (\datamem|ram~309_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~437_q ))) ) ) )
+
+ .dataa(!\datamem|ram~309_q ),
+ .datab(!\datamem|ram~373_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~437_q ),
+ .datae(!\datamem|ram~501_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4877_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4877 .extended_lut = "off";
+defparam \datamem|ram~4877 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4877 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N31
+dffeas \datamem|ram~117 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~117_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~117 .is_wysiwyg = "true";
+defparam \datamem|ram~117 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N0
+cyclonev_lcell_comb \datamem|ram~181feeder (
+// Equation(s):
+// \datamem|ram~181feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~181feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~181feeder .extended_lut = "off";
+defparam \datamem|ram~181feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~181feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N1
+dffeas \datamem|ram~181 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~181feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~181_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~181 .is_wysiwyg = "true";
+defparam \datamem|ram~181 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N7
+dffeas \datamem|ram~53 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~53_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~53 .is_wysiwyg = "true";
+defparam \datamem|ram~53 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N44
+dffeas \datamem|ram~245 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~245_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~245 .is_wysiwyg = "true";
+defparam \datamem|ram~245 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N42
+cyclonev_lcell_comb \datamem|ram~4876 (
+// Equation(s):
+// \datamem|ram~4876_combout = ( \datamem|ram~245_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~181_q ) ) ) ) # ( !\datamem|ram~245_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~181_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~245_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~53_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~117_q )) ) ) ) # ( !\datamem|ram~245_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~53_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~117_q )) ) ) )
+
+ .dataa(!\datamem|ram~117_q ),
+ .datab(!\datamem|ram~181_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~53_q ),
+ .datae(!\datamem|ram~245_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4876_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4876 .extended_lut = "off";
+defparam \datamem|ram~4876 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4876 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N56
+dffeas \datamem|ram~885 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~885_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~885 .is_wysiwyg = "true";
+defparam \datamem|ram~885 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N13
+dffeas \datamem|ram~821 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~821_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~821 .is_wysiwyg = "true";
+defparam \datamem|ram~821 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N44
+dffeas \datamem|ram~949 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~949_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~949 .is_wysiwyg = "true";
+defparam \datamem|ram~949 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N38
+dffeas \datamem|ram~1013 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1013_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1013 .is_wysiwyg = "true";
+defparam \datamem|ram~1013 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N36
+cyclonev_lcell_comb \datamem|ram~4879 (
+// Equation(s):
+// \datamem|ram~4879_combout = ( \datamem|ram~1013_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~885_q ) ) ) ) # ( !\datamem|ram~1013_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~885_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1013_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~821_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~949_q ))) ) ) ) # ( !\datamem|ram~1013_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~821_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~949_q ))) ) ) )
+
+ .dataa(!\datamem|ram~885_q ),
+ .datab(!\datamem|ram~821_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~949_q ),
+ .datae(!\datamem|ram~1013_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4879_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4879 .extended_lut = "off";
+defparam \datamem|ram~4879 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4879 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N48
+cyclonev_lcell_comb \datamem|ram~4880 (
+// Equation(s):
+// \datamem|ram~4880_combout = ( \datamem|ram~4876_combout & ( \datamem|ram~4879_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )) # (\datamem|ram~4878_combout ))) # (\alu_unit|Mux10~6_combout & (((\alu_unit|Mux9~4_combout ) #
+// (\datamem|ram~4877_combout )))) ) ) ) # ( !\datamem|ram~4876_combout & ( \datamem|ram~4879_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~4878_combout & ((\alu_unit|Mux9~4_combout )))) # (\alu_unit|Mux10~6_combout &
+// (((\alu_unit|Mux9~4_combout ) # (\datamem|ram~4877_combout )))) ) ) ) # ( \datamem|ram~4876_combout & ( !\datamem|ram~4879_combout & ( (!\alu_unit|Mux10~6_combout & (((!\alu_unit|Mux9~4_combout )) # (\datamem|ram~4878_combout ))) #
+// (\alu_unit|Mux10~6_combout & (((\datamem|ram~4877_combout & !\alu_unit|Mux9~4_combout )))) ) ) ) # ( !\datamem|ram~4876_combout & ( !\datamem|ram~4879_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~4878_combout & ((\alu_unit|Mux9~4_combout
+// )))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4877_combout & !\alu_unit|Mux9~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4878_combout ),
+ .datab(!\datamem|ram~4877_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~4876_combout ),
+ .dataf(!\datamem|ram~4879_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4880_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4880 .extended_lut = "off";
+defparam \datamem|ram~4880 .lut_mask = 64'h0350F350035FF35F;
+defparam \datamem|ram~4880 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N54
+cyclonev_lcell_comb \datamem|ram~4881 (
+// Equation(s):
+// \datamem|ram~4881_combout = ( \datamem|ram~4865_combout & ( \datamem|ram~4880_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4875_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~4870_combout )) #
+// (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~4865_combout & ( \datamem|ram~4880_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~4875_combout ))) # (\alu_unit|Mux14~6_combout &
+// (((\datamem|ram~4870_combout )) # (\alu_unit|Mux13~4_combout ))) ) ) ) # ( \datamem|ram~4865_combout & ( !\datamem|ram~4880_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4875_combout )))) #
+// (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4870_combout )))) ) ) ) # ( !\datamem|ram~4865_combout & ( !\datamem|ram~4880_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~4875_combout
+// ))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4870_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4875_combout ),
+ .datad(!\datamem|ram~4870_combout ),
+ .datae(!\datamem|ram~4865_combout ),
+ .dataf(!\datamem|ram~4880_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4881_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4881 .extended_lut = "off";
+defparam \datamem|ram~4881 .lut_mask = 64'h02468ACE13579BDF;
+defparam \datamem|ram~4881 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y7_N45
+cyclonev_lcell_comb \datamem|ram~3685feeder (
+// Equation(s):
+// \datamem|ram~3685feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3685feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3685feeder .extended_lut = "off";
+defparam \datamem|ram~3685feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3685feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y7_N46
+dffeas \datamem|ram~3685 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3685feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3685_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3685 .is_wysiwyg = "true";
+defparam \datamem|ram~3685 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y17_N15
+cyclonev_lcell_comb \datamem|ram~3173feeder (
+// Equation(s):
+// \datamem|ram~3173feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3173feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3173feeder .extended_lut = "off";
+defparam \datamem|ram~3173feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3173feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N17
+dffeas \datamem|ram~3173 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3173feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3173_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3173 .is_wysiwyg = "true";
+defparam \datamem|ram~3173 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N43
+dffeas \datamem|ram~3429 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3429_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3429 .is_wysiwyg = "true";
+defparam \datamem|ram~3429 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N5
+dffeas \datamem|ram~3941 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3941_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3941 .is_wysiwyg = "true";
+defparam \datamem|ram~3941 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y17_N3
+cyclonev_lcell_comb \datamem|ram~4935 (
+// Equation(s):
+// \datamem|ram~4935_combout = ( \datamem|ram~3941_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3429_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~3941_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~3429_q ) ) ) ) # ( \datamem|ram~3941_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3173_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3685_q )) ) ) ) # ( !\datamem|ram~3941_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3173_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3685_q )) ) ) )
+
+ .dataa(!\datamem|ram~3685_q ),
+ .datab(!\datamem|ram~3173_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3429_q ),
+ .datae(!\datamem|ram~3941_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4935_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4935 .extended_lut = "off";
+defparam \datamem|ram~4935 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4935 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y16_N39
+cyclonev_lcell_comb \datamem|ram~3621feeder (
+// Equation(s):
+// \datamem|ram~3621feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3621feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3621feeder .extended_lut = "off";
+defparam \datamem|ram~3621feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3621feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N40
+dffeas \datamem|ram~3621 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3621feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3621_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3621 .is_wysiwyg = "true";
+defparam \datamem|ram~3621 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N21
+cyclonev_lcell_comb \datamem|ram~3365feeder (
+// Equation(s):
+// \datamem|ram~3365feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3365feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3365feeder .extended_lut = "off";
+defparam \datamem|ram~3365feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3365feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N23
+dffeas \datamem|ram~3365 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3365feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3365_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3365 .is_wysiwyg = "true";
+defparam \datamem|ram~3365 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y8_N33
+cyclonev_lcell_comb \datamem|ram~3109feeder (
+// Equation(s):
+// \datamem|ram~3109feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3109feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3109feeder .extended_lut = "off";
+defparam \datamem|ram~3109feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3109feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y8_N34
+dffeas \datamem|ram~3109 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3109feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3109_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3109 .is_wysiwyg = "true";
+defparam \datamem|ram~3109 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y16_N42
+cyclonev_lcell_comb \datamem|ram~3877feeder (
+// Equation(s):
+// \datamem|ram~3877feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3877feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3877feeder .extended_lut = "off";
+defparam \datamem|ram~3877feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3877feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N43
+dffeas \datamem|ram~3877 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3877feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3877_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3877 .is_wysiwyg = "true";
+defparam \datamem|ram~3877 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y15_N15
+cyclonev_lcell_comb \datamem|ram~4934 (
+// Equation(s):
+// \datamem|ram~4934_combout = ( \datamem|ram~3877_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3365_q ) ) ) ) # ( !\datamem|ram~3877_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3365_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3877_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3109_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3621_q )) ) ) ) # ( !\datamem|ram~3877_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3109_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3621_q )) ) ) )
+
+ .dataa(!\datamem|ram~3621_q ),
+ .datab(!\datamem|ram~3365_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3109_q ),
+ .datae(!\datamem|ram~3877_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4934_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4934 .extended_lut = "off";
+defparam \datamem|ram~4934 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4934 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N22
+dffeas \datamem|ram~3749 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3749_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3749 .is_wysiwyg = "true";
+defparam \datamem|ram~3749 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y20_N45
+cyclonev_lcell_comb \datamem|ram~3237feeder (
+// Equation(s):
+// \datamem|ram~3237feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3237feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3237feeder .extended_lut = "off";
+defparam \datamem|ram~3237feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3237feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y20_N47
+dffeas \datamem|ram~3237 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3237feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3237_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3237 .is_wysiwyg = "true";
+defparam \datamem|ram~3237 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y19_N49
+dffeas \datamem|ram~3493 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3493_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3493 .is_wysiwyg = "true";
+defparam \datamem|ram~3493 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N5
+dffeas \datamem|ram~4005 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4005_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4005 .is_wysiwyg = "true";
+defparam \datamem|ram~4005 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N3
+cyclonev_lcell_comb \datamem|ram~4936 (
+// Equation(s):
+// \datamem|ram~4936_combout = ( \datamem|ram~4005_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3493_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~4005_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~3493_q ) ) ) ) # ( \datamem|ram~4005_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3237_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3749_q )) ) ) ) # ( !\datamem|ram~4005_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3237_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3749_q )) ) ) )
+
+ .dataa(!\datamem|ram~3749_q ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~3237_q ),
+ .datad(!\datamem|ram~3493_q ),
+ .datae(!\datamem|ram~4005_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4936_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4936 .extended_lut = "off";
+defparam \datamem|ram~4936 .lut_mask = 64'h1D1D1D1D00CC33FF;
+defparam \datamem|ram~4936 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N23
+dffeas \datamem|ram~3557 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3557_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3557 .is_wysiwyg = "true";
+defparam \datamem|ram~3557 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y20_N34
+dffeas \datamem|ram~3301 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3301_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3301 .is_wysiwyg = "true";
+defparam \datamem|ram~3301 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N52
+dffeas \datamem|ram~3813 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3813_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3813 .is_wysiwyg = "true";
+defparam \datamem|ram~3813 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y20_N38
+dffeas \datamem|ram~4069 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4069_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4069 .is_wysiwyg = "true";
+defparam \datamem|ram~4069 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N36
+cyclonev_lcell_comb \datamem|ram~4937 (
+// Equation(s):
+// \datamem|ram~4937_combout = ( \datamem|ram~4069_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3813_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~4069_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~3813_q ) ) ) ) # ( \datamem|ram~4069_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3301_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3557_q )) ) ) ) # ( !\datamem|ram~4069_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3301_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3557_q )) ) ) )
+
+ .dataa(!\datamem|ram~3557_q ),
+ .datab(!\datamem|ram~3301_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3813_q ),
+ .datae(!\datamem|ram~4069_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4937_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4937 .extended_lut = "off";
+defparam \datamem|ram~4937 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4937 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N36
+cyclonev_lcell_comb \datamem|ram~4938 (
+// Equation(s):
+// \datamem|ram~4938_combout = ( \datamem|ram~4936_combout & ( \datamem|ram~4937_combout & ( ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4934_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4935_combout ))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4936_combout & ( \datamem|ram~4937_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4934_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4935_combout )) #
+// (\alu_unit|Mux11~4_combout ))) ) ) ) # ( \datamem|ram~4936_combout & ( !\datamem|ram~4937_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4934_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout &
+// (!\alu_unit|Mux11~4_combout & (\datamem|ram~4935_combout ))) ) ) ) # ( !\datamem|ram~4936_combout & ( !\datamem|ram~4937_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4934_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\datamem|ram~4935_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4935_combout ),
+ .datad(!\datamem|ram~4934_combout ),
+ .datae(!\datamem|ram~4936_combout ),
+ .dataf(!\datamem|ram~4937_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4938_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4938 .extended_lut = "off";
+defparam \datamem|ram~4938 .lut_mask = 64'h048C26AE159D37BF;
+defparam \datamem|ram~4938 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N53
+dffeas \datamem|ram~3781 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3781_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3781 .is_wysiwyg = "true";
+defparam \datamem|ram~3781 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N46
+dffeas \datamem|ram~3525 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3525_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3525 .is_wysiwyg = "true";
+defparam \datamem|ram~3525 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y20_N42
+cyclonev_lcell_comb \datamem|ram~3269feeder (
+// Equation(s):
+// \datamem|ram~3269feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3269feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3269feeder .extended_lut = "off";
+defparam \datamem|ram~3269feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3269feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N43
+dffeas \datamem|ram~3269 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3269feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3269_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3269 .is_wysiwyg = "true";
+defparam \datamem|ram~3269 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y19_N8
+dffeas \datamem|ram~4037 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4037_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4037 .is_wysiwyg = "true";
+defparam \datamem|ram~4037 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y19_N6
+cyclonev_lcell_comb \datamem|ram~4927 (
+// Equation(s):
+// \datamem|ram~4927_combout = ( \datamem|ram~4037_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3781_q ) ) ) ) # ( !\datamem|ram~4037_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3781_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~4037_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3269_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3525_q )) ) ) ) # ( !\datamem|ram~4037_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3269_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3525_q )) ) ) )
+
+ .dataa(!\datamem|ram~3781_q ),
+ .datab(!\datamem|ram~3525_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3269_q ),
+ .datae(!\datamem|ram~4037_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4927_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4927 .extended_lut = "off";
+defparam \datamem|ram~4927 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4927 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y21_N33
+cyclonev_lcell_comb \datamem|ram~3845feeder (
+// Equation(s):
+// \datamem|ram~3845feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3845feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3845feeder .extended_lut = "off";
+defparam \datamem|ram~3845feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3845feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y21_N34
+dffeas \datamem|ram~3845 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3845feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3845_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3845 .is_wysiwyg = "true";
+defparam \datamem|ram~3845 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y22_N3
+cyclonev_lcell_comb \datamem|ram~3077feeder (
+// Equation(s):
+// \datamem|ram~3077feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3077feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3077feeder .extended_lut = "off";
+defparam \datamem|ram~3077feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3077feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y22_N4
+dffeas \datamem|ram~3077 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3077feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3077_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3077 .is_wysiwyg = "true";
+defparam \datamem|ram~3077 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N39
+cyclonev_lcell_comb \datamem|ram~3589feeder (
+// Equation(s):
+// \datamem|ram~3589feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3589feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3589feeder .extended_lut = "off";
+defparam \datamem|ram~3589feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3589feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N41
+dffeas \datamem|ram~3589 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3589feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3589_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3589 .is_wysiwyg = "true";
+defparam \datamem|ram~3589 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y5_N6
+cyclonev_lcell_comb \datamem|ram~3333feeder (
+// Equation(s):
+// \datamem|ram~3333feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3333feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3333feeder .extended_lut = "off";
+defparam \datamem|ram~3333feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3333feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N7
+dffeas \datamem|ram~3333 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3333feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3333_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3333 .is_wysiwyg = "true";
+defparam \datamem|ram~3333 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y19_N57
+cyclonev_lcell_comb \datamem|ram~4924 (
+// Equation(s):
+// \datamem|ram~4924_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3845_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3333_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~3589_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~3077_q ) ) )
+
+ .dataa(!\datamem|ram~3845_q ),
+ .datab(!\datamem|ram~3077_q ),
+ .datac(!\datamem|ram~3589_q ),
+ .datad(!\datamem|ram~3333_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4924_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4924 .extended_lut = "off";
+defparam \datamem|ram~4924 .lut_mask = 64'h33330F0F00FF5555;
+defparam \datamem|ram~4924 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y21_N42
+cyclonev_lcell_comb \datamem|ram~3973feeder (
+// Equation(s):
+// \datamem|ram~3973feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3973feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3973feeder .extended_lut = "off";
+defparam \datamem|ram~3973feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3973feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N43
+dffeas \datamem|ram~3973 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3973feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3973_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3973 .is_wysiwyg = "true";
+defparam \datamem|ram~3973 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y21_N21
+cyclonev_lcell_comb \datamem|ram~3461feeder (
+// Equation(s):
+// \datamem|ram~3461feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3461feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3461feeder .extended_lut = "off";
+defparam \datamem|ram~3461feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3461feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y21_N22
+dffeas \datamem|ram~3461 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3461feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3461_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3461 .is_wysiwyg = "true";
+defparam \datamem|ram~3461 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N1
+dffeas \datamem|ram~3205 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3205_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3205 .is_wysiwyg = "true";
+defparam \datamem|ram~3205 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y21_N25
+dffeas \datamem|ram~3717 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3717_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3717 .is_wysiwyg = "true";
+defparam \datamem|ram~3717 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y19_N45
+cyclonev_lcell_comb \datamem|ram~4926 (
+// Equation(s):
+// \datamem|ram~4926_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3973_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3461_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~3717_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~3205_q ) ) )
+
+ .dataa(!\datamem|ram~3973_q ),
+ .datab(!\datamem|ram~3461_q ),
+ .datac(!\datamem|ram~3205_q ),
+ .datad(!\datamem|ram~3717_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4926_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4926 .extended_lut = "off";
+defparam \datamem|ram~4926 .lut_mask = 64'h0F0F00FF33335555;
+defparam \datamem|ram~4926 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y17_N36
+cyclonev_lcell_comb \datamem|ram~3397feeder (
+// Equation(s):
+// \datamem|ram~3397feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3397feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3397feeder .extended_lut = "off";
+defparam \datamem|ram~3397feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3397feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N37
+dffeas \datamem|ram~3397 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3397feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3397_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3397 .is_wysiwyg = "true";
+defparam \datamem|ram~3397 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y21_N36
+cyclonev_lcell_comb \datamem|ram~3909feeder (
+// Equation(s):
+// \datamem|ram~3909feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3909feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3909feeder .extended_lut = "off";
+defparam \datamem|ram~3909feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3909feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y21_N37
+dffeas \datamem|ram~3909 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3909feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3909_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3909 .is_wysiwyg = "true";
+defparam \datamem|ram~3909 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N43
+dffeas \datamem|ram~3141 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3141_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3141 .is_wysiwyg = "true";
+defparam \datamem|ram~3141 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y6_N26
+dffeas \datamem|ram~3653 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3653_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3653 .is_wysiwyg = "true";
+defparam \datamem|ram~3653 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y19_N12
+cyclonev_lcell_comb \datamem|ram~4925 (
+// Equation(s):
+// \datamem|ram~4925_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3909_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~3397_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~3653_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~3141_q ) ) )
+
+ .dataa(!\datamem|ram~3397_q ),
+ .datab(!\datamem|ram~3909_q ),
+ .datac(!\datamem|ram~3141_q ),
+ .datad(!\datamem|ram~3653_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4925_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4925 .extended_lut = "off";
+defparam \datamem|ram~4925 .lut_mask = 64'h0F0F00FF55553333;
+defparam \datamem|ram~4925 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y19_N24
+cyclonev_lcell_comb \datamem|ram~4928 (
+// Equation(s):
+// \datamem|ram~4928_combout = ( \datamem|ram~4926_combout & ( \datamem|ram~4925_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4924_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) #
+// ((\datamem|ram~4927_combout )))) ) ) ) # ( !\datamem|ram~4926_combout & ( \datamem|ram~4925_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4924_combout )))) # (\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4927_combout )))) ) ) ) # ( \datamem|ram~4926_combout & ( !\datamem|ram~4925_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4924_combout )) # (\alu_unit|Mux11~4_combout ))) #
+// (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4927_combout ))) ) ) ) # ( !\datamem|ram~4926_combout & ( !\datamem|ram~4925_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4924_combout
+// )))) # (\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4927_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4927_combout ),
+ .datad(!\datamem|ram~4924_combout ),
+ .datae(!\datamem|ram~4926_combout ),
+ .dataf(!\datamem|ram~4925_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4928_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4928 .extended_lut = "off";
+defparam \datamem|ram~4928 .lut_mask = 64'h018923AB45CD67EF;
+defparam \datamem|ram~4928 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N29
+dffeas \datamem|ram~3253 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3253_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3253 .is_wysiwyg = "true";
+defparam \datamem|ram~3253 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N31
+dffeas \datamem|ram~3189 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3189_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3189 .is_wysiwyg = "true";
+defparam \datamem|ram~3189 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y7_N25
+dffeas \datamem|ram~3125 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3125_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3125 .is_wysiwyg = "true";
+defparam \datamem|ram~3125 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y13_N56
+dffeas \datamem|ram~3317 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3317_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3317 .is_wysiwyg = "true";
+defparam \datamem|ram~3317 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y13_N54
+cyclonev_lcell_comb \datamem|ram~4939 (
+// Equation(s):
+// \datamem|ram~4939_combout = ( \datamem|ram~3317_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3253_q ) ) ) ) # ( !\datamem|ram~3317_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3253_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3317_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3125_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3189_q )) ) ) ) # ( !\datamem|ram~3317_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3125_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3189_q )) ) ) )
+
+ .dataa(!\datamem|ram~3253_q ),
+ .datab(!\datamem|ram~3189_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3125_q ),
+ .datae(!\datamem|ram~3317_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4939_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4939 .extended_lut = "off";
+defparam \datamem|ram~4939 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4939 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y6_N18
+cyclonev_lcell_comb \datamem|ram~3701feeder (
+// Equation(s):
+// \datamem|ram~3701feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3701feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3701feeder .extended_lut = "off";
+defparam \datamem|ram~3701feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3701feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N19
+dffeas \datamem|ram~3701 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3701feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3701_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3701 .is_wysiwyg = "true";
+defparam \datamem|ram~3701 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N36
+cyclonev_lcell_comb \datamem|ram~3637feeder (
+// Equation(s):
+// \datamem|ram~3637feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3637feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3637feeder .extended_lut = "off";
+defparam \datamem|ram~3637feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3637feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N37
+dffeas \datamem|ram~3637 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3637feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3637_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3637 .is_wysiwyg = "true";
+defparam \datamem|ram~3637 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y6_N52
+dffeas \datamem|ram~3765 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3765_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3765 .is_wysiwyg = "true";
+defparam \datamem|ram~3765 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y13_N44
+dffeas \datamem|ram~3829 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3829_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3829 .is_wysiwyg = "true";
+defparam \datamem|ram~3829 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y13_N42
+cyclonev_lcell_comb \datamem|ram~4941 (
+// Equation(s):
+// \datamem|ram~4941_combout = ( \datamem|ram~3829_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3765_q ) ) ) ) # ( !\datamem|ram~3829_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3765_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3829_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3637_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3701_q )) ) ) ) # ( !\datamem|ram~3829_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3637_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3701_q )) ) ) )
+
+ .dataa(!\datamem|ram~3701_q ),
+ .datab(!\datamem|ram~3637_q ),
+ .datac(!\datamem|ram~3765_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3829_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4941_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4941 .extended_lut = "off";
+defparam \datamem|ram~4941 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4941 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y13_N35
+dffeas \datamem|ram~3893 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3893_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3893 .is_wysiwyg = "true";
+defparam \datamem|ram~3893 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y13_N25
+dffeas \datamem|ram~4021 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4021_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4021 .is_wysiwyg = "true";
+defparam \datamem|ram~4021 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y16_N23
+dffeas \datamem|ram~3957 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3957_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3957 .is_wysiwyg = "true";
+defparam \datamem|ram~3957 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y13_N20
+dffeas \datamem|ram~4085 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4085_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4085 .is_wysiwyg = "true";
+defparam \datamem|ram~4085 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y13_N18
+cyclonev_lcell_comb \datamem|ram~4942 (
+// Equation(s):
+// \datamem|ram~4942_combout = ( \datamem|ram~4085_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~4021_q ) ) ) ) # ( !\datamem|ram~4085_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~4021_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~4085_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3893_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3957_q ))) ) ) ) # ( !\datamem|ram~4085_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3893_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3957_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3893_q ),
+ .datab(!\datamem|ram~4021_q ),
+ .datac(!\datamem|ram~3957_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4085_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4942_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4942 .extended_lut = "off";
+defparam \datamem|ram~4942 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4942 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y17_N18
+cyclonev_lcell_comb \datamem|ram~3509feeder (
+// Equation(s):
+// \datamem|ram~3509feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3509feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3509feeder .extended_lut = "off";
+defparam \datamem|ram~3509feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3509feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y17_N20
+dffeas \datamem|ram~3509 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3509feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3509_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3509 .is_wysiwyg = "true";
+defparam \datamem|ram~3509 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y6_N12
+cyclonev_lcell_comb \datamem|ram~3381feeder (
+// Equation(s):
+// \datamem|ram~3381feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3381feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3381feeder .extended_lut = "off";
+defparam \datamem|ram~3381feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3381feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N13
+dffeas \datamem|ram~3381 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3381feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3381_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3381 .is_wysiwyg = "true";
+defparam \datamem|ram~3381 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N42
+cyclonev_lcell_comb \datamem|ram~3445feeder (
+// Equation(s):
+// \datamem|ram~3445feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3445feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3445feeder .extended_lut = "off";
+defparam \datamem|ram~3445feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3445feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N44
+dffeas \datamem|ram~3445 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3445feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3445_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3445 .is_wysiwyg = "true";
+defparam \datamem|ram~3445 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y13_N50
+dffeas \datamem|ram~3573 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3573_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3573 .is_wysiwyg = "true";
+defparam \datamem|ram~3573 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y13_N48
+cyclonev_lcell_comb \datamem|ram~4940 (
+// Equation(s):
+// \datamem|ram~4940_combout = ( \datamem|ram~3573_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3509_q ) ) ) ) # ( !\datamem|ram~3573_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3509_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3573_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3381_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3445_q ))) ) ) ) # ( !\datamem|ram~3573_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3381_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3445_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3509_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~3381_q ),
+ .datad(!\datamem|ram~3445_q ),
+ .datae(!\datamem|ram~3573_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4940_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4940 .extended_lut = "off";
+defparam \datamem|ram~4940 .lut_mask = 64'h0C3F0C3F44447777;
+defparam \datamem|ram~4940 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y13_N18
+cyclonev_lcell_comb \datamem|ram~4943 (
+// Equation(s):
+// \datamem|ram~4943_combout = ( \datamem|ram~4942_combout & ( \datamem|ram~4940_combout & ( ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4939_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4941_combout )))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~4942_combout & ( \datamem|ram~4940_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4939_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4941_combout ))))) # (\alu_unit|Mux10~6_combout &
+// (!\alu_unit|Mux9~4_combout )) ) ) ) # ( \datamem|ram~4942_combout & ( !\datamem|ram~4940_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4939_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4941_combout
+// ))))) # (\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout )) ) ) ) # ( !\datamem|ram~4942_combout & ( !\datamem|ram~4940_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4939_combout )) #
+// (\alu_unit|Mux9~4_combout & ((\datamem|ram~4941_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4939_combout ),
+ .datad(!\datamem|ram~4941_combout ),
+ .datae(!\datamem|ram~4942_combout ),
+ .dataf(!\datamem|ram~4940_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4943_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4943 .extended_lut = "off";
+defparam \datamem|ram~4943 .lut_mask = 64'h082A193B4C6E5D7F;
+defparam \datamem|ram~4943 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N2
+dffeas \datamem|ram~3157 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3157_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3157 .is_wysiwyg = "true";
+defparam \datamem|ram~3157 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y18_N3
+cyclonev_lcell_comb \datamem|ram~3669feeder (
+// Equation(s):
+// \datamem|ram~3669feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3669feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3669feeder .extended_lut = "off";
+defparam \datamem|ram~3669feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3669feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y18_N4
+dffeas \datamem|ram~3669 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3669feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3669_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3669 .is_wysiwyg = "true";
+defparam \datamem|ram~3669 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N9
+cyclonev_lcell_comb \datamem|ram~3413feeder (
+// Equation(s):
+// \datamem|ram~3413feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3413feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3413feeder .extended_lut = "off";
+defparam \datamem|ram~3413feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3413feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N10
+dffeas \datamem|ram~3413 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3413feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3413_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3413 .is_wysiwyg = "true";
+defparam \datamem|ram~3413 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N14
+dffeas \datamem|ram~3925 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3925_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3925 .is_wysiwyg = "true";
+defparam \datamem|ram~3925 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y15_N12
+cyclonev_lcell_comb \datamem|ram~4930 (
+// Equation(s):
+// \datamem|ram~4930_combout = ( \datamem|ram~3925_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3413_q ) ) ) ) # ( !\datamem|ram~3925_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3413_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3925_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3157_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3669_q ))) ) ) ) # ( !\datamem|ram~3925_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~3157_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~3669_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3157_q ),
+ .datab(!\datamem|ram~3669_q ),
+ .datac(!\datamem|ram~3413_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~3925_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4930_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4930 .extended_lut = "off";
+defparam \datamem|ram~4930 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4930 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N20
+dffeas \datamem|ram~3349 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3349_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3349 .is_wysiwyg = "true";
+defparam \datamem|ram~3349 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y20_N15
+cyclonev_lcell_comb \datamem|ram~3605feeder (
+// Equation(s):
+// \datamem|ram~3605feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3605feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3605feeder .extended_lut = "off";
+defparam \datamem|ram~3605feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3605feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N16
+dffeas \datamem|ram~3605 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3605feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3605_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3605 .is_wysiwyg = "true";
+defparam \datamem|ram~3605 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y20_N30
+cyclonev_lcell_comb \datamem|ram~3861feeder (
+// Equation(s):
+// \datamem|ram~3861feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3861feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3861feeder .extended_lut = "off";
+defparam \datamem|ram~3861feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3861feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N31
+dffeas \datamem|ram~3861 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3861feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3861_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3861 .is_wysiwyg = "true";
+defparam \datamem|ram~3861 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N48
+cyclonev_lcell_comb \datamem|ram~3093feeder (
+// Equation(s):
+// \datamem|ram~3093feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3093feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3093feeder .extended_lut = "off";
+defparam \datamem|ram~3093feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3093feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N49
+dffeas \datamem|ram~3093 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3093feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3093_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3093 .is_wysiwyg = "true";
+defparam \datamem|ram~3093 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y15_N27
+cyclonev_lcell_comb \datamem|ram~4929 (
+// Equation(s):
+// \datamem|ram~4929_combout = ( \datamem|ram~3093_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3605_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3861_q ))) ) ) ) # ( !\datamem|ram~3093_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~3605_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~3861_q ))) ) ) ) # ( \datamem|ram~3093_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~3349_q ) ) ) ) # ( !\datamem|ram~3093_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~3349_q & \alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~3349_q ),
+ .datab(!\datamem|ram~3605_q ),
+ .datac(!\datamem|ram~3861_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3093_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4929_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4929 .extended_lut = "off";
+defparam \datamem|ram~4929 .lut_mask = 64'h0055FF55330F330F;
+defparam \datamem|ram~4929 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y14_N35
+dffeas \datamem|ram~3541 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3541_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3541 .is_wysiwyg = "true";
+defparam \datamem|ram~3541 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y15_N10
+dffeas \datamem|ram~3797 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3797_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3797 .is_wysiwyg = "true";
+defparam \datamem|ram~3797 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y15_N31
+dffeas \datamem|ram~3285 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3285_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3285 .is_wysiwyg = "true";
+defparam \datamem|ram~3285 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y23_N2
+dffeas \datamem|ram~4053 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4053_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4053 .is_wysiwyg = "true";
+defparam \datamem|ram~4053 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y23_N0
+cyclonev_lcell_comb \datamem|ram~4932 (
+// Equation(s):
+// \datamem|ram~4932_combout = ( \datamem|ram~4053_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~3541_q ) ) ) ) # ( !\datamem|ram~4053_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~3541_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~4053_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3285_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3797_q )) ) ) ) # ( !\datamem|ram~4053_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~3285_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~3797_q )) ) ) )
+
+ .dataa(!\datamem|ram~3541_q ),
+ .datab(!\datamem|ram~3797_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~3285_q ),
+ .datae(!\datamem|ram~4053_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4932_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4932 .extended_lut = "off";
+defparam \datamem|ram~4932 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4932 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N54
+cyclonev_lcell_comb \datamem|ram~3477feeder (
+// Equation(s):
+// \datamem|ram~3477feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3477feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3477feeder .extended_lut = "off";
+defparam \datamem|ram~3477feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3477feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N56
+dffeas \datamem|ram~3477 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3477feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3477_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3477 .is_wysiwyg = "true";
+defparam \datamem|ram~3477 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y21_N48
+cyclonev_lcell_comb \datamem|ram~3733feeder (
+// Equation(s):
+// \datamem|ram~3733feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3733feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3733feeder .extended_lut = "off";
+defparam \datamem|ram~3733feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3733feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y21_N49
+dffeas \datamem|ram~3733 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3733feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3733_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3733 .is_wysiwyg = "true";
+defparam \datamem|ram~3733 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N7
+dffeas \datamem|ram~3221 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3221_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3221 .is_wysiwyg = "true";
+defparam \datamem|ram~3221 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y18_N50
+dffeas \datamem|ram~3989 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3989_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3989 .is_wysiwyg = "true";
+defparam \datamem|ram~3989 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y18_N48
+cyclonev_lcell_comb \datamem|ram~4931 (
+// Equation(s):
+// \datamem|ram~4931_combout = ( \datamem|ram~3989_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~3733_q ) ) ) ) # ( !\datamem|ram~3989_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~3733_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3989_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3221_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3477_q )) ) ) ) # ( !\datamem|ram~3989_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~3221_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~3477_q )) ) ) )
+
+ .dataa(!\datamem|ram~3477_q ),
+ .datab(!\datamem|ram~3733_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~3221_q ),
+ .datae(!\datamem|ram~3989_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4931_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4931 .extended_lut = "off";
+defparam \datamem|ram~4931 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4931 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N51
+cyclonev_lcell_comb \datamem|ram~4933 (
+// Equation(s):
+// \datamem|ram~4933_combout = ( \datamem|ram~4932_combout & ( \datamem|ram~4931_combout & ( ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4929_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4930_combout ))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4932_combout & ( \datamem|ram~4931_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4929_combout )) # (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & (\datamem|ram~4930_combout
+// ))) ) ) ) # ( \datamem|ram~4932_combout & ( !\datamem|ram~4931_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4929_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4930_combout )) #
+// (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~4932_combout & ( !\datamem|ram~4931_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4929_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4930_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4930_combout ),
+ .datad(!\datamem|ram~4929_combout ),
+ .datae(!\datamem|ram~4932_combout ),
+ .dataf(!\datamem|ram~4931_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4933_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4933 .extended_lut = "off";
+defparam \datamem|ram~4933 .lut_mask = 64'h048C159D26AE37BF;
+defparam \datamem|ram~4933 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N30
+cyclonev_lcell_comb \datamem|ram~4944 (
+// Equation(s):
+// \datamem|ram~4944_combout = ( \datamem|ram~4943_combout & ( \datamem|ram~4933_combout & ( ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4928_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4938_combout ))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~4943_combout & ( \datamem|ram~4933_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4928_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4938_combout )))) #
+// (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout )) ) ) ) # ( \datamem|ram~4943_combout & ( !\datamem|ram~4933_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4928_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~4938_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout )) ) ) ) # ( !\datamem|ram~4943_combout & ( !\datamem|ram~4933_combout & ( (!\alu_unit|Mux14~6_combout &
+// ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~4928_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~4938_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4938_combout ),
+ .datad(!\datamem|ram~4928_combout ),
+ .datae(!\datamem|ram~4943_combout ),
+ .dataf(!\datamem|ram~4933_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4944_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4944 .extended_lut = "off";
+defparam \datamem|ram~4944 .lut_mask = 64'h028A139B46CE57DF;
+defparam \datamem|ram~4944 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y10_N57
+cyclonev_lcell_comb \datamem|ram~2197feeder (
+// Equation(s):
+// \datamem|ram~2197feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2197feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2197feeder .extended_lut = "off";
+defparam \datamem|ram~2197feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2197feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N59
+dffeas \datamem|ram~2197 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2197feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2197_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2197 .is_wysiwyg = "true";
+defparam \datamem|ram~2197 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N27
+cyclonev_lcell_comb \datamem|ram~2069feeder (
+// Equation(s):
+// \datamem|ram~2069feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2069feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2069feeder .extended_lut = "off";
+defparam \datamem|ram~2069feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2069feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N28
+dffeas \datamem|ram~2069 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2069feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2069_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2069 .is_wysiwyg = "true";
+defparam \datamem|ram~2069 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N6
+cyclonev_lcell_comb \datamem|ram~2133feeder (
+// Equation(s):
+// \datamem|ram~2133feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2133feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2133feeder .extended_lut = "off";
+defparam \datamem|ram~2133feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2133feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N7
+dffeas \datamem|ram~2133 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2133feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2133_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2133 .is_wysiwyg = "true";
+defparam \datamem|ram~2133 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y14_N8
+dffeas \datamem|ram~2261 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2261_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2261 .is_wysiwyg = "true";
+defparam \datamem|ram~2261 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y14_N6
+cyclonev_lcell_comb \datamem|ram~4904 (
+// Equation(s):
+// \datamem|ram~4904_combout = ( \datamem|ram~2261_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2133_q ) ) ) ) # ( !\datamem|ram~2261_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2133_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2261_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2069_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2197_q )) ) ) ) # ( !\datamem|ram~2261_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~2069_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~2197_q )) ) ) )
+
+ .dataa(!\datamem|ram~2197_q ),
+ .datab(!\datamem|ram~2069_q ),
+ .datac(!\datamem|ram~2133_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2261_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4904_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4904 .extended_lut = "off";
+defparam \datamem|ram~4904 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4904 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N54
+cyclonev_lcell_comb \datamem|ram~2149feeder (
+// Equation(s):
+// \datamem|ram~2149feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2149feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2149feeder .extended_lut = "off";
+defparam \datamem|ram~2149feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2149feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N55
+dffeas \datamem|ram~2149 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2149feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2149_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2149 .is_wysiwyg = "true";
+defparam \datamem|ram~2149 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y10_N30
+cyclonev_lcell_comb \datamem|ram~2085feeder (
+// Equation(s):
+// \datamem|ram~2085feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2085feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2085feeder .extended_lut = "off";
+defparam \datamem|ram~2085feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2085feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y10_N31
+dffeas \datamem|ram~2085 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2085feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2085_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2085 .is_wysiwyg = "true";
+defparam \datamem|ram~2085 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y7_N12
+cyclonev_lcell_comb \datamem|ram~2213feeder (
+// Equation(s):
+// \datamem|ram~2213feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2213feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2213feeder .extended_lut = "off";
+defparam \datamem|ram~2213feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2213feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N13
+dffeas \datamem|ram~2213 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2213feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2213_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2213 .is_wysiwyg = "true";
+defparam \datamem|ram~2213 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y14_N44
+dffeas \datamem|ram~2277 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2277_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2277 .is_wysiwyg = "true";
+defparam \datamem|ram~2277 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y14_N42
+cyclonev_lcell_comb \datamem|ram~4905 (
+// Equation(s):
+// \datamem|ram~4905_combout = ( \datamem|ram~2277_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~2149_q ) ) ) ) # ( !\datamem|ram~2277_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~2149_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~2277_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2085_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2213_q ))) ) ) ) # ( !\datamem|ram~2277_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~2085_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~2213_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2149_q ),
+ .datab(!\datamem|ram~2085_q ),
+ .datac(!\datamem|ram~2213_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~2277_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4905_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4905 .extended_lut = "off";
+defparam \datamem|ram~4905 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4905 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y18_N42
+cyclonev_lcell_comb \datamem|ram~2165feeder (
+// Equation(s):
+// \datamem|ram~2165feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2165feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2165feeder .extended_lut = "off";
+defparam \datamem|ram~2165feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2165feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y18_N43
+dffeas \datamem|ram~2165 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2165feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2165_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2165 .is_wysiwyg = "true";
+defparam \datamem|ram~2165 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X78_Y12_N16
+dffeas \datamem|ram~2229 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2229_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2229 .is_wysiwyg = "true";
+defparam \datamem|ram~2229 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N40
+dffeas \datamem|ram~2101 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2101_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2101 .is_wysiwyg = "true";
+defparam \datamem|ram~2101 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y14_N2
+dffeas \datamem|ram~2293 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2293_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2293 .is_wysiwyg = "true";
+defparam \datamem|ram~2293 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y14_N0
+cyclonev_lcell_comb \datamem|ram~4906 (
+// Equation(s):
+// \datamem|ram~4906_combout = ( \datamem|ram~2293_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2229_q ) ) ) ) # ( !\datamem|ram~2293_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2229_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2293_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2101_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2165_q )) ) ) ) # ( !\datamem|ram~2293_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2101_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2165_q )) ) ) )
+
+ .dataa(!\datamem|ram~2165_q ),
+ .datab(!\datamem|ram~2229_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2101_q ),
+ .datae(!\datamem|ram~2293_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4906_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4906 .extended_lut = "off";
+defparam \datamem|ram~4906 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4906 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N20
+dffeas \datamem|ram~2117 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2117_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2117 .is_wysiwyg = "true";
+defparam \datamem|ram~2117 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N44
+dffeas \datamem|ram~2053 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2053_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2053 .is_wysiwyg = "true";
+defparam \datamem|ram~2053 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y11_N19
+dffeas \datamem|ram~2181 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2181_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2181 .is_wysiwyg = "true";
+defparam \datamem|ram~2181 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N32
+dffeas \datamem|ram~2245 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2245_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2245 .is_wysiwyg = "true";
+defparam \datamem|ram~2245 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N30
+cyclonev_lcell_comb \datamem|ram~4903 (
+// Equation(s):
+// \datamem|ram~4903_combout = ( \datamem|ram~2245_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2181_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2245_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2181_q ) ) ) ) # ( \datamem|ram~2245_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2053_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2117_q )) ) ) ) # ( !\datamem|ram~2245_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2053_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2117_q )) ) ) )
+
+ .dataa(!\datamem|ram~2117_q ),
+ .datab(!\datamem|ram~2053_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2181_q ),
+ .datae(!\datamem|ram~2245_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4903_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4903 .extended_lut = "off";
+defparam \datamem|ram~4903 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4903 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y14_N18
+cyclonev_lcell_comb \datamem|ram~4907 (
+// Equation(s):
+// \datamem|ram~4907_combout = ( \datamem|ram~4906_combout & ( \datamem|ram~4903_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4904_combout ))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~4905_combout ) #
+// (\alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~4906_combout & ( \datamem|ram~4903_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4904_combout ))) # (\alu_unit|Mux13~4_combout &
+// (((!\alu_unit|Mux14~6_combout & \datamem|ram~4905_combout )))) ) ) ) # ( \datamem|ram~4906_combout & ( !\datamem|ram~4903_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4904_combout & (\alu_unit|Mux14~6_combout ))) #
+// (\alu_unit|Mux13~4_combout & (((\datamem|ram~4905_combout ) # (\alu_unit|Mux14~6_combout )))) ) ) ) # ( !\datamem|ram~4906_combout & ( !\datamem|ram~4903_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4904_combout &
+// (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~4905_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~4904_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~4905_combout ),
+ .datae(!\datamem|ram~4906_combout ),
+ .dataf(!\datamem|ram~4903_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4907_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4907 .extended_lut = "off";
+defparam \datamem|ram~4907 .lut_mask = 64'h02520757A2F2A7F7;
+defparam \datamem|ram~4907 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N13
+dffeas \datamem|ram~2917 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2917_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2917 .is_wysiwyg = "true";
+defparam \datamem|ram~2917 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N58
+dffeas \datamem|ram~2901 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2901_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2901 .is_wysiwyg = "true";
+defparam \datamem|ram~2901 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N2
+dffeas \datamem|ram~2885 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2885_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2885 .is_wysiwyg = "true";
+defparam \datamem|ram~2885 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N8
+dffeas \datamem|ram~2933 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2933_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2933 .is_wysiwyg = "true";
+defparam \datamem|ram~2933 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y18_N6
+cyclonev_lcell_comb \datamem|ram~4919 (
+// Equation(s):
+// \datamem|ram~4919_combout = ( \datamem|ram~2933_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2901_q ) ) ) ) # ( !\datamem|ram~2933_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2901_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2933_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2885_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2917_q )) ) ) ) # ( !\datamem|ram~2933_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2885_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2917_q )) ) ) )
+
+ .dataa(!\datamem|ram~2917_q ),
+ .datab(!\datamem|ram~2901_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2885_q ),
+ .datae(!\datamem|ram~2933_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4919_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4919 .extended_lut = "off";
+defparam \datamem|ram~4919 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4919 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N42
+cyclonev_lcell_comb \datamem|ram~3029feeder (
+// Equation(s):
+// \datamem|ram~3029feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3029feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3029feeder .extended_lut = "off";
+defparam \datamem|ram~3029feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3029feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N44
+dffeas \datamem|ram~3029 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3029feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3029_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3029 .is_wysiwyg = "true";
+defparam \datamem|ram~3029 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N45
+cyclonev_lcell_comb \datamem|ram~3045feeder (
+// Equation(s):
+// \datamem|ram~3045feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3045feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3045feeder .extended_lut = "off";
+defparam \datamem|ram~3045feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3045feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N46
+dffeas \datamem|ram~3045 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3045feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3045_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3045 .is_wysiwyg = "true";
+defparam \datamem|ram~3045 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N55
+dffeas \datamem|ram~3013 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3013_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3013 .is_wysiwyg = "true";
+defparam \datamem|ram~3013 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N26
+dffeas \datamem|ram~3061 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3061_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3061 .is_wysiwyg = "true";
+defparam \datamem|ram~3061 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N24
+cyclonev_lcell_comb \datamem|ram~4921 (
+// Equation(s):
+// \datamem|ram~4921_combout = ( \datamem|ram~3061_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3045_q ) ) ) ) # ( !\datamem|ram~3061_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3045_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3061_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3013_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3029_q )) ) ) ) # ( !\datamem|ram~3061_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3013_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3029_q )) ) ) )
+
+ .dataa(!\datamem|ram~3029_q ),
+ .datab(!\datamem|ram~3045_q ),
+ .datac(!\datamem|ram~3013_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3061_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4921_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4921 .extended_lut = "off";
+defparam \datamem|ram~4921 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4921 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N58
+dffeas \datamem|ram~2837 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2837_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2837 .is_wysiwyg = "true";
+defparam \datamem|ram~2837 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y17_N48
+cyclonev_lcell_comb \datamem|ram~2853feeder (
+// Equation(s):
+// \datamem|ram~2853feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2853feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2853feeder .extended_lut = "off";
+defparam \datamem|ram~2853feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2853feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y17_N49
+dffeas \datamem|ram~2853 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2853feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2853_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2853 .is_wysiwyg = "true";
+defparam \datamem|ram~2853 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y18_N39
+cyclonev_lcell_comb \datamem|ram~2821feeder (
+// Equation(s):
+// \datamem|ram~2821feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2821feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2821feeder .extended_lut = "off";
+defparam \datamem|ram~2821feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2821feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y18_N40
+dffeas \datamem|ram~2821 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2821feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2821_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2821 .is_wysiwyg = "true";
+defparam \datamem|ram~2821 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y18_N2
+dffeas \datamem|ram~2869 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2869_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2869 .is_wysiwyg = "true";
+defparam \datamem|ram~2869 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y18_N0
+cyclonev_lcell_comb \datamem|ram~4918 (
+// Equation(s):
+// \datamem|ram~4918_combout = ( \datamem|ram~2869_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2837_q ) ) ) ) # ( !\datamem|ram~2869_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2837_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2869_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2821_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2853_q )) ) ) ) # ( !\datamem|ram~2869_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2821_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2853_q )) ) ) )
+
+ .dataa(!\datamem|ram~2837_q ),
+ .datab(!\datamem|ram~2853_q ),
+ .datac(!\datamem|ram~2821_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2869_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4918_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4918 .extended_lut = "off";
+defparam \datamem|ram~4918 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4918 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y12_N37
+dffeas \datamem|ram~2981 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2981_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2981 .is_wysiwyg = "true";
+defparam \datamem|ram~2981 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y12_N5
+dffeas \datamem|ram~2965 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2965_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2965 .is_wysiwyg = "true";
+defparam \datamem|ram~2965 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y15_N41
+dffeas \datamem|ram~2949 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2949_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2949 .is_wysiwyg = "true";
+defparam \datamem|ram~2949 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y18_N32
+dffeas \datamem|ram~2997 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2997_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2997 .is_wysiwyg = "true";
+defparam \datamem|ram~2997 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y18_N30
+cyclonev_lcell_comb \datamem|ram~4920 (
+// Equation(s):
+// \datamem|ram~4920_combout = ( \datamem|ram~2997_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2965_q ) ) ) ) # ( !\datamem|ram~2997_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2965_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2997_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2949_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2981_q )) ) ) ) # ( !\datamem|ram~2997_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2949_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2981_q )) ) ) )
+
+ .dataa(!\datamem|ram~2981_q ),
+ .datab(!\datamem|ram~2965_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2949_q ),
+ .datae(!\datamem|ram~2997_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4920_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4920 .extended_lut = "off";
+defparam \datamem|ram~4920 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4920 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N42
+cyclonev_lcell_comb \datamem|ram~4922 (
+// Equation(s):
+// \datamem|ram~4922_combout = ( \datamem|ram~4918_combout & ( \datamem|ram~4920_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4919_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4921_combout )))) ) )
+// ) # ( !\datamem|ram~4918_combout & ( \datamem|ram~4920_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4919_combout )) # (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4921_combout ))))) ) ) ) # ( \datamem|ram~4918_combout & ( !\datamem|ram~4920_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4919_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4921_combout ))))) ) ) ) # ( !\datamem|ram~4918_combout & ( !\datamem|ram~4920_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4919_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4921_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4919_combout ),
+ .datad(!\datamem|ram~4921_combout ),
+ .datae(!\datamem|ram~4918_combout ),
+ .dataf(!\datamem|ram~4920_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4922_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4922 .extended_lut = "off";
+defparam \datamem|ram~4922 .lut_mask = 64'h04158C9D2637AEBF;
+defparam \datamem|ram~4922 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N16
+dffeas \datamem|ram~2645 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2645_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2645 .is_wysiwyg = "true";
+defparam \datamem|ram~2645 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N2
+dffeas \datamem|ram~2773 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2773_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2773 .is_wysiwyg = "true";
+defparam \datamem|ram~2773 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y10_N24
+cyclonev_lcell_comb \datamem|ram~2581feeder (
+// Equation(s):
+// \datamem|ram~2581feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2581feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2581feeder .extended_lut = "off";
+defparam \datamem|ram~2581feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2581feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N25
+dffeas \datamem|ram~2581 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2581feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2581_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2581 .is_wysiwyg = "true";
+defparam \datamem|ram~2581 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y9_N18
+cyclonev_lcell_comb \datamem|ram~2709feeder (
+// Equation(s):
+// \datamem|ram~2709feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2709feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2709feeder .extended_lut = "off";
+defparam \datamem|ram~2709feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2709feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N19
+dffeas \datamem|ram~2709 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2709feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2709_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2709 .is_wysiwyg = "true";
+defparam \datamem|ram~2709 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N30
+cyclonev_lcell_comb \datamem|ram~4914 (
+// Equation(s):
+// \datamem|ram~4914_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2773_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2709_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2645_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2581_q ) ) )
+
+ .dataa(!\datamem|ram~2645_q ),
+ .datab(!\datamem|ram~2773_q ),
+ .datac(!\datamem|ram~2581_q ),
+ .datad(!\datamem|ram~2709_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4914_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4914 .extended_lut = "off";
+defparam \datamem|ram~4914 .lut_mask = 64'h0F0F555500FF3333;
+defparam \datamem|ram~4914 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N53
+dffeas \datamem|ram~2629 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2629_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2629 .is_wysiwyg = "true";
+defparam \datamem|ram~2629 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N4
+dffeas \datamem|ram~2565 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2565_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2565 .is_wysiwyg = "true";
+defparam \datamem|ram~2565 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N10
+dffeas \datamem|ram~2693 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2693_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2693 .is_wysiwyg = "true";
+defparam \datamem|ram~2693 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N38
+dffeas \datamem|ram~2757 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2757_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2757 .is_wysiwyg = "true";
+defparam \datamem|ram~2757 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y9_N36
+cyclonev_lcell_comb \datamem|ram~4913 (
+// Equation(s):
+// \datamem|ram~4913_combout = ( \datamem|ram~2757_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2693_q ) ) ) ) # ( !\datamem|ram~2757_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2693_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2757_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2565_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2629_q )) ) ) ) # ( !\datamem|ram~2757_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2565_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2629_q )) ) ) )
+
+ .dataa(!\datamem|ram~2629_q ),
+ .datab(!\datamem|ram~2565_q ),
+ .datac(!\datamem|ram~2693_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2757_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4913_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4913 .extended_lut = "off";
+defparam \datamem|ram~4913 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4913 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N8
+dffeas \datamem|ram~2725 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2725_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2725 .is_wysiwyg = "true";
+defparam \datamem|ram~2725 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y15_N27
+cyclonev_lcell_comb \datamem|ram~2661feeder (
+// Equation(s):
+// \datamem|ram~2661feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2661feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2661feeder .extended_lut = "off";
+defparam \datamem|ram~2661feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2661feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y15_N29
+dffeas \datamem|ram~2661 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2661feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2661_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2661 .is_wysiwyg = "true";
+defparam \datamem|ram~2661 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y9_N27
+cyclonev_lcell_comb \datamem|ram~2597feeder (
+// Equation(s):
+// \datamem|ram~2597feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2597feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2597feeder .extended_lut = "off";
+defparam \datamem|ram~2597feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2597feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N28
+dffeas \datamem|ram~2597 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2597feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2597_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2597 .is_wysiwyg = "true";
+defparam \datamem|ram~2597 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N32
+dffeas \datamem|ram~2789 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2789_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2789 .is_wysiwyg = "true";
+defparam \datamem|ram~2789 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N30
+cyclonev_lcell_comb \datamem|ram~4915 (
+// Equation(s):
+// \datamem|ram~4915_combout = ( \datamem|ram~2789_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2725_q ) ) ) ) # ( !\datamem|ram~2789_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2725_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2789_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2597_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2661_q )) ) ) ) # ( !\datamem|ram~2789_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2597_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2661_q )) ) ) )
+
+ .dataa(!\datamem|ram~2725_q ),
+ .datab(!\datamem|ram~2661_q ),
+ .datac(!\datamem|ram~2597_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2789_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4915_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4915 .extended_lut = "off";
+defparam \datamem|ram~4915 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4915 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N26
+dffeas \datamem|ram~2741 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2741_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2741 .is_wysiwyg = "true";
+defparam \datamem|ram~2741 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y8_N18
+cyclonev_lcell_comb \datamem|ram~2677feeder (
+// Equation(s):
+// \datamem|ram~2677feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2677feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2677feeder .extended_lut = "off";
+defparam \datamem|ram~2677feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2677feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y8_N19
+dffeas \datamem|ram~2677 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2677feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2677_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2677 .is_wysiwyg = "true";
+defparam \datamem|ram~2677 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N2
+dffeas \datamem|ram~2613 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2613_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2613 .is_wysiwyg = "true";
+defparam \datamem|ram~2613 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y9_N8
+dffeas \datamem|ram~2805 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2805_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2805 .is_wysiwyg = "true";
+defparam \datamem|ram~2805 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y9_N6
+cyclonev_lcell_comb \datamem|ram~4916 (
+// Equation(s):
+// \datamem|ram~4916_combout = ( \datamem|ram~2805_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2741_q ) ) ) ) # ( !\datamem|ram~2805_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2741_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2805_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2613_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2677_q )) ) ) ) # ( !\datamem|ram~2805_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2613_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2677_q )) ) ) )
+
+ .dataa(!\datamem|ram~2741_q ),
+ .datab(!\datamem|ram~2677_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2613_q ),
+ .datae(!\datamem|ram~2805_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4916_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4916 .extended_lut = "off";
+defparam \datamem|ram~4916 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4916 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N21
+cyclonev_lcell_comb \datamem|ram~4917 (
+// Equation(s):
+// \datamem|ram~4917_combout = ( \datamem|ram~4915_combout & ( \datamem|ram~4916_combout & ( ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4913_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4914_combout ))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~4915_combout & ( \datamem|ram~4916_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout & \datamem|ram~4913_combout )))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~4914_combout ))) ) ) ) # ( \datamem|ram~4915_combout & ( !\datamem|ram~4916_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4913_combout ) # (\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4914_combout & (!\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~4915_combout & ( !\datamem|ram~4916_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4913_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4914_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4914_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~4913_combout ),
+ .datae(!\datamem|ram~4915_combout ),
+ .dataf(!\datamem|ram~4916_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4917_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4917 .extended_lut = "off";
+defparam \datamem|ram~4917 .lut_mask = 64'h10D01CDC13D31FDF;
+defparam \datamem|ram~4917 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N31
+dffeas \datamem|ram~2549 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2549_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2549 .is_wysiwyg = "true";
+defparam \datamem|ram~2549 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y19_N21
+cyclonev_lcell_comb \datamem|ram~2357feeder (
+// Equation(s):
+// \datamem|ram~2357feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2357feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2357feeder .extended_lut = "off";
+defparam \datamem|ram~2357feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2357feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y19_N22
+dffeas \datamem|ram~2357 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2357feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2357_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2357 .is_wysiwyg = "true";
+defparam \datamem|ram~2357 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y22_N34
+dffeas \datamem|ram~2485 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2485_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2485 .is_wysiwyg = "true";
+defparam \datamem|ram~2485 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y22_N43
+dffeas \datamem|ram~2421 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2421_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2421 .is_wysiwyg = "true";
+defparam \datamem|ram~2421 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y21_N18
+cyclonev_lcell_comb \datamem|ram~4911 (
+// Equation(s):
+// \datamem|ram~4911_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2549_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~2485_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~2421_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~2357_q ) ) )
+
+ .dataa(!\datamem|ram~2549_q ),
+ .datab(!\datamem|ram~2357_q ),
+ .datac(!\datamem|ram~2485_q ),
+ .datad(!\datamem|ram~2421_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4911_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4911 .extended_lut = "off";
+defparam \datamem|ram~4911 .lut_mask = 64'h333300FF0F0F5555;
+defparam \datamem|ram~4911 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y20_N39
+cyclonev_lcell_comb \datamem|ram~2405feeder (
+// Equation(s):
+// \datamem|ram~2405feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2405feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2405feeder .extended_lut = "off";
+defparam \datamem|ram~2405feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2405feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y20_N40
+dffeas \datamem|ram~2405 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2405feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2405_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2405 .is_wysiwyg = "true";
+defparam \datamem|ram~2405 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N8
+dffeas \datamem|ram~2341 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2341_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2341 .is_wysiwyg = "true";
+defparam \datamem|ram~2341 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N32
+dffeas \datamem|ram~2469 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2469_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2469 .is_wysiwyg = "true";
+defparam \datamem|ram~2469 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N20
+dffeas \datamem|ram~2533 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2533_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2533 .is_wysiwyg = "true";
+defparam \datamem|ram~2533 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N18
+cyclonev_lcell_comb \datamem|ram~4910 (
+// Equation(s):
+// \datamem|ram~4910_combout = ( \datamem|ram~2533_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2469_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2533_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2469_q ) ) ) ) # ( \datamem|ram~2533_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2341_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2405_q )) ) ) ) # ( !\datamem|ram~2533_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2341_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2405_q )) ) ) )
+
+ .dataa(!\datamem|ram~2405_q ),
+ .datab(!\datamem|ram~2341_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2469_q ),
+ .datae(!\datamem|ram~2533_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4910_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4910 .extended_lut = "off";
+defparam \datamem|ram~4910 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4910 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N48
+cyclonev_lcell_comb \datamem|ram~2373feeder (
+// Equation(s):
+// \datamem|ram~2373feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2373feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2373feeder .extended_lut = "off";
+defparam \datamem|ram~2373feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~2373feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N50
+dffeas \datamem|ram~2373 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2373feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2373_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2373 .is_wysiwyg = "true";
+defparam \datamem|ram~2373 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N42
+cyclonev_lcell_comb \datamem|ram~2309feeder (
+// Equation(s):
+// \datamem|ram~2309feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2309feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2309feeder .extended_lut = "off";
+defparam \datamem|ram~2309feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2309feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N43
+dffeas \datamem|ram~2309 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2309feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2309_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2309 .is_wysiwyg = "true";
+defparam \datamem|ram~2309 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y15_N57
+cyclonev_lcell_comb \datamem|ram~2437feeder (
+// Equation(s):
+// \datamem|ram~2437feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2437feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2437feeder .extended_lut = "off";
+defparam \datamem|ram~2437feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2437feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y15_N58
+dffeas \datamem|ram~2437 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2437feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2437_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2437 .is_wysiwyg = "true";
+defparam \datamem|ram~2437 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N56
+dffeas \datamem|ram~2501 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2501_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2501 .is_wysiwyg = "true";
+defparam \datamem|ram~2501 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N54
+cyclonev_lcell_comb \datamem|ram~4908 (
+// Equation(s):
+// \datamem|ram~4908_combout = ( \datamem|ram~2501_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2437_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~2501_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~2437_q ) ) ) ) # ( \datamem|ram~2501_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2309_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2373_q )) ) ) ) # ( !\datamem|ram~2501_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~2309_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~2373_q )) ) ) )
+
+ .dataa(!\datamem|ram~2373_q ),
+ .datab(!\datamem|ram~2309_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2437_q ),
+ .datae(!\datamem|ram~2501_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4908_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4908 .extended_lut = "off";
+defparam \datamem|ram~4908 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4908 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y14_N12
+cyclonev_lcell_comb \datamem|ram~2325feeder (
+// Equation(s):
+// \datamem|ram~2325feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2325feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2325feeder .extended_lut = "off";
+defparam \datamem|ram~2325feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2325feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N13
+dffeas \datamem|ram~2325 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2325feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2325_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2325 .is_wysiwyg = "true";
+defparam \datamem|ram~2325 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N57
+cyclonev_lcell_comb \datamem|ram~2453feeder (
+// Equation(s):
+// \datamem|ram~2453feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2453feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2453feeder .extended_lut = "off";
+defparam \datamem|ram~2453feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2453feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N59
+dffeas \datamem|ram~2453 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2453feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2453_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2453 .is_wysiwyg = "true";
+defparam \datamem|ram~2453 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N48
+cyclonev_lcell_comb \datamem|ram~2389feeder (
+// Equation(s):
+// \datamem|ram~2389feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2389feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2389feeder .extended_lut = "off";
+defparam \datamem|ram~2389feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2389feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N50
+dffeas \datamem|ram~2389 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2389feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2389_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2389 .is_wysiwyg = "true";
+defparam \datamem|ram~2389 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y10_N35
+dffeas \datamem|ram~2517 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2517_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2517 .is_wysiwyg = "true";
+defparam \datamem|ram~2517 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y10_N33
+cyclonev_lcell_comb \datamem|ram~4909 (
+// Equation(s):
+// \datamem|ram~4909_combout = ( \datamem|ram~2517_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~2453_q ) ) ) ) # ( !\datamem|ram~2517_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~2453_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2517_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2325_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2389_q ))) ) ) ) # ( !\datamem|ram~2517_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~2325_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~2389_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2325_q ),
+ .datab(!\datamem|ram~2453_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~2389_q ),
+ .datae(!\datamem|ram~2517_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4909_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4909 .extended_lut = "off";
+defparam \datamem|ram~4909 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4909 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y19_N30
+cyclonev_lcell_comb \datamem|ram~4912 (
+// Equation(s):
+// \datamem|ram~4912_combout = ( \datamem|ram~4908_combout & ( \datamem|ram~4909_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4910_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4911_combout ))) ) )
+// ) # ( !\datamem|ram~4908_combout & ( \datamem|ram~4909_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~4910_combout )))) # (\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4911_combout
+// )))) ) ) ) # ( \datamem|ram~4908_combout & ( !\datamem|ram~4909_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4910_combout )))) # (\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout &
+// (\datamem|ram~4911_combout ))) ) ) ) # ( !\datamem|ram~4908_combout & ( !\datamem|ram~4909_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4910_combout ))) # (\alu_unit|Mux14~6_combout &
+// (\datamem|ram~4911_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4911_combout ),
+ .datad(!\datamem|ram~4910_combout ),
+ .datae(!\datamem|ram~4908_combout ),
+ .dataf(!\datamem|ram~4909_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4912_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4912 .extended_lut = "off";
+defparam \datamem|ram~4912 .lut_mask = 64'h012389AB4567CDEF;
+defparam \datamem|ram~4912 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N0
+cyclonev_lcell_comb \datamem|ram~4923 (
+// Equation(s):
+// \datamem|ram~4923_combout = ( \datamem|ram~4917_combout & ( \datamem|ram~4912_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4907_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) #
+// ((\datamem|ram~4922_combout )))) ) ) ) # ( !\datamem|ram~4917_combout & ( \datamem|ram~4912_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4907_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\alu_unit|Mux10~6_combout & ((\datamem|ram~4922_combout )))) ) ) ) # ( \datamem|ram~4917_combout & ( !\datamem|ram~4912_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~4907_combout ))) # (\alu_unit|Mux9~4_combout
+// & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4922_combout )))) ) ) ) # ( !\datamem|ram~4917_combout & ( !\datamem|ram~4912_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~4907_combout ))) #
+// (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~4922_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4907_combout ),
+ .datad(!\datamem|ram~4922_combout ),
+ .datae(!\datamem|ram~4917_combout ),
+ .dataf(!\datamem|ram~4912_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4923_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4923 .extended_lut = "off";
+defparam \datamem|ram~4923 .lut_mask = 64'h08194C5D2A3B6E7F;
+defparam \datamem|ram~4923 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N1
+dffeas \datamem|ram~1861 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1861_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1861 .is_wysiwyg = "true";
+defparam \datamem|ram~1861 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N46
+dffeas \datamem|ram~1893 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1893_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1893 .is_wysiwyg = "true";
+defparam \datamem|ram~1893 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N31
+dffeas \datamem|ram~1909 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1909_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1909 .is_wysiwyg = "true";
+defparam \datamem|ram~1909 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y18_N7
+dffeas \datamem|ram~1877 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1877_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1877 .is_wysiwyg = "true";
+defparam \datamem|ram~1877 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N51
+cyclonev_lcell_comb \datamem|ram~4890 (
+// Equation(s):
+// \datamem|ram~4890_combout = ( \datamem|ram~1877_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1909_q ) ) ) ) # ( !\datamem|ram~1877_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout &
+// \datamem|ram~1909_q ) ) ) ) # ( \datamem|ram~1877_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1861_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1893_q ))) ) ) ) # ( !\datamem|ram~1877_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1861_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1893_q ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~1861_q ),
+ .datac(!\datamem|ram~1893_q ),
+ .datad(!\datamem|ram~1909_q ),
+ .datae(!\datamem|ram~1877_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4890_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4890 .extended_lut = "off";
+defparam \datamem|ram~4890 .lut_mask = 64'h272727270055AAFF;
+defparam \datamem|ram~4890 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N40
+dffeas \datamem|ram~1621 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1621_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1621 .is_wysiwyg = "true";
+defparam \datamem|ram~1621 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N11
+dffeas \datamem|ram~1637 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1637_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1637 .is_wysiwyg = "true";
+defparam \datamem|ram~1637 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N52
+dffeas \datamem|ram~1605 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1605_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1605 .is_wysiwyg = "true";
+defparam \datamem|ram~1605 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N56
+dffeas \datamem|ram~1653 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1653_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1653 .is_wysiwyg = "true";
+defparam \datamem|ram~1653 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y19_N54
+cyclonev_lcell_comb \datamem|ram~4889 (
+// Equation(s):
+// \datamem|ram~4889_combout = ( \datamem|ram~1653_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1621_q ) ) ) ) # ( !\datamem|ram~1653_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1621_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1653_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1605_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1637_q )) ) ) ) # ( !\datamem|ram~1653_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1605_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1637_q )) ) ) )
+
+ .dataa(!\datamem|ram~1621_q ),
+ .datab(!\datamem|ram~1637_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1605_q ),
+ .datae(!\datamem|ram~1653_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4889_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4889 .extended_lut = "off";
+defparam \datamem|ram~4889 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4889 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N35
+dffeas \datamem|ram~1093 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1093_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1093 .is_wysiwyg = "true";
+defparam \datamem|ram~1093 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y14_N24
+cyclonev_lcell_comb \datamem|ram~1125feeder (
+// Equation(s):
+// \datamem|ram~1125feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1125feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1125feeder .extended_lut = "off";
+defparam \datamem|ram~1125feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1125feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N25
+dffeas \datamem|ram~1125 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1125feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1125_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1125 .is_wysiwyg = "true";
+defparam \datamem|ram~1125 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N30
+cyclonev_lcell_comb \datamem|ram~1141feeder (
+// Equation(s):
+// \datamem|ram~1141feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1141feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1141feeder .extended_lut = "off";
+defparam \datamem|ram~1141feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1141feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N31
+dffeas \datamem|ram~1141 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1141feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1141_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1141 .is_wysiwyg = "true";
+defparam \datamem|ram~1141 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y14_N23
+dffeas \datamem|ram~1109 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1109_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1109 .is_wysiwyg = "true";
+defparam \datamem|ram~1109 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y14_N21
+cyclonev_lcell_comb \datamem|ram~4887 (
+// Equation(s):
+// \datamem|ram~4887_combout = ( \datamem|ram~1109_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1141_q ) ) ) ) # ( !\datamem|ram~1109_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout &
+// \datamem|ram~1141_q ) ) ) ) # ( \datamem|ram~1109_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1093_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1125_q ))) ) ) ) # ( !\datamem|ram~1109_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1093_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1125_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1093_q ),
+ .datab(!\datamem|ram~1125_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1141_q ),
+ .datae(!\datamem|ram~1109_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4887_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4887 .extended_lut = "off";
+defparam \datamem|ram~4887 .lut_mask = 64'h53535353000FF0FF;
+defparam \datamem|ram~4887 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N52
+dffeas \datamem|ram~1349 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1349_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1349 .is_wysiwyg = "true";
+defparam \datamem|ram~1349 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N34
+dffeas \datamem|ram~1397 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1397_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1397 .is_wysiwyg = "true";
+defparam \datamem|ram~1397 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N50
+dffeas \datamem|ram~1381 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1381_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1381 .is_wysiwyg = "true";
+defparam \datamem|ram~1381 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N44
+dffeas \datamem|ram~1365 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1365_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1365 .is_wysiwyg = "true";
+defparam \datamem|ram~1365 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y10_N42
+cyclonev_lcell_comb \datamem|ram~4888 (
+// Equation(s):
+// \datamem|ram~4888_combout = ( \datamem|ram~1365_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1397_q ) ) ) ) # ( !\datamem|ram~1365_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1397_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1365_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1349_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1381_q ))) ) ) ) # ( !\datamem|ram~1365_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1349_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1381_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1349_q ),
+ .datab(!\datamem|ram~1397_q ),
+ .datac(!\datamem|ram~1381_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1365_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4888_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4888 .extended_lut = "off";
+defparam \datamem|ram~4888 .lut_mask = 64'h550F550F0033FF33;
+defparam \datamem|ram~4888 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N6
+cyclonev_lcell_comb \datamem|ram~4891 (
+// Equation(s):
+// \datamem|ram~4891_combout = ( \datamem|ram~4887_combout & ( \datamem|ram~4888_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4889_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4890_combout ))) ) )
+// ) # ( !\datamem|ram~4887_combout & ( \datamem|ram~4888_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4889_combout ))) #
+// (\alu_unit|Mux10~6_combout & (\datamem|ram~4890_combout )))) ) ) ) # ( \datamem|ram~4887_combout & ( !\datamem|ram~4888_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout &
+// ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4889_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4890_combout )))) ) ) ) # ( !\datamem|ram~4887_combout & ( !\datamem|ram~4888_combout & ( (\alu_unit|Mux9~4_combout &
+// ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4889_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4890_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4890_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~4889_combout ),
+ .datae(!\datamem|ram~4887_combout ),
+ .dataf(!\datamem|ram~4888_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4891_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4891 .extended_lut = "off";
+defparam \datamem|ram~4891 .lut_mask = 64'h0131C1F10D3DCDFD;
+defparam \datamem|ram~4891 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N26
+dffeas \datamem|ram~1829 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1829_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1829 .is_wysiwyg = "true";
+defparam \datamem|ram~1829 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N59
+dffeas \datamem|ram~1797 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1797_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1797 .is_wysiwyg = "true";
+defparam \datamem|ram~1797 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y14_N28
+dffeas \datamem|ram~1813 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1813_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1813 .is_wysiwyg = "true";
+defparam \datamem|ram~1813 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N44
+dffeas \datamem|ram~1845 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1845_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1845 .is_wysiwyg = "true";
+defparam \datamem|ram~1845 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y16_N42
+cyclonev_lcell_comb \datamem|ram~4885 (
+// Equation(s):
+// \datamem|ram~4885_combout = ( \datamem|ram~1845_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1829_q ) ) ) ) # ( !\datamem|ram~1845_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1829_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1845_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1797_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1813_q ))) ) ) ) # ( !\datamem|ram~1845_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1797_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1813_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1829_q ),
+ .datab(!\datamem|ram~1797_q ),
+ .datac(!\datamem|ram~1813_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1845_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4885_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4885 .extended_lut = "off";
+defparam \datamem|ram~4885 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4885 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N38
+dffeas \datamem|ram~1317 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1317_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1317 .is_wysiwyg = "true";
+defparam \datamem|ram~1317 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y4_N15
+cyclonev_lcell_comb \datamem|ram~1285feeder (
+// Equation(s):
+// \datamem|ram~1285feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1285feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1285feeder .extended_lut = "off";
+defparam \datamem|ram~1285feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1285feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y4_N16
+dffeas \datamem|ram~1285 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1285feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1285_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1285 .is_wysiwyg = "true";
+defparam \datamem|ram~1285 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y18_N48
+cyclonev_lcell_comb \datamem|ram~1333feeder (
+// Equation(s):
+// \datamem|ram~1333feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1333feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1333feeder .extended_lut = "off";
+defparam \datamem|ram~1333feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1333feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y18_N50
+dffeas \datamem|ram~1333 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1333feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1333_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1333 .is_wysiwyg = "true";
+defparam \datamem|ram~1333 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y16_N14
+dffeas \datamem|ram~1301 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1301_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1301 .is_wysiwyg = "true";
+defparam \datamem|ram~1301 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y16_N12
+cyclonev_lcell_comb \datamem|ram~4883 (
+// Equation(s):
+// \datamem|ram~4883_combout = ( \datamem|ram~1301_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1333_q ) ) ) ) # ( !\datamem|ram~1301_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1333_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1301_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1285_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1317_q )) ) ) ) # ( !\datamem|ram~1301_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~1285_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~1317_q )) ) ) )
+
+ .dataa(!\datamem|ram~1317_q ),
+ .datab(!\datamem|ram~1285_q ),
+ .datac(!\datamem|ram~1333_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1301_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4883_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4883 .extended_lut = "off";
+defparam \datamem|ram~4883 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~4883 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y8_N52
+dffeas \datamem|ram~1541 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1541_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1541 .is_wysiwyg = "true";
+defparam \datamem|ram~1541 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N39
+cyclonev_lcell_comb \datamem|ram~1573feeder (
+// Equation(s):
+// \datamem|ram~1573feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1573feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1573feeder .extended_lut = "off";
+defparam \datamem|ram~1573feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1573feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N41
+dffeas \datamem|ram~1573 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1573feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1573_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1573 .is_wysiwyg = "true";
+defparam \datamem|ram~1573 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N17
+dffeas \datamem|ram~1557 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1557_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1557 .is_wysiwyg = "true";
+defparam \datamem|ram~1557 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N2
+dffeas \datamem|ram~1589 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1589_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1589 .is_wysiwyg = "true";
+defparam \datamem|ram~1589 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N0
+cyclonev_lcell_comb \datamem|ram~4884 (
+// Equation(s):
+// \datamem|ram~4884_combout = ( \datamem|ram~1589_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1557_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~1589_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~1557_q ) ) ) ) # ( \datamem|ram~1589_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1541_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1573_q ))) ) ) ) # ( !\datamem|ram~1589_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1541_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1573_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1541_q ),
+ .datab(!\datamem|ram~1573_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~1557_q ),
+ .datae(!\datamem|ram~1589_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4884_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4884 .extended_lut = "off";
+defparam \datamem|ram~4884 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4884 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y14_N54
+cyclonev_lcell_comb \datamem|ram~1029feeder (
+// Equation(s):
+// \datamem|ram~1029feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1029feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1029feeder .extended_lut = "off";
+defparam \datamem|ram~1029feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1029feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N55
+dffeas \datamem|ram~1029 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1029feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1029_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1029 .is_wysiwyg = "true";
+defparam \datamem|ram~1029 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y11_N24
+cyclonev_lcell_comb \datamem|ram~1061feeder (
+// Equation(s):
+// \datamem|ram~1061feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1061feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1061feeder .extended_lut = "off";
+defparam \datamem|ram~1061feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1061feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N25
+dffeas \datamem|ram~1061 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1061feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1061_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1061 .is_wysiwyg = "true";
+defparam \datamem|ram~1061 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y11_N18
+cyclonev_lcell_comb \datamem|ram~1077feeder (
+// Equation(s):
+// \datamem|ram~1077feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1077feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1077feeder .extended_lut = "off";
+defparam \datamem|ram~1077feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1077feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N20
+dffeas \datamem|ram~1077 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1077feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1077_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1077 .is_wysiwyg = "true";
+defparam \datamem|ram~1077 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N14
+dffeas \datamem|ram~1045 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1045_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1045 .is_wysiwyg = "true";
+defparam \datamem|ram~1045 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y11_N12
+cyclonev_lcell_comb \datamem|ram~4882 (
+// Equation(s):
+// \datamem|ram~4882_combout = ( \datamem|ram~1045_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~1077_q ) ) ) ) # ( !\datamem|ram~1045_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1077_q &
+// \alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1045_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1029_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1061_q ))) ) ) ) # ( !\datamem|ram~1045_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1029_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1061_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1029_q ),
+ .datab(!\datamem|ram~1061_q ),
+ .datac(!\datamem|ram~1077_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1045_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4882_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4882 .extended_lut = "off";
+defparam \datamem|ram~4882 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~4882 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y15_N36
+cyclonev_lcell_comb \datamem|ram~4886 (
+// Equation(s):
+// \datamem|ram~4886_combout = ( \datamem|ram~4884_combout & ( \datamem|ram~4882_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4883_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4885_combout ))) ) ) )
+// # ( !\datamem|ram~4884_combout & ( \datamem|ram~4882_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4883_combout )))) # (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\datamem|ram~4885_combout )))
+// ) ) ) # ( \datamem|ram~4884_combout & ( !\datamem|ram~4882_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~4883_combout )))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) #
+// ((\datamem|ram~4885_combout )))) ) ) ) # ( !\datamem|ram~4884_combout & ( !\datamem|ram~4882_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4883_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~4885_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4885_combout ),
+ .datad(!\datamem|ram~4883_combout ),
+ .datae(!\datamem|ram~4884_combout ),
+ .dataf(!\datamem|ram~4882_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4886_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4886 .extended_lut = "off";
+defparam \datamem|ram~4886 .lut_mask = 64'h0123456789ABCDEF;
+defparam \datamem|ram~4886 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N2
+dffeas \datamem|ram~1989 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1989_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1989 .is_wysiwyg = "true";
+defparam \datamem|ram~1989 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N44
+dffeas \datamem|ram~2005 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2005_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2005 .is_wysiwyg = "true";
+defparam \datamem|ram~2005 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y14_N4
+dffeas \datamem|ram~2021 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2021_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2021 .is_wysiwyg = "true";
+defparam \datamem|ram~2021 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N14
+dffeas \datamem|ram~2037 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2037_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2037 .is_wysiwyg = "true";
+defparam \datamem|ram~2037 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N12
+cyclonev_lcell_comb \datamem|ram~4900 (
+// Equation(s):
+// \datamem|ram~4900_combout = ( \datamem|ram~2037_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2021_q ) ) ) ) # ( !\datamem|ram~2037_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2021_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2037_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1989_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2005_q ))) ) ) ) # ( !\datamem|ram~2037_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1989_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2005_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1989_q ),
+ .datab(!\datamem|ram~2005_q ),
+ .datac(!\datamem|ram~2021_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2037_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4900_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4900 .extended_lut = "off";
+defparam \datamem|ram~4900 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4900 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y8_N10
+dffeas \datamem|ram~1509 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1509_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1509 .is_wysiwyg = "true";
+defparam \datamem|ram~1509 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N43
+dffeas \datamem|ram~1477 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1477_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1477 .is_wysiwyg = "true";
+defparam \datamem|ram~1477 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y6_N40
+dffeas \datamem|ram~1493 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1493_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1493 .is_wysiwyg = "true";
+defparam \datamem|ram~1493 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y8_N44
+dffeas \datamem|ram~1525 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1525_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1525 .is_wysiwyg = "true";
+defparam \datamem|ram~1525 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y8_N42
+cyclonev_lcell_comb \datamem|ram~4898 (
+// Equation(s):
+// \datamem|ram~4898_combout = ( \datamem|ram~1525_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~1509_q ) ) ) ) # ( !\datamem|ram~1525_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~1509_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~1525_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1477_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1493_q ))) ) ) ) # ( !\datamem|ram~1525_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~1477_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~1493_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1509_q ),
+ .datab(!\datamem|ram~1477_q ),
+ .datac(!\datamem|ram~1493_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~1525_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4898_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4898 .extended_lut = "off";
+defparam \datamem|ram~4898 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4898 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y13_N12
+cyclonev_lcell_comb \datamem|ram~1781feeder (
+// Equation(s):
+// \datamem|ram~1781feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1781feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1781feeder .extended_lut = "off";
+defparam \datamem|ram~1781feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1781feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y13_N13
+dffeas \datamem|ram~1781 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1781feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1781_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1781 .is_wysiwyg = "true";
+defparam \datamem|ram~1781 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y13_N40
+dffeas \datamem|ram~1733 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1733_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1733 .is_wysiwyg = "true";
+defparam \datamem|ram~1733 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y13_N34
+dffeas \datamem|ram~1749 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1749_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1749 .is_wysiwyg = "true";
+defparam \datamem|ram~1749 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y11_N58
+dffeas \datamem|ram~1765 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1765_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1765 .is_wysiwyg = "true";
+defparam \datamem|ram~1765 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N3
+cyclonev_lcell_comb \datamem|ram~4899 (
+// Equation(s):
+// \datamem|ram~4899_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~1781_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~1765_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~1749_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~1733_q ) ) )
+
+ .dataa(!\datamem|ram~1781_q ),
+ .datab(!\datamem|ram~1733_q ),
+ .datac(!\datamem|ram~1749_q ),
+ .datad(!\datamem|ram~1765_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4899_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4899 .extended_lut = "off";
+defparam \datamem|ram~4899 .lut_mask = 64'h33330F0F00FF5555;
+defparam \datamem|ram~4899 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y13_N38
+dffeas \datamem|ram~1221 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1221_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1221 .is_wysiwyg = "true";
+defparam \datamem|ram~1221 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y11_N47
+dffeas \datamem|ram~1253 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1253_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1253 .is_wysiwyg = "true";
+defparam \datamem|ram~1253 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y19_N36
+cyclonev_lcell_comb \datamem|ram~1237feeder (
+// Equation(s):
+// \datamem|ram~1237feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1237feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1237feeder .extended_lut = "off";
+defparam \datamem|ram~1237feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1237feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y19_N38
+dffeas \datamem|ram~1237 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1237feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1237_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1237 .is_wysiwyg = "true";
+defparam \datamem|ram~1237 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y18_N8
+dffeas \datamem|ram~1269 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1269_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1269 .is_wysiwyg = "true";
+defparam \datamem|ram~1269 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y18_N6
+cyclonev_lcell_comb \datamem|ram~4897 (
+// Equation(s):
+// \datamem|ram~4897_combout = ( \datamem|ram~1269_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~1237_q ) ) ) ) # ( !\datamem|ram~1269_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~1237_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~1269_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1221_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1253_q ))) ) ) ) # ( !\datamem|ram~1269_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~1221_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~1253_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1221_q ),
+ .datab(!\datamem|ram~1253_q ),
+ .datac(!\datamem|ram~1237_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~1269_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4897_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4897 .extended_lut = "off";
+defparam \datamem|ram~4897 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4897 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N18
+cyclonev_lcell_comb \datamem|ram~4901 (
+// Equation(s):
+// \datamem|ram~4901_combout = ( \datamem|ram~4899_combout & ( \datamem|ram~4897_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4898_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4900_combout ))) ) ) )
+// # ( !\datamem|ram~4899_combout & ( \datamem|ram~4897_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4898_combout )))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4900_combout & (\alu_unit|Mux10~6_combout )))
+// ) ) ) # ( \datamem|ram~4899_combout & ( !\datamem|ram~4897_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout & \datamem|ram~4898_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )) #
+// (\datamem|ram~4900_combout ))) ) ) ) # ( !\datamem|ram~4899_combout & ( !\datamem|ram~4897_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~4898_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~4900_combout
+// )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~4900_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~4898_combout ),
+ .datae(!\datamem|ram~4899_combout ),
+ .dataf(!\datamem|ram~4897_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4901_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4901 .extended_lut = "off";
+defparam \datamem|ram~4901 .lut_mask = 64'h010B515BA1ABF1FB;
+defparam \datamem|ram~4901 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N18
+cyclonev_lcell_comb \datamem|ram~1173feeder (
+// Equation(s):
+// \datamem|ram~1173feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1173feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1173feeder .extended_lut = "off";
+defparam \datamem|ram~1173feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1173feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N20
+dffeas \datamem|ram~1173 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1173feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1173_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1173 .is_wysiwyg = "true";
+defparam \datamem|ram~1173 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N59
+dffeas \datamem|ram~1429 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1429_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1429 .is_wysiwyg = "true";
+defparam \datamem|ram~1429 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N12
+cyclonev_lcell_comb \datamem|ram~1685feeder (
+// Equation(s):
+// \datamem|ram~1685feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1685feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1685feeder .extended_lut = "off";
+defparam \datamem|ram~1685feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1685feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N14
+dffeas \datamem|ram~1685 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1685feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1685_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1685 .is_wysiwyg = "true";
+defparam \datamem|ram~1685 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N32
+dffeas \datamem|ram~1941 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1941_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1941 .is_wysiwyg = "true";
+defparam \datamem|ram~1941 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N30
+cyclonev_lcell_comb \datamem|ram~4893 (
+// Equation(s):
+// \datamem|ram~4893_combout = ( \datamem|ram~1941_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1685_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~1941_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~1685_q ) ) ) ) # ( \datamem|ram~1941_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1173_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1429_q ))) ) ) ) # ( !\datamem|ram~1941_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1173_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1429_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1173_q ),
+ .datab(!\datamem|ram~1429_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1685_q ),
+ .datae(!\datamem|ram~1941_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4893_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4893 .extended_lut = "off";
+defparam \datamem|ram~4893 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4893 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N48
+cyclonev_lcell_comb \datamem|ram~1701feeder (
+// Equation(s):
+// \datamem|ram~1701feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1701feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1701feeder .extended_lut = "off";
+defparam \datamem|ram~1701feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~1701feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N50
+dffeas \datamem|ram~1701 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1701feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1701_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1701 .is_wysiwyg = "true";
+defparam \datamem|ram~1701 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N54
+cyclonev_lcell_comb \datamem|ram~1189feeder (
+// Equation(s):
+// \datamem|ram~1189feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1189feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1189feeder .extended_lut = "off";
+defparam \datamem|ram~1189feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~1189feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N55
+dffeas \datamem|ram~1189 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1189feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1189_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1189 .is_wysiwyg = "true";
+defparam \datamem|ram~1189 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y23_N21
+cyclonev_lcell_comb \datamem|ram~1445feeder (
+// Equation(s):
+// \datamem|ram~1445feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1445feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1445feeder .extended_lut = "off";
+defparam \datamem|ram~1445feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1445feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N22
+dffeas \datamem|ram~1445 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1445feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1445_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1445 .is_wysiwyg = "true";
+defparam \datamem|ram~1445 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N44
+dffeas \datamem|ram~1957 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1957_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1957 .is_wysiwyg = "true";
+defparam \datamem|ram~1957 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N42
+cyclonev_lcell_comb \datamem|ram~4894 (
+// Equation(s):
+// \datamem|ram~4894_combout = ( \datamem|ram~1957_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1701_q ) ) ) ) # ( !\datamem|ram~1957_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1701_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1957_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1189_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1445_q ))) ) ) ) # ( !\datamem|ram~1957_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1189_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1445_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1701_q ),
+ .datab(!\datamem|ram~1189_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1445_q ),
+ .datae(!\datamem|ram~1957_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4894_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4894 .extended_lut = "off";
+defparam \datamem|ram~4894 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4894 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N6
+cyclonev_lcell_comb \datamem|ram~1413feeder (
+// Equation(s):
+// \datamem|ram~1413feeder_combout = \reg_file|reg_read_data_2[5]~5_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1413feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1413feeder .extended_lut = "off";
+defparam \datamem|ram~1413feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1413feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N7
+dffeas \datamem|ram~1413 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1413feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1413_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1413 .is_wysiwyg = "true";
+defparam \datamem|ram~1413 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N14
+dffeas \datamem|ram~1157 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1157_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1157 .is_wysiwyg = "true";
+defparam \datamem|ram~1157 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N15
+cyclonev_lcell_comb \datamem|ram~1669feeder (
+// Equation(s):
+// \datamem|ram~1669feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1669feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1669feeder .extended_lut = "off";
+defparam \datamem|ram~1669feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1669feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N16
+dffeas \datamem|ram~1669 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1669feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1669_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1669 .is_wysiwyg = "true";
+defparam \datamem|ram~1669 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N44
+dffeas \datamem|ram~1925 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1925_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1925 .is_wysiwyg = "true";
+defparam \datamem|ram~1925 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N42
+cyclonev_lcell_comb \datamem|ram~4892 (
+// Equation(s):
+// \datamem|ram~4892_combout = ( \datamem|ram~1925_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1669_q ) ) ) ) # ( !\datamem|ram~1925_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1669_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1925_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1157_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1413_q )) ) ) ) # ( !\datamem|ram~1925_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1157_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1413_q )) ) ) )
+
+ .dataa(!\datamem|ram~1413_q ),
+ .datab(!\datamem|ram~1157_q ),
+ .datac(!\datamem|ram~1669_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1925_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4892_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4892 .extended_lut = "off";
+defparam \datamem|ram~4892 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4892 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y13_N39
+cyclonev_lcell_comb \datamem|ram~1717feeder (
+// Equation(s):
+// \datamem|ram~1717feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1717feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1717feeder .extended_lut = "off";
+defparam \datamem|ram~1717feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1717feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N40
+dffeas \datamem|ram~1717 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1717feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1717_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1717 .is_wysiwyg = "true";
+defparam \datamem|ram~1717 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y16_N12
+cyclonev_lcell_comb \datamem|ram~1205feeder (
+// Equation(s):
+// \datamem|ram~1205feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1205feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1205feeder .extended_lut = "off";
+defparam \datamem|ram~1205feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1205feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N14
+dffeas \datamem|ram~1205 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1205feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1205_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1205 .is_wysiwyg = "true";
+defparam \datamem|ram~1205 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y16_N42
+cyclonev_lcell_comb \datamem|ram~1461feeder (
+// Equation(s):
+// \datamem|ram~1461feeder_combout = ( \reg_file|reg_read_data_2[5]~5_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[5]~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1461feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1461feeder .extended_lut = "off";
+defparam \datamem|ram~1461feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1461feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N43
+dffeas \datamem|ram~1461 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1461feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1461_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1461 .is_wysiwyg = "true";
+defparam \datamem|ram~1461 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N59
+dffeas \datamem|ram~1973 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[5]~5_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1973_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1973 .is_wysiwyg = "true";
+defparam \datamem|ram~1973 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y16_N57
+cyclonev_lcell_comb \datamem|ram~4895 (
+// Equation(s):
+// \datamem|ram~4895_combout = ( \datamem|ram~1973_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1717_q ) ) ) ) # ( !\datamem|ram~1973_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1717_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1973_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1205_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1461_q ))) ) ) ) # ( !\datamem|ram~1973_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1205_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1461_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1717_q ),
+ .datab(!\datamem|ram~1205_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1461_q ),
+ .datae(!\datamem|ram~1973_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4895_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4895 .extended_lut = "off";
+defparam \datamem|ram~4895 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4895 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y17_N0
+cyclonev_lcell_comb \datamem|ram~4896 (
+// Equation(s):
+// \datamem|ram~4896_combout = ( \datamem|ram~4892_combout & ( \datamem|ram~4895_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) # (\datamem|ram~4894_combout )))) # (\alu_unit|Mux14~6_combout & (((\alu_unit|Mux13~4_combout )) #
+// (\datamem|ram~4893_combout ))) ) ) ) # ( !\datamem|ram~4892_combout & ( \datamem|ram~4895_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4894_combout & \alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout &
+// (((\alu_unit|Mux13~4_combout )) # (\datamem|ram~4893_combout ))) ) ) ) # ( \datamem|ram~4892_combout & ( !\datamem|ram~4895_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) # (\datamem|ram~4894_combout )))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4893_combout & ((!\alu_unit|Mux13~4_combout )))) ) ) ) # ( !\datamem|ram~4892_combout & ( !\datamem|ram~4895_combout & ( (!\alu_unit|Mux14~6_combout & (((\datamem|ram~4894_combout &
+// \alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4893_combout & ((!\alu_unit|Mux13~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4893_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4894_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4892_combout ),
+ .dataf(!\datamem|ram~4895_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4896_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4896 .extended_lut = "off";
+defparam \datamem|ram~4896 .lut_mask = 64'h110CDD0C113FDD3F;
+defparam \datamem|ram~4896 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N12
+cyclonev_lcell_comb \datamem|ram~4902 (
+// Equation(s):
+// \datamem|ram~4902_combout = ( \datamem|ram~4901_combout & ( \datamem|ram~4896_combout & ( ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4886_combout ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4891_combout ))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~4901_combout & ( \datamem|ram~4896_combout & ( (!\alu_unit|Mux12~2_combout & (((\datamem|ram~4886_combout ) # (\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~4891_combout & (!\alu_unit|Mux11~4_combout
+// ))) ) ) ) # ( \datamem|ram~4901_combout & ( !\datamem|ram~4896_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout & \datamem|ram~4886_combout )))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )) #
+// (\datamem|ram~4891_combout ))) ) ) ) # ( !\datamem|ram~4901_combout & ( !\datamem|ram~4896_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & ((\datamem|ram~4886_combout ))) # (\alu_unit|Mux12~2_combout &
+// (\datamem|ram~4891_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~4891_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~4886_combout ),
+ .datae(!\datamem|ram~4901_combout ),
+ .dataf(!\datamem|ram~4896_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4902_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4902 .extended_lut = "off";
+defparam \datamem|ram~4902 .lut_mask = 64'h10B015B51ABA1FBF;
+defparam \datamem|ram~4902 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y15_N24
+cyclonev_lcell_comb \datamem|ram~4945 (
+// Equation(s):
+// \datamem|ram~4945_combout = ( \datamem|ram~4923_combout & ( \datamem|ram~4902_combout & ( (!\alu_unit|Mux7~2_combout & (((\datamem|ram~4881_combout )) # (\alu_unit|Mux8~2_combout ))) # (\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout ) #
+// ((\datamem|ram~4944_combout )))) ) ) ) # ( !\datamem|ram~4923_combout & ( \datamem|ram~4902_combout & ( (!\alu_unit|Mux7~2_combout & (((\datamem|ram~4881_combout )) # (\alu_unit|Mux8~2_combout ))) # (\alu_unit|Mux7~2_combout &
+// (\alu_unit|Mux8~2_combout & ((\datamem|ram~4944_combout )))) ) ) ) # ( \datamem|ram~4923_combout & ( !\datamem|ram~4902_combout & ( (!\alu_unit|Mux7~2_combout & (!\alu_unit|Mux8~2_combout & (\datamem|ram~4881_combout ))) # (\alu_unit|Mux7~2_combout
+// & ((!\alu_unit|Mux8~2_combout ) # ((\datamem|ram~4944_combout )))) ) ) ) # ( !\datamem|ram~4923_combout & ( !\datamem|ram~4902_combout & ( (!\alu_unit|Mux7~2_combout & (!\alu_unit|Mux8~2_combout & (\datamem|ram~4881_combout ))) #
+// (\alu_unit|Mux7~2_combout & (\alu_unit|Mux8~2_combout & ((\datamem|ram~4944_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux7~2_combout ),
+ .datab(!\alu_unit|Mux8~2_combout ),
+ .datac(!\datamem|ram~4881_combout ),
+ .datad(!\datamem|ram~4944_combout ),
+ .datae(!\datamem|ram~4923_combout ),
+ .dataf(!\datamem|ram~4902_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4945_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4945 .extended_lut = "off";
+defparam \datamem|ram~4945 .lut_mask = 64'h08194C5D2A3B6E7F;
+defparam \datamem|ram~4945 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N3
+cyclonev_lcell_comb \reg_write_data[5]~11 (
+// Equation(s):
+// \reg_write_data[5]~11_combout = ( \datamem|ram~4945_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux10~6_combout )))) # (\reg_write_data[1]~0_combout & (((\Add0~17_sumout )) # (\reg_write_data[1]~1_combout ))) ) ) # (
+// !\datamem|ram~4945_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux10~6_combout )))) # (\reg_write_data[1]~0_combout & (!\reg_write_data[1]~1_combout & ((\Add0~17_sumout )))) ) )
+
+ .dataa(!\reg_write_data[1]~0_combout ),
+ .datab(!\reg_write_data[1]~1_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\Add0~17_sumout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~4945_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[5]~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[5]~11 .extended_lut = "off";
+defparam \reg_write_data[5]~11 .lut_mask = 64'h0A4E0A4E1B5F1B5F;
+defparam \reg_write_data[5]~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N9
+cyclonev_lcell_comb \reg_file|reg_array[0][5]~feeder (
+// Equation(s):
+// \reg_file|reg_array[0][5]~feeder_combout = ( \reg_write_data[5]~11_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[5]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[0][5]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[0][5]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[0][5]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[0][5]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N11
+dffeas \reg_file|reg_array[0][5] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[0][5]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][5]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][5] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y12_N14
+dffeas \reg_file|reg_array[4][5] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[5]~11_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][5]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][5] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][5] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y11_N34
+dffeas \reg_file|reg_array[7][5] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[5]~11_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][5]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][5] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N15
+cyclonev_lcell_comb \reg_file|reg_read_data_1[5]~16 (
+// Equation(s):
+// \reg_file|reg_read_data_1[5]~16_combout = ( \reg_file|reg_array[3][5]~q & ( \instrucion_memory|rom~12_combout & ( (!\instrucion_memory|rom~11_combout & (\reg_file|reg_array[4][5]~q )) # (\instrucion_memory|rom~11_combout &
+// ((\reg_file|reg_array[7][5]~q ))) ) ) ) # ( !\reg_file|reg_array[3][5]~q & ( \instrucion_memory|rom~12_combout & ( (!\instrucion_memory|rom~11_combout & (\reg_file|reg_array[4][5]~q )) # (\instrucion_memory|rom~11_combout &
+// ((\reg_file|reg_array[7][5]~q ))) ) ) ) # ( \reg_file|reg_array[3][5]~q & ( !\instrucion_memory|rom~12_combout & ( (\instrucion_memory|rom~11_combout ) # (\reg_file|reg_array[0][5]~q ) ) ) ) # ( !\reg_file|reg_array[3][5]~q & (
+// !\instrucion_memory|rom~12_combout & ( (\reg_file|reg_array[0][5]~q & !\instrucion_memory|rom~11_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_array[0][5]~q ),
+ .datab(!\reg_file|reg_array[4][5]~q ),
+ .datac(!\instrucion_memory|rom~11_combout ),
+ .datad(!\reg_file|reg_array[7][5]~q ),
+ .datae(!\reg_file|reg_array[3][5]~q ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[5]~16_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[5]~16 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[5]~16 .lut_mask = 64'h50505F5F303F303F;
+defparam \reg_file|reg_read_data_1[5]~16 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y12_N21
+cyclonev_lcell_comb \reg_file|reg_read_data_1[5]~17 (
+// Equation(s):
+// \reg_file|reg_read_data_1[5]~17_combout = ( \reg_file|reg_read_data_1[5]~16_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\reg_file|Equal0~0_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[5]~16_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[5]~17_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[5]~17 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[5]~17 .lut_mask = 64'h0000000000FF00FF;
+defparam \reg_file|reg_read_data_1[5]~17 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y14_N32
+dffeas \pc_current[5] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[5]~4_combout ),
+ .asdata(\reg_file|reg_read_data_1[5]~17_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[5]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[5] .is_wysiwyg = "true";
+defparam \pc_current[5] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N18
+cyclonev_lcell_comb \Add0~25 (
+// Equation(s):
+// \Add0~25_sumout = SUM(( pc_current[7] ) + ( GND ) + ( \Add0~22 ))
+// \Add0~26 = CARRY(( pc_current[7] ) + ( GND ) + ( \Add0~22 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[7]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~22 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~25_sumout ),
+ .cout(\Add0~26 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~25 .extended_lut = "off";
+defparam \Add0~25 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \Add0~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y14_N0
+cyclonev_lcell_comb \pc_next[7]~6 (
+// Equation(s):
+// \pc_next[7]~6_combout = ( \beq_control~combout & ( \pc_current[5]~0_combout & ( \Add3~25_sumout ) ) ) # ( !\beq_control~combout & ( \pc_current[5]~0_combout & ( \Add0~25_sumout ) ) ) # ( \beq_control~combout & ( !\pc_current[5]~0_combout & (
+// \Add2~29_sumout ) ) ) # ( !\beq_control~combout & ( !\pc_current[5]~0_combout & ( \instrucion_memory|rom~26_combout ) ) )
+
+ .dataa(!\Add2~29_sumout ),
+ .datab(!\instrucion_memory|rom~26_combout ),
+ .datac(!\Add3~25_sumout ),
+ .datad(!\Add0~25_sumout ),
+ .datae(!\beq_control~combout ),
+ .dataf(!\pc_current[5]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[7]~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[7]~6 .extended_lut = "off";
+defparam \pc_next[7]~6 .lut_mask = 64'h3333555500FF0F0F;
+defparam \pc_next[7]~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y14_N2
+dffeas \pc_current[7] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[7]~6_combout ),
+ .asdata(\reg_file|reg_read_data_1[7]~21_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[7]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[7] .is_wysiwyg = "true";
+defparam \pc_current[7] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N21
+cyclonev_lcell_comb \Add0~29 (
+// Equation(s):
+// \Add0~29_sumout = SUM(( pc_current[8] ) + ( GND ) + ( \Add0~26 ))
+// \Add0~30 = CARRY(( pc_current[8] ) + ( GND ) + ( \Add0~26 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!pc_current[8]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~26 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~29_sumout ),
+ .cout(\Add0~30 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~29 .extended_lut = "off";
+defparam \Add0~29 .lut_mask = 64'h0000FFFF000000FF;
+defparam \Add0~29 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y14_N18
+cyclonev_lcell_comb \pc_next[8]~7 (
+// Equation(s):
+// \pc_next[8]~7_combout = ( \beq_control~combout & ( \Add0~29_sumout & ( (!\pc_current[5]~0_combout & ((\Add2~33_sumout ))) # (\pc_current[5]~0_combout & (\Add3~29_sumout )) ) ) ) # ( !\beq_control~combout & ( \Add0~29_sumout & (
+// (\instrucion_memory|rom~17_combout ) # (\pc_current[5]~0_combout ) ) ) ) # ( \beq_control~combout & ( !\Add0~29_sumout & ( (!\pc_current[5]~0_combout & ((\Add2~33_sumout ))) # (\pc_current[5]~0_combout & (\Add3~29_sumout )) ) ) ) # (
+// !\beq_control~combout & ( !\Add0~29_sumout & ( (!\pc_current[5]~0_combout & \instrucion_memory|rom~17_combout ) ) ) )
+
+ .dataa(!\Add3~29_sumout ),
+ .datab(!\pc_current[5]~0_combout ),
+ .datac(!\Add2~33_sumout ),
+ .datad(!\instrucion_memory|rom~17_combout ),
+ .datae(!\beq_control~combout ),
+ .dataf(!\Add0~29_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[8]~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[8]~7 .extended_lut = "off";
+defparam \pc_next[8]~7 .lut_mask = 64'h00CC1D1D33FF1D1D;
+defparam \pc_next[8]~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y14_N20
+dffeas \pc_current[8] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[8]~7_combout ),
+ .asdata(\reg_file|reg_read_data_1[8]~23_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[8]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[8] .is_wysiwyg = "true";
+defparam \pc_current[8] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N24
+cyclonev_lcell_comb \Add0~33 (
+// Equation(s):
+// \Add0~33_sumout = SUM(( pc_current[9] ) + ( GND ) + ( \Add0~30 ))
+// \Add0~34 = CARRY(( pc_current[9] ) + ( GND ) + ( \Add0~30 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[9]),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~30 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~33_sumout ),
+ .cout(\Add0~34 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~33 .extended_lut = "off";
+defparam \Add0~33 .lut_mask = 64'h0000FFFF00000F0F;
+defparam \Add0~33 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N54
+cyclonev_lcell_comb \pc_next[12]~11 (
+// Equation(s):
+// \pc_next[12]~11_combout = ( \pc_current[5]~0_combout & ( \beq_control~combout & ( \Add3~45_sumout ) ) ) # ( !\pc_current[5]~0_combout & ( \beq_control~combout & ( \Add2~49_sumout ) ) ) # ( \pc_current[5]~0_combout & ( !\beq_control~combout & (
+// \Add0~45_sumout ) ) ) # ( !\pc_current[5]~0_combout & ( !\beq_control~combout & ( \instrucion_memory|rom~11_combout ) ) )
+
+ .dataa(!\Add2~49_sumout ),
+ .datab(!\Add0~45_sumout ),
+ .datac(!\instrucion_memory|rom~11_combout ),
+ .datad(!\Add3~45_sumout ),
+ .datae(!\pc_current[5]~0_combout ),
+ .dataf(!\beq_control~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[12]~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[12]~11 .extended_lut = "off";
+defparam \pc_next[12]~11 .lut_mask = 64'h0F0F3333555500FF;
+defparam \pc_next[12]~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y11_N56
+dffeas \pc_current[12] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[12]~11_combout ),
+ .asdata(\reg_file|reg_read_data_1[12]~15_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[12]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[12] .is_wysiwyg = "true";
+defparam \pc_current[12] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N24
+cyclonev_lcell_comb \alu_unit|Mux3~1 (
+// Equation(s):
+// \alu_unit|Mux3~1_combout = ( \read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[12]~15_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[11]~13_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[9]~9_combout
+// ))) ) ) ) # ( !\read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[12]~15_combout & ( (!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[10]~11_combout ) ) ) ) # ( \read_data2[0]~1_combout & ( !\reg_file|reg_read_data_1[12]~15_combout & (
+// (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[11]~13_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[9]~9_combout ))) ) ) ) # ( !\read_data2[0]~1_combout & ( !\reg_file|reg_read_data_1[12]~15_combout & (
+// (\read_data2[1]~0_combout & \reg_file|reg_read_data_1[10]~11_combout ) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .datab(!\read_data2[1]~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .datad(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datae(!\read_data2[0]~1_combout ),
+ .dataf(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux3~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux3~1 .extended_lut = "off";
+defparam \alu_unit|Mux3~1 .lut_mask = 64'h03034477CFCF4477;
+defparam \alu_unit|Mux3~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N0
+cyclonev_lcell_comb \alu_unit|Mux3~2 (
+// Equation(s):
+// \alu_unit|Mux3~2_combout = ( \read_data2[3]~2_combout & ( \alu_unit|shifter_left|st2[8]~9_combout & ( (!\read_data2[2]~3_combout & ((\alu_unit|shifter_left|st2[4]~4_combout ))) # (\read_data2[2]~3_combout & (\alu_unit|shifter_left|st2[0]~5_combout ))
+// ) ) ) # ( !\read_data2[3]~2_combout & ( \alu_unit|shifter_left|st2[8]~9_combout & ( (\read_data2[2]~3_combout ) # (\alu_unit|Mux3~1_combout ) ) ) ) # ( \read_data2[3]~2_combout & ( !\alu_unit|shifter_left|st2[8]~9_combout & (
+// (!\read_data2[2]~3_combout & ((\alu_unit|shifter_left|st2[4]~4_combout ))) # (\read_data2[2]~3_combout & (\alu_unit|shifter_left|st2[0]~5_combout )) ) ) ) # ( !\read_data2[3]~2_combout & ( !\alu_unit|shifter_left|st2[8]~9_combout & (
+// (\alu_unit|Mux3~1_combout & !\read_data2[2]~3_combout ) ) ) )
+
+ .dataa(!\alu_unit|shifter_left|st2[0]~5_combout ),
+ .datab(!\alu_unit|Mux3~1_combout ),
+ .datac(!\alu_unit|shifter_left|st2[4]~4_combout ),
+ .datad(!\read_data2[2]~3_combout ),
+ .datae(!\read_data2[3]~2_combout ),
+ .dataf(!\alu_unit|shifter_left|st2[8]~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux3~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux3~2 .extended_lut = "off";
+defparam \alu_unit|Mux3~2 .lut_mask = 64'h33000F5533FF0F55;
+defparam \alu_unit|Mux3~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N48
+cyclonev_lcell_comb \alu_unit|Mux3~3 (
+// Equation(s):
+// \alu_unit|Mux3~3_combout = ( \alu_unit|Mux0~1_combout & ( \alu_unit|Mult0~20 & ( (\alu_unit|Mux3~2_combout & !\alu_unit|Mux0~0_combout ) ) ) ) # ( !\alu_unit|Mux0~1_combout & ( \alu_unit|Mult0~20 & ( (!\alu_unit|Mux0~0_combout ) #
+// (\alu_unit|shifter_right|st2[3]~13_combout ) ) ) ) # ( \alu_unit|Mux0~1_combout & ( !\alu_unit|Mult0~20 & ( (\alu_unit|Mux3~2_combout & !\alu_unit|Mux0~0_combout ) ) ) ) # ( !\alu_unit|Mux0~1_combout & ( !\alu_unit|Mult0~20 & (
+// (\alu_unit|shifter_right|st2[3]~13_combout & \alu_unit|Mux0~0_combout ) ) ) )
+
+ .dataa(gnd),
+ .datab(!\alu_unit|Mux3~2_combout ),
+ .datac(!\alu_unit|shifter_right|st2[3]~13_combout ),
+ .datad(!\alu_unit|Mux0~0_combout ),
+ .datae(!\alu_unit|Mux0~1_combout ),
+ .dataf(!\alu_unit|Mult0~20 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux3~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux3~3 .extended_lut = "off";
+defparam \alu_unit|Mux3~3 .lut_mask = 64'h000F3300FF0F3300;
+defparam \alu_unit|Mux3~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N33
+cyclonev_lcell_comb \alu_unit|Mux3~4 (
+// Equation(s):
+// \alu_unit|Mux3~4_combout = ( \alu_unit|Mux3~0_combout & ( \alu_unit|Mux3~3_combout ) ) # ( !\alu_unit|Mux3~0_combout & ( \alu_unit|Mux3~3_combout & ( \ALU_Control_unit|WideOr0~0_combout ) ) ) # ( \alu_unit|Mux3~0_combout & (
+// !\alu_unit|Mux3~3_combout & ( !\ALU_Control_unit|WideOr0~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux3~0_combout ),
+ .dataf(!\alu_unit|Mux3~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux3~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux3~4 .extended_lut = "off";
+defparam \alu_unit|Mux3~4 .lut_mask = 64'h0000F0F00F0FFFFF;
+defparam \alu_unit|Mux3~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N27
+cyclonev_lcell_comb \datamem|ram~3452feeder (
+// Equation(s):
+// \datamem|ram~3452feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3452feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3452feeder .extended_lut = "off";
+defparam \datamem|ram~3452feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3452feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N29
+dffeas \datamem|ram~3452 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3452feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3452_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3452 .is_wysiwyg = "true";
+defparam \datamem|ram~3452 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N9
+cyclonev_lcell_comb \datamem|ram~3516feeder (
+// Equation(s):
+// \datamem|ram~3516feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3516feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3516feeder .extended_lut = "off";
+defparam \datamem|ram~3516feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3516feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N11
+dffeas \datamem|ram~3516 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3516feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3516_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3516 .is_wysiwyg = "true";
+defparam \datamem|ram~3516 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y6_N48
+cyclonev_lcell_comb \datamem|ram~3388feeder (
+// Equation(s):
+// \datamem|ram~3388feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3388feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3388feeder .extended_lut = "off";
+defparam \datamem|ram~3388feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3388feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N50
+dffeas \datamem|ram~3388 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3388feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3388_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3388 .is_wysiwyg = "true";
+defparam \datamem|ram~3388 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N38
+dffeas \datamem|ram~3580 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3580_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3580 .is_wysiwyg = "true";
+defparam \datamem|ram~3580 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N36
+cyclonev_lcell_comb \datamem|ram~4847 (
+// Equation(s):
+// \datamem|ram~4847_combout = ( \datamem|ram~3580_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3516_q ) ) ) ) # ( !\datamem|ram~3580_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3516_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3580_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3388_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3452_q )) ) ) ) # ( !\datamem|ram~3580_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3388_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3452_q )) ) ) )
+
+ .dataa(!\datamem|ram~3452_q ),
+ .datab(!\datamem|ram~3516_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3388_q ),
+ .datae(!\datamem|ram~3580_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4847_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4847 .extended_lut = "off";
+defparam \datamem|ram~4847 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4847 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N6
+cyclonev_lcell_comb \datamem|ram~3468feeder (
+// Equation(s):
+// \datamem|ram~3468feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3468feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3468feeder .extended_lut = "off";
+defparam \datamem|ram~3468feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3468feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N7
+dffeas \datamem|ram~3468 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3468feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3468_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3468 .is_wysiwyg = "true";
+defparam \datamem|ram~3468 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N1
+dffeas \datamem|ram~3340 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3340_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3340 .is_wysiwyg = "true";
+defparam \datamem|ram~3340 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N54
+cyclonev_lcell_comb \datamem|ram~3404feeder (
+// Equation(s):
+// \datamem|ram~3404feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3404feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3404feeder .extended_lut = "off";
+defparam \datamem|ram~3404feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3404feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N56
+dffeas \datamem|ram~3404 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3404feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3404_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3404 .is_wysiwyg = "true";
+defparam \datamem|ram~3404 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N50
+dffeas \datamem|ram~3532 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3532_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3532 .is_wysiwyg = "true";
+defparam \datamem|ram~3532 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N48
+cyclonev_lcell_comb \datamem|ram~4844 (
+// Equation(s):
+// \datamem|ram~4844_combout = ( \datamem|ram~3532_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3468_q ) ) ) ) # ( !\datamem|ram~3532_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3468_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3532_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3340_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3404_q ))) ) ) ) # ( !\datamem|ram~3532_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3340_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3404_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3468_q ),
+ .datab(!\datamem|ram~3340_q ),
+ .datac(!\datamem|ram~3404_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3532_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4844_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4844 .extended_lut = "off";
+defparam \datamem|ram~4844 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4844 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y10_N15
+cyclonev_lcell_comb \datamem|ram~3372feeder (
+// Equation(s):
+// \datamem|ram~3372feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3372feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3372feeder .extended_lut = "off";
+defparam \datamem|ram~3372feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3372feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N16
+dffeas \datamem|ram~3372 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3372feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3372_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3372 .is_wysiwyg = "true";
+defparam \datamem|ram~3372 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N18
+cyclonev_lcell_comb \datamem|ram~3564feeder (
+// Equation(s):
+// \datamem|ram~3564feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3564feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3564feeder .extended_lut = "off";
+defparam \datamem|ram~3564feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3564feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N20
+dffeas \datamem|ram~3564 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3564feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3564_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3564 .is_wysiwyg = "true";
+defparam \datamem|ram~3564 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N48
+cyclonev_lcell_comb \datamem|ram~3436feeder (
+// Equation(s):
+// \datamem|ram~3436feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3436feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3436feeder .extended_lut = "off";
+defparam \datamem|ram~3436feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3436feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N50
+dffeas \datamem|ram~3436 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3436feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3436_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3436 .is_wysiwyg = "true";
+defparam \datamem|ram~3436 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y13_N6
+cyclonev_lcell_comb \datamem|ram~3500feeder (
+// Equation(s):
+// \datamem|ram~3500feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(gnd),
+ .datab(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3500feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3500feeder .extended_lut = "off";
+defparam \datamem|ram~3500feeder .lut_mask = 64'h3333333333333333;
+defparam \datamem|ram~3500feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N7
+dffeas \datamem|ram~3500 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3500feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3500_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3500 .is_wysiwyg = "true";
+defparam \datamem|ram~3500 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y12_N42
+cyclonev_lcell_comb \datamem|ram~4846 (
+// Equation(s):
+// \datamem|ram~4846_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~3564_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~3500_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~3436_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~3372_q ) ) )
+
+ .dataa(!\datamem|ram~3372_q ),
+ .datab(!\datamem|ram~3564_q ),
+ .datac(!\datamem|ram~3436_q ),
+ .datad(!\datamem|ram~3500_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4846_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4846 .extended_lut = "off";
+defparam \datamem|ram~4846 .lut_mask = 64'h55550F0F00FF3333;
+defparam \datamem|ram~4846 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N53
+dffeas \datamem|ram~3356 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3356_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3356 .is_wysiwyg = "true";
+defparam \datamem|ram~3356 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N39
+cyclonev_lcell_comb \datamem|ram~3420feeder (
+// Equation(s):
+// \datamem|ram~3420feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3420feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3420feeder .extended_lut = "off";
+defparam \datamem|ram~3420feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~3420feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N41
+dffeas \datamem|ram~3420 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3420feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3420_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3420 .is_wysiwyg = "true";
+defparam \datamem|ram~3420 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y22_N12
+cyclonev_lcell_comb \datamem|ram~3484feeder (
+// Equation(s):
+// \datamem|ram~3484feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3484feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3484feeder .extended_lut = "off";
+defparam \datamem|ram~3484feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3484feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N13
+dffeas \datamem|ram~3484 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3484feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3484_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3484 .is_wysiwyg = "true";
+defparam \datamem|ram~3484 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N47
+dffeas \datamem|ram~3548 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3548_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3548 .is_wysiwyg = "true";
+defparam \datamem|ram~3548 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N45
+cyclonev_lcell_comb \datamem|ram~4845 (
+// Equation(s):
+// \datamem|ram~4845_combout = ( \datamem|ram~3548_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3484_q ) ) ) ) # ( !\datamem|ram~3548_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3484_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3548_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3356_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3420_q ))) ) ) ) # ( !\datamem|ram~3548_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3356_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3420_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3356_q ),
+ .datab(!\datamem|ram~3420_q ),
+ .datac(!\datamem|ram~3484_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3548_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4845_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4845 .extended_lut = "off";
+defparam \datamem|ram~4845 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4845 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N0
+cyclonev_lcell_comb \datamem|ram~4848 (
+// Equation(s):
+// \datamem|ram~4848_combout = ( \datamem|ram~4846_combout & ( \datamem|ram~4845_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~4844_combout )) # (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) #
+// ((\datamem|ram~4847_combout )))) ) ) ) # ( !\datamem|ram~4846_combout & ( \datamem|ram~4845_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~4844_combout )) # (\alu_unit|Mux14~6_combout ))) # (\alu_unit|Mux13~4_combout &
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4847_combout ))) ) ) ) # ( \datamem|ram~4846_combout & ( !\datamem|ram~4845_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4844_combout )))) #
+// (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout ) # ((\datamem|ram~4847_combout )))) ) ) ) # ( !\datamem|ram~4846_combout & ( !\datamem|ram~4845_combout & ( (!\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~4844_combout )))) # (\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout & (\datamem|ram~4847_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4847_combout ),
+ .datad(!\datamem|ram~4844_combout ),
+ .datae(!\datamem|ram~4846_combout ),
+ .dataf(!\datamem|ram~4845_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4848_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4848 .extended_lut = "off";
+defparam \datamem|ram~4848 .lut_mask = 64'h018945CD23AB67EF;
+defparam \datamem|ram~4848 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y15_N6
+cyclonev_lcell_comb \datamem|ram~3980feeder (
+// Equation(s):
+// \datamem|ram~3980feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3980feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3980feeder .extended_lut = "off";
+defparam \datamem|ram~3980feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3980feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N7
+dffeas \datamem|ram~3980 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3980feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3980_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3980 .is_wysiwyg = "true";
+defparam \datamem|ram~3980 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N49
+dffeas \datamem|ram~4012 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4012_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4012 .is_wysiwyg = "true";
+defparam \datamem|ram~4012 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N16
+dffeas \datamem|ram~3996 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3996_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3996 .is_wysiwyg = "true";
+defparam \datamem|ram~3996 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N14
+dffeas \datamem|ram~4028 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4028_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4028 .is_wysiwyg = "true";
+defparam \datamem|ram~4028 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y15_N12
+cyclonev_lcell_comb \datamem|ram~4856 (
+// Equation(s):
+// \datamem|ram~4856_combout = ( \datamem|ram~4028_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3996_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~4028_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~3996_q ) ) ) ) # ( \datamem|ram~4028_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3980_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4012_q ))) ) ) ) # ( !\datamem|ram~4028_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3980_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4012_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3980_q ),
+ .datab(!\datamem|ram~4012_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3996_q ),
+ .datae(!\datamem|ram~4028_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4856_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4856 .extended_lut = "off";
+defparam \datamem|ram~4856 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4856 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y16_N33
+cyclonev_lcell_comb \datamem|ram~3916feeder (
+// Equation(s):
+// \datamem|ram~3916feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3916feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3916feeder .extended_lut = "off";
+defparam \datamem|ram~3916feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3916feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y16_N34
+dffeas \datamem|ram~3916 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3916feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3916_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3916 .is_wysiwyg = "true";
+defparam \datamem|ram~3916 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y12_N22
+dffeas \datamem|ram~3932 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3932_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3932 .is_wysiwyg = "true";
+defparam \datamem|ram~3932 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y17_N10
+dffeas \datamem|ram~3948 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3948_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3948 .is_wysiwyg = "true";
+defparam \datamem|ram~3948 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y16_N19
+dffeas \datamem|ram~3964 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3964_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3964 .is_wysiwyg = "true";
+defparam \datamem|ram~3964 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y16_N51
+cyclonev_lcell_comb \datamem|ram~4855 (
+// Equation(s):
+// \datamem|ram~4855_combout = ( \datamem|ram~3964_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3932_q ) ) ) ) # ( !\datamem|ram~3964_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3932_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3964_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3916_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3948_q ))) ) ) ) # ( !\datamem|ram~3964_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~3916_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~3948_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3916_q ),
+ .datab(!\datamem|ram~3932_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3948_q ),
+ .datae(!\datamem|ram~3964_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4855_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4855 .extended_lut = "off";
+defparam \datamem|ram~4855 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4855 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y16_N16
+dffeas \datamem|ram~4092 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4092_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4092 .is_wysiwyg = "true";
+defparam \datamem|ram~4092 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y23_N44
+dffeas \datamem|ram~4044 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4044_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4044 .is_wysiwyg = "true";
+defparam \datamem|ram~4044 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N40
+dffeas \datamem|ram~4060 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4060_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4060 .is_wysiwyg = "true";
+defparam \datamem|ram~4060 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y23_N2
+dffeas \datamem|ram~4076 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4076_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4076 .is_wysiwyg = "true";
+defparam \datamem|ram~4076 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y23_N0
+cyclonev_lcell_comb \datamem|ram~4857 (
+// Equation(s):
+// \datamem|ram~4857_combout = ( \datamem|ram~4076_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4092_q ) ) ) ) # ( !\datamem|ram~4076_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~4092_q &
+// \alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~4076_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4044_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4060_q ))) ) ) ) # ( !\datamem|ram~4076_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4044_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4060_q ))) ) ) )
+
+ .dataa(!\datamem|ram~4092_q ),
+ .datab(!\datamem|ram~4044_q ),
+ .datac(!\datamem|ram~4060_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4076_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4857_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4857 .extended_lut = "off";
+defparam \datamem|ram~4857 .lut_mask = 64'h330F330F0055FF55;
+defparam \datamem|ram~4857 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N28
+dffeas \datamem|ram~3868 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3868_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3868 .is_wysiwyg = "true";
+defparam \datamem|ram~3868 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N22
+dffeas \datamem|ram~3884 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3884_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3884 .is_wysiwyg = "true";
+defparam \datamem|ram~3884 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y15_N25
+dffeas \datamem|ram~3852 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3852_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3852 .is_wysiwyg = "true";
+defparam \datamem|ram~3852 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N38
+dffeas \datamem|ram~3900 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3900_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3900 .is_wysiwyg = "true";
+defparam \datamem|ram~3900 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y15_N36
+cyclonev_lcell_comb \datamem|ram~4854 (
+// Equation(s):
+// \datamem|ram~4854_combout = ( \datamem|ram~3900_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~3868_q ) ) ) ) # ( !\datamem|ram~3900_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~3868_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~3900_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3852_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3884_q )) ) ) ) # ( !\datamem|ram~3900_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~3852_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~3884_q )) ) ) )
+
+ .dataa(!\datamem|ram~3868_q ),
+ .datab(!\datamem|ram~3884_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~3852_q ),
+ .datae(!\datamem|ram~3900_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4854_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4854 .extended_lut = "off";
+defparam \datamem|ram~4854 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4854 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N12
+cyclonev_lcell_comb \datamem|ram~4858 (
+// Equation(s):
+// \datamem|ram~4858_combout = ( \datamem|ram~4857_combout & ( \datamem|ram~4854_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~4855_combout )))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~4856_combout ))) ) ) ) # ( !\datamem|ram~4857_combout & ( \datamem|ram~4854_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~4855_combout )))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4856_combout & (!\alu_unit|Mux12~2_combout ))) ) ) ) # ( \datamem|ram~4857_combout & ( !\datamem|ram~4854_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout & \datamem|ram~4855_combout )))) #
+// (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) # (\datamem|ram~4856_combout ))) ) ) ) # ( !\datamem|ram~4857_combout & ( !\datamem|ram~4854_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout &
+// \datamem|ram~4855_combout )))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4856_combout & (!\alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\datamem|ram~4856_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~4855_combout ),
+ .datae(!\datamem|ram~4857_combout ),
+ .dataf(!\datamem|ram~4854_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4858_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4858 .extended_lut = "off";
+defparam \datamem|ram~4858 .lut_mask = 64'h101C131FD0DCD3DF;
+defparam \datamem|ram~4858 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N1
+dffeas \datamem|ram~3180 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3180_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3180 .is_wysiwyg = "true";
+defparam \datamem|ram~3180 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N16
+dffeas \datamem|ram~3308 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3308_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3308 .is_wysiwyg = "true";
+defparam \datamem|ram~3308 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y22_N33
+cyclonev_lcell_comb \datamem|ram~3244feeder (
+// Equation(s):
+// \datamem|ram~3244feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3244feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3244feeder .extended_lut = "off";
+defparam \datamem|ram~3244feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3244feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N34
+dffeas \datamem|ram~3244 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3244feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3244_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3244 .is_wysiwyg = "true";
+defparam \datamem|ram~3244 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N34
+dffeas \datamem|ram~3116 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3116_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3116 .is_wysiwyg = "true";
+defparam \datamem|ram~3116 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N18
+cyclonev_lcell_comb \datamem|ram~4841 (
+// Equation(s):
+// \datamem|ram~4841_combout = ( \alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~3308_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~3180_q ) ) ) # ( \alu_unit|Mux11~4_combout & (
+// !\alu_unit|Mux12~2_combout & ( \datamem|ram~3244_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( !\alu_unit|Mux12~2_combout & ( \datamem|ram~3116_q ) ) )
+
+ .dataa(!\datamem|ram~3180_q ),
+ .datab(!\datamem|ram~3308_q ),
+ .datac(!\datamem|ram~3244_q ),
+ .datad(!\datamem|ram~3116_q ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4841_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4841 .extended_lut = "off";
+defparam \datamem|ram~4841 .lut_mask = 64'h00FF0F0F55553333;
+defparam \datamem|ram~4841 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N15
+cyclonev_lcell_comb \datamem|ram~3228feeder (
+// Equation(s):
+// \datamem|ram~3228feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3228feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3228feeder .extended_lut = "off";
+defparam \datamem|ram~3228feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3228feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N17
+dffeas \datamem|ram~3228 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3228feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3228_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3228 .is_wysiwyg = "true";
+defparam \datamem|ram~3228 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N59
+dffeas \datamem|ram~3100 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3100_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3100 .is_wysiwyg = "true";
+defparam \datamem|ram~3100 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N45
+cyclonev_lcell_comb \datamem|ram~3164feeder (
+// Equation(s):
+// \datamem|ram~3164feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3164feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3164feeder .extended_lut = "off";
+defparam \datamem|ram~3164feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3164feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N46
+dffeas \datamem|ram~3164 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3164feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3164_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3164 .is_wysiwyg = "true";
+defparam \datamem|ram~3164 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N56
+dffeas \datamem|ram~3292 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3292_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3292 .is_wysiwyg = "true";
+defparam \datamem|ram~3292 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N15
+cyclonev_lcell_comb \datamem|ram~4840 (
+// Equation(s):
+// \datamem|ram~4840_combout = ( \alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~3292_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~3164_q ) ) ) # ( \alu_unit|Mux11~4_combout & (
+// !\alu_unit|Mux12~2_combout & ( \datamem|ram~3228_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( !\alu_unit|Mux12~2_combout & ( \datamem|ram~3100_q ) ) )
+
+ .dataa(!\datamem|ram~3228_q ),
+ .datab(!\datamem|ram~3100_q ),
+ .datac(!\datamem|ram~3164_q ),
+ .datad(!\datamem|ram~3292_q ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4840_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4840 .extended_lut = "off";
+defparam \datamem|ram~4840 .lut_mask = 64'h333355550F0F00FF;
+defparam \datamem|ram~4840 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N24
+cyclonev_lcell_comb \datamem|ram~3084feeder (
+// Equation(s):
+// \datamem|ram~3084feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3084feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3084feeder .extended_lut = "off";
+defparam \datamem|ram~3084feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3084feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N25
+dffeas \datamem|ram~3084 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3084feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3084_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3084 .is_wysiwyg = "true";
+defparam \datamem|ram~3084 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y20_N6
+cyclonev_lcell_comb \datamem|ram~3212feeder (
+// Equation(s):
+// \datamem|ram~3212feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3212feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3212feeder .extended_lut = "off";
+defparam \datamem|ram~3212feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3212feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y20_N7
+dffeas \datamem|ram~3212 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3212feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3212_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3212 .is_wysiwyg = "true";
+defparam \datamem|ram~3212 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y17_N7
+dffeas \datamem|ram~3148 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3148_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3148 .is_wysiwyg = "true";
+defparam \datamem|ram~3148 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y20_N38
+dffeas \datamem|ram~3276 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3276_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3276 .is_wysiwyg = "true";
+defparam \datamem|ram~3276 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y20_N36
+cyclonev_lcell_comb \datamem|ram~4839 (
+// Equation(s):
+// \datamem|ram~4839_combout = ( \datamem|ram~3276_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3212_q ) ) ) ) # ( !\datamem|ram~3276_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3212_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3276_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3084_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3148_q ))) ) ) ) # ( !\datamem|ram~3276_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3084_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3148_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3084_q ),
+ .datab(!\datamem|ram~3212_q ),
+ .datac(!\datamem|ram~3148_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3276_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4839_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4839 .extended_lut = "off";
+defparam \datamem|ram~4839 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4839 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y13_N46
+dffeas \datamem|ram~3196 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3196_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3196 .is_wysiwyg = "true";
+defparam \datamem|ram~3196 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N49
+dffeas \datamem|ram~3260 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3260_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3260 .is_wysiwyg = "true";
+defparam \datamem|ram~3260 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N2
+dffeas \datamem|ram~3132 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3132_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3132 .is_wysiwyg = "true";
+defparam \datamem|ram~3132 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N32
+dffeas \datamem|ram~3324 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3324_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3324 .is_wysiwyg = "true";
+defparam \datamem|ram~3324 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y20_N30
+cyclonev_lcell_comb \datamem|ram~4842 (
+// Equation(s):
+// \datamem|ram~4842_combout = ( \datamem|ram~3324_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3260_q ) ) ) ) # ( !\datamem|ram~3324_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3260_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3324_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3132_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3196_q )) ) ) ) # ( !\datamem|ram~3324_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3132_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3196_q )) ) ) )
+
+ .dataa(!\datamem|ram~3196_q ),
+ .datab(!\datamem|ram~3260_q ),
+ .datac(!\datamem|ram~3132_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3324_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4842_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4842 .extended_lut = "off";
+defparam \datamem|ram~4842 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4842 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y20_N54
+cyclonev_lcell_comb \datamem|ram~4843 (
+// Equation(s):
+// \datamem|ram~4843_combout = ( \datamem|ram~4839_combout & ( \datamem|ram~4842_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4841_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~4840_combout )) #
+// (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~4839_combout & ( \datamem|ram~4842_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~4841_combout ))) # (\alu_unit|Mux14~6_combout &
+// (((\datamem|ram~4840_combout )) # (\alu_unit|Mux13~4_combout ))) ) ) ) # ( \datamem|ram~4839_combout & ( !\datamem|ram~4842_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~4841_combout )))) #
+// (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4840_combout )))) ) ) ) # ( !\datamem|ram~4839_combout & ( !\datamem|ram~4842_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & (\datamem|ram~4841_combout
+// ))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & ((\datamem|ram~4840_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4841_combout ),
+ .datad(!\datamem|ram~4840_combout ),
+ .datae(!\datamem|ram~4839_combout ),
+ .dataf(!\datamem|ram~4842_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4843_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4843 .extended_lut = "off";
+defparam \datamem|ram~4843 .lut_mask = 64'h02468ACE13579BDF;
+defparam \datamem|ram~4843 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N21
+cyclonev_lcell_comb \datamem|ram~3596feeder (
+// Equation(s):
+// \datamem|ram~3596feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3596feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3596feeder .extended_lut = "off";
+defparam \datamem|ram~3596feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3596feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N22
+dffeas \datamem|ram~3596 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3596feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3596_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3596 .is_wysiwyg = "true";
+defparam \datamem|ram~3596 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y21_N54
+cyclonev_lcell_comb \datamem|ram~3660feeder (
+// Equation(s):
+// \datamem|ram~3660feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3660feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3660feeder .extended_lut = "off";
+defparam \datamem|ram~3660feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3660feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y21_N55
+dffeas \datamem|ram~3660 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3660feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3660_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3660 .is_wysiwyg = "true";
+defparam \datamem|ram~3660 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y21_N15
+cyclonev_lcell_comb \datamem|ram~3724feeder (
+// Equation(s):
+// \datamem|ram~3724feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3724feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3724feeder .extended_lut = "off";
+defparam \datamem|ram~3724feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3724feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y21_N17
+dffeas \datamem|ram~3724 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3724feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3724_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3724 .is_wysiwyg = "true";
+defparam \datamem|ram~3724 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y21_N8
+dffeas \datamem|ram~3788 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3788_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3788 .is_wysiwyg = "true";
+defparam \datamem|ram~3788 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y21_N6
+cyclonev_lcell_comb \datamem|ram~4849 (
+// Equation(s):
+// \datamem|ram~4849_combout = ( \datamem|ram~3788_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3724_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3788_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3724_q ) ) ) ) # ( \datamem|ram~3788_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3596_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3660_q ))) ) ) ) # ( !\datamem|ram~3788_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3596_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3660_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3596_q ),
+ .datab(!\datamem|ram~3660_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3724_q ),
+ .datae(!\datamem|ram~3788_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4849_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4849 .extended_lut = "off";
+defparam \datamem|ram~4849 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4849 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N20
+dffeas \datamem|ram~3708 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3708_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3708 .is_wysiwyg = "true";
+defparam \datamem|ram~3708 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N28
+dffeas \datamem|ram~3644 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3644_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3644 .is_wysiwyg = "true";
+defparam \datamem|ram~3644 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y6_N33
+cyclonev_lcell_comb \datamem|ram~3772feeder (
+// Equation(s):
+// \datamem|ram~3772feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3772feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3772feeder .extended_lut = "off";
+defparam \datamem|ram~3772feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3772feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y6_N34
+dffeas \datamem|ram~3772 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3772feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3772_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3772 .is_wysiwyg = "true";
+defparam \datamem|ram~3772 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N20
+dffeas \datamem|ram~3836 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3836_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3836 .is_wysiwyg = "true";
+defparam \datamem|ram~3836 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N18
+cyclonev_lcell_comb \datamem|ram~4852 (
+// Equation(s):
+// \datamem|ram~4852_combout = ( \datamem|ram~3836_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3772_q ) ) ) ) # ( !\datamem|ram~3836_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3772_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3836_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3644_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3708_q )) ) ) ) # ( !\datamem|ram~3836_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3644_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3708_q )) ) ) )
+
+ .dataa(!\datamem|ram~3708_q ),
+ .datab(!\datamem|ram~3644_q ),
+ .datac(!\datamem|ram~3772_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3836_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4852_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4852 .extended_lut = "off";
+defparam \datamem|ram~4852 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4852 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N20
+dffeas \datamem|ram~3692 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3692_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3692 .is_wysiwyg = "true";
+defparam \datamem|ram~3692 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N13
+dffeas \datamem|ram~3628 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3628_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3628 .is_wysiwyg = "true";
+defparam \datamem|ram~3628 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y9_N55
+dffeas \datamem|ram~3756 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3756_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3756 .is_wysiwyg = "true";
+defparam \datamem|ram~3756 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N2
+dffeas \datamem|ram~3820 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3820_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3820 .is_wysiwyg = "true";
+defparam \datamem|ram~3820 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N0
+cyclonev_lcell_comb \datamem|ram~4851 (
+// Equation(s):
+// \datamem|ram~4851_combout = ( \datamem|ram~3820_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3756_q ) ) ) ) # ( !\datamem|ram~3820_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3756_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3820_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3628_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3692_q )) ) ) ) # ( !\datamem|ram~3820_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3628_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3692_q )) ) ) )
+
+ .dataa(!\datamem|ram~3692_q ),
+ .datab(!\datamem|ram~3628_q ),
+ .datac(!\datamem|ram~3756_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3820_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4851_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4851 .extended_lut = "off";
+defparam \datamem|ram~4851 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4851 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y19_N43
+dffeas \datamem|ram~3740 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3740_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3740 .is_wysiwyg = "true";
+defparam \datamem|ram~3740 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N57
+cyclonev_lcell_comb \datamem|ram~3676feeder (
+// Equation(s):
+// \datamem|ram~3676feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3676feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3676feeder .extended_lut = "off";
+defparam \datamem|ram~3676feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3676feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N59
+dffeas \datamem|ram~3676 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3676feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3676_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3676 .is_wysiwyg = "true";
+defparam \datamem|ram~3676 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N51
+cyclonev_lcell_comb \datamem|ram~3612feeder (
+// Equation(s):
+// \datamem|ram~3612feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3612feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3612feeder .extended_lut = "off";
+defparam \datamem|ram~3612feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3612feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N52
+dffeas \datamem|ram~3612 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3612feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3612_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3612 .is_wysiwyg = "true";
+defparam \datamem|ram~3612 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N20
+dffeas \datamem|ram~3804 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3804_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3804 .is_wysiwyg = "true";
+defparam \datamem|ram~3804 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N18
+cyclonev_lcell_comb \datamem|ram~4850 (
+// Equation(s):
+// \datamem|ram~4850_combout = ( \datamem|ram~3804_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3740_q ) ) ) ) # ( !\datamem|ram~3804_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3740_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3804_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3612_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3676_q )) ) ) ) # ( !\datamem|ram~3804_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3612_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3676_q )) ) ) )
+
+ .dataa(!\datamem|ram~3740_q ),
+ .datab(!\datamem|ram~3676_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3612_q ),
+ .datae(!\datamem|ram~3804_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4850_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4850 .extended_lut = "off";
+defparam \datamem|ram~4850 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4850 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N24
+cyclonev_lcell_comb \datamem|ram~4853 (
+// Equation(s):
+// \datamem|ram~4853_combout = ( \datamem|ram~4851_combout & ( \datamem|ram~4850_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) # (\datamem|ram~4849_combout ))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~4852_combout )))) ) ) ) # ( !\datamem|ram~4851_combout & ( \datamem|ram~4850_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) # (\datamem|ram~4849_combout ))) # (\alu_unit|Mux13~4_combout &
+// (((\datamem|ram~4852_combout & \alu_unit|Mux14~6_combout )))) ) ) ) # ( \datamem|ram~4851_combout & ( !\datamem|ram~4850_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4849_combout & ((!\alu_unit|Mux14~6_combout )))) #
+// (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4852_combout )))) ) ) ) # ( !\datamem|ram~4851_combout & ( !\datamem|ram~4850_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4849_combout &
+// ((!\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~4852_combout & \alu_unit|Mux14~6_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~4849_combout ),
+ .datac(!\datamem|ram~4852_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4851_combout ),
+ .dataf(!\datamem|ram~4850_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4853_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4853 .extended_lut = "off";
+defparam \datamem|ram~4853 .lut_mask = 64'h2205770522AF77AF;
+defparam \datamem|ram~4853 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N30
+cyclonev_lcell_comb \datamem|ram~4859 (
+// Equation(s):
+// \datamem|ram~4859_combout = ( \datamem|ram~4843_combout & ( \datamem|ram~4853_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4848_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~4858_combout )))) ) ) )
+// # ( !\datamem|ram~4843_combout & ( \datamem|ram~4853_combout & ( (!\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & (\datamem|ram~4848_combout ))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4858_combout ))))
+// ) ) ) # ( \datamem|ram~4843_combout & ( !\datamem|ram~4853_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4848_combout )))) # (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4858_combout )))) ) ) ) # ( !\datamem|ram~4843_combout & ( !\datamem|ram~4853_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~4848_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4858_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4848_combout ),
+ .datad(!\datamem|ram~4858_combout ),
+ .datae(!\datamem|ram~4843_combout ),
+ .dataf(!\datamem|ram~4853_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4859_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4859 .extended_lut = "off";
+defparam \datamem|ram~4859 .lut_mask = 64'h02138A9B4657CEDF;
+defparam \datamem|ram~4859 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N58
+dffeas \datamem|ram~2204 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2204_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2204 .is_wysiwyg = "true";
+defparam \datamem|ram~2204 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N4
+dffeas \datamem|ram~2460 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2460_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2460 .is_wysiwyg = "true";
+defparam \datamem|ram~2460 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N10
+dffeas \datamem|ram~2716 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2716_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2716 .is_wysiwyg = "true";
+defparam \datamem|ram~2716 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y10_N20
+dffeas \datamem|ram~2972 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2972_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2972 .is_wysiwyg = "true";
+defparam \datamem|ram~2972 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N18
+cyclonev_lcell_comb \datamem|ram~4829 (
+// Equation(s):
+// \datamem|ram~4829_combout = ( \datamem|ram~2972_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2716_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~2972_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~2716_q ) ) ) ) # ( \datamem|ram~2972_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2204_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2460_q ))) ) ) ) # ( !\datamem|ram~2972_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2204_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2460_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2204_q ),
+ .datab(!\datamem|ram~2460_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2716_q ),
+ .datae(!\datamem|ram~2972_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4829_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4829 .extended_lut = "off";
+defparam \datamem|ram~4829 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4829 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N25
+dffeas \datamem|ram~2220 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2220_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2220 .is_wysiwyg = "true";
+defparam \datamem|ram~2220 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N10
+dffeas \datamem|ram~2476 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2476_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2476 .is_wysiwyg = "true";
+defparam \datamem|ram~2476 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y10_N44
+dffeas \datamem|ram~2988 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2988_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2988 .is_wysiwyg = "true";
+defparam \datamem|ram~2988 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N44
+dffeas \datamem|ram~2732 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2732_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2732 .is_wysiwyg = "true";
+defparam \datamem|ram~2732 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y9_N18
+cyclonev_lcell_comb \datamem|ram~4830 (
+// Equation(s):
+// \datamem|ram~4830_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2988_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~2476_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~2732_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~2220_q ) ) )
+
+ .dataa(!\datamem|ram~2220_q ),
+ .datab(!\datamem|ram~2476_q ),
+ .datac(!\datamem|ram~2988_q ),
+ .datad(!\datamem|ram~2732_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4830_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4830 .extended_lut = "off";
+defparam \datamem|ram~4830 .lut_mask = 64'h555500FF33330F0F;
+defparam \datamem|ram~4830 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y8_N9
+cyclonev_lcell_comb \datamem|ram~2492feeder (
+// Equation(s):
+// \datamem|ram~2492feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2492feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2492feeder .extended_lut = "off";
+defparam \datamem|ram~2492feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2492feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y8_N10
+dffeas \datamem|ram~2492 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2492feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2492_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2492 .is_wysiwyg = "true";
+defparam \datamem|ram~2492 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y9_N12
+cyclonev_lcell_comb \datamem|ram~2748feeder (
+// Equation(s):
+// \datamem|ram~2748feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2748feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2748feeder .extended_lut = "off";
+defparam \datamem|ram~2748feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2748feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N14
+dffeas \datamem|ram~2748 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2748feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2748_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2748 .is_wysiwyg = "true";
+defparam \datamem|ram~2748 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y9_N42
+cyclonev_lcell_comb \datamem|ram~2236feeder (
+// Equation(s):
+// \datamem|ram~2236feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2236feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2236feeder .extended_lut = "off";
+defparam \datamem|ram~2236feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2236feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N44
+dffeas \datamem|ram~2236 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2236feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2236_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2236 .is_wysiwyg = "true";
+defparam \datamem|ram~2236 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N26
+dffeas \datamem|ram~3004 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3004_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3004 .is_wysiwyg = "true";
+defparam \datamem|ram~3004 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y9_N24
+cyclonev_lcell_comb \datamem|ram~4831 (
+// Equation(s):
+// \datamem|ram~4831_combout = ( \datamem|ram~3004_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2492_q ) ) ) ) # ( !\datamem|ram~3004_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2492_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~3004_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2236_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2748_q )) ) ) ) # ( !\datamem|ram~3004_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2236_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2748_q )) ) ) )
+
+ .dataa(!\datamem|ram~2492_q ),
+ .datab(!\datamem|ram~2748_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2236_q ),
+ .datae(!\datamem|ram~3004_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4831_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4831 .extended_lut = "off";
+defparam \datamem|ram~4831 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4831 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N49
+dffeas \datamem|ram~2188 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2188_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2188 .is_wysiwyg = "true";
+defparam \datamem|ram~2188 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y8_N33
+cyclonev_lcell_comb \datamem|ram~2700feeder (
+// Equation(s):
+// \datamem|ram~2700feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2700feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2700feeder .extended_lut = "off";
+defparam \datamem|ram~2700feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2700feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y8_N34
+dffeas \datamem|ram~2700 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2700feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2700_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2700 .is_wysiwyg = "true";
+defparam \datamem|ram~2700 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y9_N34
+dffeas \datamem|ram~2444 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2444_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2444 .is_wysiwyg = "true";
+defparam \datamem|ram~2444 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y10_N26
+dffeas \datamem|ram~2956 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2956_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2956 .is_wysiwyg = "true";
+defparam \datamem|ram~2956 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4828 (
+// Equation(s):
+// \datamem|ram~4828_combout = ( \datamem|ram~2956_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2700_q ) ) ) ) # ( !\datamem|ram~2956_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2700_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2956_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2188_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2444_q ))) ) ) ) # ( !\datamem|ram~2956_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2188_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2444_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2188_q ),
+ .datab(!\datamem|ram~2700_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~2444_q ),
+ .datae(!\datamem|ram~2956_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4828_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4828 .extended_lut = "off";
+defparam \datamem|ram~4828 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4828 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y10_N45
+cyclonev_lcell_comb \datamem|ram~4832 (
+// Equation(s):
+// \datamem|ram~4832_combout = ( \datamem|ram~4831_combout & ( \datamem|ram~4828_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4829_combout ))) # (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout ) #
+// (\datamem|ram~4830_combout )))) ) ) ) # ( !\datamem|ram~4831_combout & ( \datamem|ram~4828_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~4829_combout ))) # (\alu_unit|Mux13~4_combout &
+// (((\datamem|ram~4830_combout & !\alu_unit|Mux14~6_combout )))) ) ) ) # ( \datamem|ram~4831_combout & ( !\datamem|ram~4828_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4829_combout & ((\alu_unit|Mux14~6_combout )))) #
+// (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout ) # (\datamem|ram~4830_combout )))) ) ) ) # ( !\datamem|ram~4831_combout & ( !\datamem|ram~4828_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4829_combout &
+// ((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (((\datamem|ram~4830_combout & !\alu_unit|Mux14~6_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4829_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4830_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4831_combout ),
+ .dataf(!\datamem|ram~4828_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4832_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4832 .extended_lut = "off";
+defparam \datamem|ram~4832 .lut_mask = 64'h03440377CF44CF77;
+defparam \datamem|ram~4832 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N48
+cyclonev_lcell_comb \datamem|ram~2156feeder (
+// Equation(s):
+// \datamem|ram~2156feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2156feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2156feeder .extended_lut = "off";
+defparam \datamem|ram~2156feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2156feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N50
+dffeas \datamem|ram~2156 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2156feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2156_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2156 .is_wysiwyg = "true";
+defparam \datamem|ram~2156 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y13_N36
+cyclonev_lcell_comb \datamem|ram~2124feeder (
+// Equation(s):
+// \datamem|ram~2124feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2124feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2124feeder .extended_lut = "off";
+defparam \datamem|ram~2124feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2124feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N37
+dffeas \datamem|ram~2124 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2124feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2124_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2124 .is_wysiwyg = "true";
+defparam \datamem|ram~2124 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N48
+cyclonev_lcell_comb \datamem|ram~2140feeder (
+// Equation(s):
+// \datamem|ram~2140feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2140feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2140feeder .extended_lut = "off";
+defparam \datamem|ram~2140feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2140feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N49
+dffeas \datamem|ram~2140 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2140feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2140_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2140 .is_wysiwyg = "true";
+defparam \datamem|ram~2140 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y11_N56
+dffeas \datamem|ram~2172 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2172_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2172 .is_wysiwyg = "true";
+defparam \datamem|ram~2172 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N54
+cyclonev_lcell_comb \datamem|ram~4823 (
+// Equation(s):
+// \datamem|ram~4823_combout = ( \datamem|ram~2172_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2140_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2172_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2140_q ) ) ) ) # ( \datamem|ram~2172_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2124_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2156_q )) ) ) ) # ( !\datamem|ram~2172_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2124_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2156_q )) ) ) )
+
+ .dataa(!\datamem|ram~2156_q ),
+ .datab(!\datamem|ram~2124_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2140_q ),
+ .datae(!\datamem|ram~2172_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4823_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4823 .extended_lut = "off";
+defparam \datamem|ram~4823 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~4823 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N44
+dffeas \datamem|ram~2924 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2924_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2924 .is_wysiwyg = "true";
+defparam \datamem|ram~2924 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N51
+cyclonev_lcell_comb \datamem|ram~2908feeder (
+// Equation(s):
+// \datamem|ram~2908feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2908feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2908feeder .extended_lut = "off";
+defparam \datamem|ram~2908feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2908feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N52
+dffeas \datamem|ram~2908 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2908feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2908_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2908 .is_wysiwyg = "true";
+defparam \datamem|ram~2908 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y11_N11
+dffeas \datamem|ram~2892 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2892_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2892 .is_wysiwyg = "true";
+defparam \datamem|ram~2892 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y11_N32
+dffeas \datamem|ram~2940 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2940_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2940 .is_wysiwyg = "true";
+defparam \datamem|ram~2940 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N30
+cyclonev_lcell_comb \datamem|ram~4826 (
+// Equation(s):
+// \datamem|ram~4826_combout = ( \datamem|ram~2940_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2908_q ) ) ) ) # ( !\datamem|ram~2940_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2908_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2940_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2892_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2924_q )) ) ) ) # ( !\datamem|ram~2940_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2892_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2924_q )) ) ) )
+
+ .dataa(!\datamem|ram~2924_q ),
+ .datab(!\datamem|ram~2908_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2892_q ),
+ .datae(!\datamem|ram~2940_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4826_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4826 .extended_lut = "off";
+defparam \datamem|ram~4826 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4826 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N4
+dffeas \datamem|ram~2652 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2652_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2652 .is_wysiwyg = "true";
+defparam \datamem|ram~2652 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N57
+cyclonev_lcell_comb \datamem|ram~2668feeder (
+// Equation(s):
+// \datamem|ram~2668feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2668feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2668feeder .extended_lut = "off";
+defparam \datamem|ram~2668feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2668feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N59
+dffeas \datamem|ram~2668 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2668feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2668_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2668 .is_wysiwyg = "true";
+defparam \datamem|ram~2668 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y7_N55
+dffeas \datamem|ram~2636 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2636_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2636 .is_wysiwyg = "true";
+defparam \datamem|ram~2636 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y11_N20
+dffeas \datamem|ram~2684 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2684_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2684 .is_wysiwyg = "true";
+defparam \datamem|ram~2684 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y11_N18
+cyclonev_lcell_comb \datamem|ram~4825 (
+// Equation(s):
+// \datamem|ram~4825_combout = ( \datamem|ram~2684_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2668_q ) ) ) ) # ( !\datamem|ram~2684_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2668_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2684_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2636_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2652_q )) ) ) ) # ( !\datamem|ram~2684_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2636_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2652_q )) ) ) )
+
+ .dataa(!\datamem|ram~2652_q ),
+ .datab(!\datamem|ram~2668_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~2636_q ),
+ .datae(!\datamem|ram~2684_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4825_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4825 .extended_lut = "off";
+defparam \datamem|ram~4825 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4825 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y6_N39
+cyclonev_lcell_comb \datamem|ram~2412feeder (
+// Equation(s):
+// \datamem|ram~2412feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2412feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2412feeder .extended_lut = "off";
+defparam \datamem|ram~2412feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2412feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y6_N40
+dffeas \datamem|ram~2412 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2412feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2412_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2412 .is_wysiwyg = "true";
+defparam \datamem|ram~2412 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N32
+dffeas \datamem|ram~2380 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2380_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2380 .is_wysiwyg = "true";
+defparam \datamem|ram~2380 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N5
+dffeas \datamem|ram~2396 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2396_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2396 .is_wysiwyg = "true";
+defparam \datamem|ram~2396 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N14
+dffeas \datamem|ram~2428 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2428_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2428 .is_wysiwyg = "true";
+defparam \datamem|ram~2428 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N12
+cyclonev_lcell_comb \datamem|ram~4824 (
+// Equation(s):
+// \datamem|ram~4824_combout = ( \datamem|ram~2428_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2412_q ) ) ) ) # ( !\datamem|ram~2428_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2412_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2428_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2380_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2396_q ))) ) ) ) # ( !\datamem|ram~2428_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2380_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2396_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2412_q ),
+ .datab(!\datamem|ram~2380_q ),
+ .datac(!\datamem|ram~2396_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2428_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4824_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4824 .extended_lut = "off";
+defparam \datamem|ram~4824 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4824 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y11_N42
+cyclonev_lcell_comb \datamem|ram~4827 (
+// Equation(s):
+// \datamem|ram~4827_combout = ( \datamem|ram~4825_combout & ( \datamem|ram~4824_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4823_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout ) #
+// ((\datamem|ram~4826_combout )))) ) ) ) # ( !\datamem|ram~4825_combout & ( \datamem|ram~4824_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~4823_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\alu_unit|Mux10~6_combout & ((\datamem|ram~4826_combout )))) ) ) ) # ( \datamem|ram~4825_combout & ( !\datamem|ram~4824_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~4823_combout ))) # (\alu_unit|Mux9~4_combout
+// & ((!\alu_unit|Mux10~6_combout ) # ((\datamem|ram~4826_combout )))) ) ) ) # ( !\datamem|ram~4825_combout & ( !\datamem|ram~4824_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & (\datamem|ram~4823_combout ))) #
+// (\alu_unit|Mux9~4_combout & (\alu_unit|Mux10~6_combout & ((\datamem|ram~4826_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~4823_combout ),
+ .datad(!\datamem|ram~4826_combout ),
+ .datae(!\datamem|ram~4825_combout ),
+ .dataf(!\datamem|ram~4824_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4827_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4827 .extended_lut = "off";
+defparam \datamem|ram~4827 .lut_mask = 64'h08194C5D2A3B6E7F;
+defparam \datamem|ram~4827 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y21_N37
+dffeas \datamem|ram~2508 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2508_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2508 .is_wysiwyg = "true";
+defparam \datamem|ram~2508 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y18_N31
+dffeas \datamem|ram~2540 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2540_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2540 .is_wysiwyg = "true";
+defparam \datamem|ram~2540 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N28
+dffeas \datamem|ram~2524 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2524_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2524 .is_wysiwyg = "true";
+defparam \datamem|ram~2524 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y18_N32
+dffeas \datamem|ram~2556 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2556_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2556 .is_wysiwyg = "true";
+defparam \datamem|ram~2556 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y18_N30
+cyclonev_lcell_comb \datamem|ram~4834 (
+// Equation(s):
+// \datamem|ram~4834_combout = ( \datamem|ram~2556_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2524_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2556_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2524_q ) ) ) ) # ( \datamem|ram~2556_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2508_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2540_q ))) ) ) ) # ( !\datamem|ram~2556_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2508_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2540_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2508_q ),
+ .datab(!\datamem|ram~2540_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2524_q ),
+ .datae(!\datamem|ram~2556_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4834_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4834 .extended_lut = "off";
+defparam \datamem|ram~4834 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4834 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y23_N18
+cyclonev_lcell_comb \datamem|ram~2268feeder (
+// Equation(s):
+// \datamem|ram~2268feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2268feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2268feeder .extended_lut = "off";
+defparam \datamem|ram~2268feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2268feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N19
+dffeas \datamem|ram~2268 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2268feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2268_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2268 .is_wysiwyg = "true";
+defparam \datamem|ram~2268 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y18_N54
+cyclonev_lcell_comb \datamem|ram~2300feeder (
+// Equation(s):
+// \datamem|ram~2300feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2300feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2300feeder .extended_lut = "off";
+defparam \datamem|ram~2300feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2300feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y18_N56
+dffeas \datamem|ram~2300 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2300feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2300_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2300 .is_wysiwyg = "true";
+defparam \datamem|ram~2300 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N13
+dffeas \datamem|ram~2252 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2252_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2252 .is_wysiwyg = "true";
+defparam \datamem|ram~2252 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y10_N40
+dffeas \datamem|ram~2284 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2284_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2284 .is_wysiwyg = "true";
+defparam \datamem|ram~2284 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N36
+cyclonev_lcell_comb \datamem|ram~4833 (
+// Equation(s):
+// \datamem|ram~4833_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2300_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2268_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2284_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2252_q ) ) )
+
+ .dataa(!\datamem|ram~2268_q ),
+ .datab(!\datamem|ram~2300_q ),
+ .datac(!\datamem|ram~2252_q ),
+ .datad(!\datamem|ram~2284_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4833_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4833 .extended_lut = "off";
+defparam \datamem|ram~4833 .lut_mask = 64'h0F0F00FF55553333;
+defparam \datamem|ram~4833 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N13
+dffeas \datamem|ram~3036 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3036_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3036 .is_wysiwyg = "true";
+defparam \datamem|ram~3036 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N49
+dffeas \datamem|ram~3020 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3020_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3020 .is_wysiwyg = "true";
+defparam \datamem|ram~3020 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N38
+dffeas \datamem|ram~3052 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3052_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3052 .is_wysiwyg = "true";
+defparam \datamem|ram~3052 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N2
+dffeas \datamem|ram~3068 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3068_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3068 .is_wysiwyg = "true";
+defparam \datamem|ram~3068 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N0
+cyclonev_lcell_comb \datamem|ram~4836 (
+// Equation(s):
+// \datamem|ram~4836_combout = ( \datamem|ram~3068_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3052_q ) ) ) ) # ( !\datamem|ram~3068_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3052_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3068_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3020_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3036_q )) ) ) ) # ( !\datamem|ram~3068_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3020_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3036_q )) ) ) )
+
+ .dataa(!\datamem|ram~3036_q ),
+ .datab(!\datamem|ram~3020_q ),
+ .datac(!\datamem|ram~3052_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3068_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4836_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4836 .extended_lut = "off";
+defparam \datamem|ram~4836 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~4836 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N58
+dffeas \datamem|ram~2764 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2764_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2764 .is_wysiwyg = "true";
+defparam \datamem|ram~2764 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y21_N30
+cyclonev_lcell_comb \datamem|ram~2812feeder (
+// Equation(s):
+// \datamem|ram~2812feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2812feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2812feeder .extended_lut = "off";
+defparam \datamem|ram~2812feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2812feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y21_N31
+dffeas \datamem|ram~2812 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2812feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2812_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2812 .is_wysiwyg = "true";
+defparam \datamem|ram~2812 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N10
+dffeas \datamem|ram~2780 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2780_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2780 .is_wysiwyg = "true";
+defparam \datamem|ram~2780 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y9_N52
+dffeas \datamem|ram~2796 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2796_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2796 .is_wysiwyg = "true";
+defparam \datamem|ram~2796 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y18_N51
+cyclonev_lcell_comb \datamem|ram~4835 (
+// Equation(s):
+// \datamem|ram~4835_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2812_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2780_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2796_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2764_q ) ) )
+
+ .dataa(!\datamem|ram~2764_q ),
+ .datab(!\datamem|ram~2812_q ),
+ .datac(!\datamem|ram~2780_q ),
+ .datad(!\datamem|ram~2796_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4835_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4835 .extended_lut = "off";
+defparam \datamem|ram~4835 .lut_mask = 64'h555500FF0F0F3333;
+defparam \datamem|ram~4835 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N33
+cyclonev_lcell_comb \datamem|ram~4837 (
+// Equation(s):
+// \datamem|ram~4837_combout = ( \datamem|ram~4836_combout & ( \datamem|ram~4835_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4833_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4834_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4836_combout & ( \datamem|ram~4835_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4833_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4834_combout )))) # (\alu_unit|Mux9~4_combout &
+// (((!\alu_unit|Mux10~6_combout )))) ) ) ) # ( \datamem|ram~4836_combout & ( !\datamem|ram~4835_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4833_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4834_combout )))) # (\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4836_combout & ( !\datamem|ram~4835_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4833_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4834_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4834_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~4833_combout ),
+ .datae(!\datamem|ram~4836_combout ),
+ .dataf(!\datamem|ram~4835_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4837_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4837 .extended_lut = "off";
+defparam \datamem|ram~4837 .lut_mask = 64'h04C407C734F437F7;
+defparam \datamem|ram~4837 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y14_N57
+cyclonev_lcell_comb \datamem|ram~2060feeder (
+// Equation(s):
+// \datamem|ram~2060feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2060feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2060feeder .extended_lut = "off";
+defparam \datamem|ram~2060feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2060feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N58
+dffeas \datamem|ram~2060 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2060feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2060_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2060 .is_wysiwyg = "true";
+defparam \datamem|ram~2060 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y14_N18
+cyclonev_lcell_comb \datamem|ram~2076feeder (
+// Equation(s):
+// \datamem|ram~2076feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2076feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2076feeder .extended_lut = "off";
+defparam \datamem|ram~2076feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2076feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N19
+dffeas \datamem|ram~2076 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2076feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2076_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2076 .is_wysiwyg = "true";
+defparam \datamem|ram~2076 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N32
+dffeas \datamem|ram~2092 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2092_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2092 .is_wysiwyg = "true";
+defparam \datamem|ram~2092 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N32
+dffeas \datamem|ram~2108 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2108_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2108 .is_wysiwyg = "true";
+defparam \datamem|ram~2108 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N30
+cyclonev_lcell_comb \datamem|ram~4818 (
+// Equation(s):
+// \datamem|ram~4818_combout = ( \datamem|ram~2108_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2076_q ) ) ) ) # ( !\datamem|ram~2108_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2076_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2108_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2060_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2092_q ))) ) ) ) # ( !\datamem|ram~2108_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2060_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2092_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2060_q ),
+ .datab(!\datamem|ram~2076_q ),
+ .datac(!\datamem|ram~2092_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2108_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4818_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4818 .extended_lut = "off";
+defparam \datamem|ram~4818 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4818 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N34
+dffeas \datamem|ram~2332 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2332_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2332 .is_wysiwyg = "true";
+defparam \datamem|ram~2332 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y20_N45
+cyclonev_lcell_comb \datamem|ram~2348feeder (
+// Equation(s):
+// \datamem|ram~2348feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2348feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2348feeder .extended_lut = "off";
+defparam \datamem|ram~2348feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2348feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N47
+dffeas \datamem|ram~2348 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2348feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2348_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2348 .is_wysiwyg = "true";
+defparam \datamem|ram~2348 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y6_N36
+cyclonev_lcell_comb \datamem|ram~2316feeder (
+// Equation(s):
+// \datamem|ram~2316feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2316feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2316feeder .extended_lut = "off";
+defparam \datamem|ram~2316feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2316feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y6_N37
+dffeas \datamem|ram~2316 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2316feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2316_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2316 .is_wysiwyg = "true";
+defparam \datamem|ram~2316 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y18_N56
+dffeas \datamem|ram~2364 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2364_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2364 .is_wysiwyg = "true";
+defparam \datamem|ram~2364 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y18_N54
+cyclonev_lcell_comb \datamem|ram~4819 (
+// Equation(s):
+// \datamem|ram~4819_combout = ( \datamem|ram~2364_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2332_q ) ) ) ) # ( !\datamem|ram~2364_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2332_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2364_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2316_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2348_q )) ) ) ) # ( !\datamem|ram~2364_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2316_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2348_q )) ) ) )
+
+ .dataa(!\datamem|ram~2332_q ),
+ .datab(!\datamem|ram~2348_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2316_q ),
+ .datae(!\datamem|ram~2364_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4819_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4819 .extended_lut = "off";
+defparam \datamem|ram~4819 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~4819 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y10_N3
+cyclonev_lcell_comb \datamem|ram~2588feeder (
+// Equation(s):
+// \datamem|ram~2588feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2588feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2588feeder .extended_lut = "off";
+defparam \datamem|ram~2588feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2588feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N4
+dffeas \datamem|ram~2588 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2588feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2588_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2588 .is_wysiwyg = "true";
+defparam \datamem|ram~2588 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y9_N39
+cyclonev_lcell_comb \datamem|ram~2604feeder (
+// Equation(s):
+// \datamem|ram~2604feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2604feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2604feeder .extended_lut = "off";
+defparam \datamem|ram~2604feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2604feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N40
+dffeas \datamem|ram~2604 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2604feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2604_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2604 .is_wysiwyg = "true";
+defparam \datamem|ram~2604 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N0
+cyclonev_lcell_comb \datamem|ram~2572feeder (
+// Equation(s):
+// \datamem|ram~2572feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2572feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2572feeder .extended_lut = "off";
+defparam \datamem|ram~2572feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2572feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N2
+dffeas \datamem|ram~2572 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2572feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2572_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2572 .is_wysiwyg = "true";
+defparam \datamem|ram~2572 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y7_N32
+dffeas \datamem|ram~2620 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2620_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2620 .is_wysiwyg = "true";
+defparam \datamem|ram~2620 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y7_N30
+cyclonev_lcell_comb \datamem|ram~4820 (
+// Equation(s):
+// \datamem|ram~4820_combout = ( \datamem|ram~2620_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2588_q ) ) ) ) # ( !\datamem|ram~2620_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2588_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2620_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2572_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2604_q )) ) ) ) # ( !\datamem|ram~2620_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2572_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2604_q )) ) ) )
+
+ .dataa(!\datamem|ram~2588_q ),
+ .datab(!\datamem|ram~2604_q ),
+ .datac(!\datamem|ram~2572_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2620_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4820_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4820 .extended_lut = "off";
+defparam \datamem|ram~4820 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4820 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y18_N57
+cyclonev_lcell_comb \datamem|ram~2828feeder (
+// Equation(s):
+// \datamem|ram~2828feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2828feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2828feeder .extended_lut = "off";
+defparam \datamem|ram~2828feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2828feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y18_N58
+dffeas \datamem|ram~2828 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2828feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2828_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2828 .is_wysiwyg = "true";
+defparam \datamem|ram~2828 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y12_N12
+cyclonev_lcell_comb \datamem|ram~2844feeder (
+// Equation(s):
+// \datamem|ram~2844feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2844feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2844feeder .extended_lut = "off";
+defparam \datamem|ram~2844feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2844feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N13
+dffeas \datamem|ram~2844 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2844feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2844_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2844 .is_wysiwyg = "true";
+defparam \datamem|ram~2844 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y17_N21
+cyclonev_lcell_comb \datamem|ram~2860feeder (
+// Equation(s):
+// \datamem|ram~2860feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2860feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2860feeder .extended_lut = "off";
+defparam \datamem|ram~2860feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2860feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y17_N22
+dffeas \datamem|ram~2860 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2860feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2860_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2860 .is_wysiwyg = "true";
+defparam \datamem|ram~2860 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N26
+dffeas \datamem|ram~2876 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2876_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2876 .is_wysiwyg = "true";
+defparam \datamem|ram~2876 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N24
+cyclonev_lcell_comb \datamem|ram~4821 (
+// Equation(s):
+// \datamem|ram~4821_combout = ( \datamem|ram~2876_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2860_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~2876_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~2860_q ) ) ) ) # ( \datamem|ram~2876_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2828_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2844_q ))) ) ) ) # ( !\datamem|ram~2876_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2828_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2844_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2828_q ),
+ .datab(!\datamem|ram~2844_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~2860_q ),
+ .datae(!\datamem|ram~2876_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4821_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4821 .extended_lut = "off";
+defparam \datamem|ram~4821 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4821 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N39
+cyclonev_lcell_comb \datamem|ram~4822 (
+// Equation(s):
+// \datamem|ram~4822_combout = ( \datamem|ram~4820_combout & ( \datamem|ram~4821_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4818_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~4819_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4820_combout & ( \datamem|ram~4821_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~4818_combout ))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~4819_combout )) # (\alu_unit|Mux9~4_combout )))
+// ) ) ) # ( \datamem|ram~4820_combout & ( !\datamem|ram~4821_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~4818_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~4819_combout )))) ) ) ) # ( !\datamem|ram~4820_combout & ( !\datamem|ram~4821_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~4818_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4819_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4818_combout ),
+ .datad(!\datamem|ram~4819_combout ),
+ .datae(!\datamem|ram~4820_combout ),
+ .dataf(!\datamem|ram~4821_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4822_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4822 .extended_lut = "off";
+defparam \datamem|ram~4822 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~4822 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N6
+cyclonev_lcell_comb \datamem|ram~4838 (
+// Equation(s):
+// \datamem|ram~4838_combout = ( \datamem|ram~4837_combout & ( \datamem|ram~4822_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4832_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4827_combout )) #
+// (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~4837_combout & ( \datamem|ram~4822_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout ) # ((\datamem|ram~4832_combout )))) # (\alu_unit|Mux12~2_combout &
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4827_combout )))) ) ) ) # ( \datamem|ram~4837_combout & ( !\datamem|ram~4822_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout & (\datamem|ram~4832_combout ))) #
+// (\alu_unit|Mux12~2_combout & (((\datamem|ram~4827_combout )) # (\alu_unit|Mux11~4_combout ))) ) ) ) # ( !\datamem|ram~4837_combout & ( !\datamem|ram~4822_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4832_combout ))) # (\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout & ((\datamem|ram~4827_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~4832_combout ),
+ .datad(!\datamem|ram~4827_combout ),
+ .datae(!\datamem|ram~4837_combout ),
+ .dataf(!\datamem|ram~4822_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4838_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4838 .extended_lut = "off";
+defparam \datamem|ram~4838 .lut_mask = 64'h024613578ACE9BDF;
+defparam \datamem|ram~4838 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N36
+cyclonev_lcell_comb \datamem|ram~908feeder (
+// Equation(s):
+// \datamem|ram~908feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~908feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~908feeder .extended_lut = "off";
+defparam \datamem|ram~908feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~908feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N37
+dffeas \datamem|ram~908 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~908feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~908_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~908 .is_wysiwyg = "true";
+defparam \datamem|ram~908 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y18_N54
+cyclonev_lcell_comb \datamem|ram~940feeder (
+// Equation(s):
+// \datamem|ram~940feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~940feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~940feeder .extended_lut = "off";
+defparam \datamem|ram~940feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~940feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y18_N55
+dffeas \datamem|ram~940 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~940feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~940_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~940 .is_wysiwyg = "true";
+defparam \datamem|ram~940 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N22
+dffeas \datamem|ram~924 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~924_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~924 .is_wysiwyg = "true";
+defparam \datamem|ram~924 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y15_N26
+dffeas \datamem|ram~956 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~956_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~956 .is_wysiwyg = "true";
+defparam \datamem|ram~956 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N24
+cyclonev_lcell_comb \datamem|ram~4793 (
+// Equation(s):
+// \datamem|ram~4793_combout = ( \datamem|ram~956_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~924_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~956_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & \datamem|ram~924_q
+// ) ) ) ) # ( \datamem|ram~956_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~908_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~940_q ))) ) ) ) # ( !\datamem|ram~956_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~908_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~940_q ))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~908_q ),
+ .datac(!\datamem|ram~940_q ),
+ .datad(!\datamem|ram~924_q ),
+ .datae(!\datamem|ram~956_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4793_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4793 .extended_lut = "off";
+defparam \datamem|ram~4793 .lut_mask = 64'h2727272700AA55FF;
+defparam \datamem|ram~4793 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y19_N42
+cyclonev_lcell_comb \datamem|ram~780feeder (
+// Equation(s):
+// \datamem|ram~780feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~780feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~780feeder .extended_lut = "off";
+defparam \datamem|ram~780feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~780feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N43
+dffeas \datamem|ram~780 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~780feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~780_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~780 .is_wysiwyg = "true";
+defparam \datamem|ram~780 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y8_N30
+cyclonev_lcell_comb \datamem|ram~812feeder (
+// Equation(s):
+// \datamem|ram~812feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~812feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~812feeder .extended_lut = "off";
+defparam \datamem|ram~812feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~812feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N31
+dffeas \datamem|ram~812 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~812feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~812_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~812 .is_wysiwyg = "true";
+defparam \datamem|ram~812 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y20_N0
+cyclonev_lcell_comb \datamem|ram~796feeder (
+// Equation(s):
+// \datamem|ram~796feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~796feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~796feeder .extended_lut = "off";
+defparam \datamem|ram~796feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~796feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y20_N1
+dffeas \datamem|ram~796 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~796feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~796_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~796 .is_wysiwyg = "true";
+defparam \datamem|ram~796 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y15_N2
+dffeas \datamem|ram~828 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~828_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~828 .is_wysiwyg = "true";
+defparam \datamem|ram~828 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N0
+cyclonev_lcell_comb \datamem|ram~4791 (
+// Equation(s):
+// \datamem|ram~4791_combout = ( \datamem|ram~828_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~796_q ) ) ) ) # ( !\datamem|ram~828_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~796_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~828_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~780_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~812_q ))) ) ) ) # ( !\datamem|ram~828_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~780_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~812_q ))) ) ) )
+
+ .dataa(!\datamem|ram~780_q ),
+ .datab(!\datamem|ram~812_q ),
+ .datac(!\datamem|ram~796_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~828_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4791_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4791 .extended_lut = "off";
+defparam \datamem|ram~4791 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4791 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y8_N6
+cyclonev_lcell_comb \datamem|ram~876feeder (
+// Equation(s):
+// \datamem|ram~876feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~876feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~876feeder .extended_lut = "off";
+defparam \datamem|ram~876feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~876feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N7
+dffeas \datamem|ram~876 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~876feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~876_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~876 .is_wysiwyg = "true";
+defparam \datamem|ram~876 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y7_N13
+dffeas \datamem|ram~860 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~860_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~860 .is_wysiwyg = "true";
+defparam \datamem|ram~860 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N51
+cyclonev_lcell_comb \datamem|ram~844feeder (
+// Equation(s):
+// \datamem|ram~844feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~844feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~844feeder .extended_lut = "off";
+defparam \datamem|ram~844feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~844feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N52
+dffeas \datamem|ram~844 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~844feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~844_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~844 .is_wysiwyg = "true";
+defparam \datamem|ram~844 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y15_N8
+dffeas \datamem|ram~892 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~892_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~892 .is_wysiwyg = "true";
+defparam \datamem|ram~892 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y15_N6
+cyclonev_lcell_comb \datamem|ram~4792 (
+// Equation(s):
+// \datamem|ram~4792_combout = ( \datamem|ram~892_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~860_q ) ) ) ) # ( !\datamem|ram~892_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~860_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~892_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~844_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~876_q )) ) ) ) # ( !\datamem|ram~892_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & ((\datamem|ram~844_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~876_q )) ) ) )
+
+ .dataa(!\datamem|ram~876_q ),
+ .datab(!\datamem|ram~860_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~844_q ),
+ .datae(!\datamem|ram~892_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4792_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4792 .extended_lut = "off";
+defparam \datamem|ram~4792 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~4792 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N52
+dffeas \datamem|ram~1004 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1004_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1004 .is_wysiwyg = "true";
+defparam \datamem|ram~1004 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y21_N45
+cyclonev_lcell_comb \datamem|ram~972feeder (
+// Equation(s):
+// \datamem|ram~972feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~972feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~972feeder .extended_lut = "off";
+defparam \datamem|ram~972feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~972feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N46
+dffeas \datamem|ram~972 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~972feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~972_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~972 .is_wysiwyg = "true";
+defparam \datamem|ram~972 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y19_N40
+dffeas \datamem|ram~988 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~988_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~988 .is_wysiwyg = "true";
+defparam \datamem|ram~988 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y16_N1
+dffeas \datamem|ram~1020 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1020_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1020 .is_wysiwyg = "true";
+defparam \datamem|ram~1020 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y16_N9
+cyclonev_lcell_comb \datamem|ram~4794 (
+// Equation(s):
+// \datamem|ram~4794_combout = ( \alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~1020_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( \alu_unit|Mux13~4_combout & ( \datamem|ram~1004_q ) ) ) # ( \alu_unit|Mux14~6_combout & (
+// !\alu_unit|Mux13~4_combout & ( \datamem|ram~988_q ) ) ) # ( !\alu_unit|Mux14~6_combout & ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~972_q ) ) )
+
+ .dataa(!\datamem|ram~1004_q ),
+ .datab(!\datamem|ram~972_q ),
+ .datac(!\datamem|ram~988_q ),
+ .datad(!\datamem|ram~1020_q ),
+ .datae(!\alu_unit|Mux14~6_combout ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4794_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4794 .extended_lut = "off";
+defparam \datamem|ram~4794 .lut_mask = 64'h33330F0F555500FF;
+defparam \datamem|ram~4794 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N36
+cyclonev_lcell_comb \datamem|ram~4795 (
+// Equation(s):
+// \datamem|ram~4795_combout = ( \datamem|ram~4792_combout & ( \datamem|ram~4794_combout & ( ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4791_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4793_combout ))) # (\alu_unit|Mux12~2_combout ) ) )
+// ) # ( !\datamem|ram~4792_combout & ( \datamem|ram~4794_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4791_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4793_combout )))) #
+// (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )))) ) ) ) # ( \datamem|ram~4792_combout & ( !\datamem|ram~4794_combout & ( (!\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4791_combout ))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~4793_combout )))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~4792_combout & ( !\datamem|ram~4794_combout & ( (!\alu_unit|Mux12~2_combout &
+// ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~4791_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4793_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~4793_combout ),
+ .datac(!\datamem|ram~4791_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4792_combout ),
+ .dataf(!\datamem|ram~4794_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4795_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4795 .extended_lut = "off";
+defparam \datamem|ram~4795 .lut_mask = 64'h0A225F220A775F77;
+defparam \datamem|ram~4795 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N27
+cyclonev_lcell_comb \datamem|ram~476feeder (
+// Equation(s):
+// \datamem|ram~476feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~476feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~476feeder .extended_lut = "off";
+defparam \datamem|ram~476feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~476feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N28
+dffeas \datamem|ram~476 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~476feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~476_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~476 .is_wysiwyg = "true";
+defparam \datamem|ram~476 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y10_N57
+cyclonev_lcell_comb \datamem|ram~348feeder (
+// Equation(s):
+// \datamem|ram~348feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~348feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~348feeder .extended_lut = "off";
+defparam \datamem|ram~348feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~348feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y10_N58
+dffeas \datamem|ram~348 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~348feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~348_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~348 .is_wysiwyg = "true";
+defparam \datamem|ram~348 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y8_N15
+cyclonev_lcell_comb \datamem|ram~284feeder (
+// Equation(s):
+// \datamem|ram~284feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~284feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~284feeder .extended_lut = "off";
+defparam \datamem|ram~284feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~284feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y8_N16
+dffeas \datamem|ram~284 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~284feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~284_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~284 .is_wysiwyg = "true";
+defparam \datamem|ram~284 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N40
+dffeas \datamem|ram~412 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~412_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~412 .is_wysiwyg = "true";
+defparam \datamem|ram~412 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y15_N33
+cyclonev_lcell_comb \datamem|ram~4782 (
+// Equation(s):
+// \datamem|ram~4782_combout = ( \alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~476_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( \alu_unit|Mux12~2_combout & ( \datamem|ram~348_q ) ) ) # ( \alu_unit|Mux11~4_combout & (
+// !\alu_unit|Mux12~2_combout & ( \datamem|ram~412_q ) ) ) # ( !\alu_unit|Mux11~4_combout & ( !\alu_unit|Mux12~2_combout & ( \datamem|ram~284_q ) ) )
+
+ .dataa(!\datamem|ram~476_q ),
+ .datab(!\datamem|ram~348_q ),
+ .datac(!\datamem|ram~284_q ),
+ .datad(!\datamem|ram~412_q ),
+ .datae(!\alu_unit|Mux11~4_combout ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4782_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4782 .extended_lut = "off";
+defparam \datamem|ram~4782 .lut_mask = 64'h0F0F00FF33335555;
+defparam \datamem|ram~4782 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N7
+dffeas \datamem|ram~460 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~460_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~460 .is_wysiwyg = "true";
+defparam \datamem|ram~460 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y5_N42
+cyclonev_lcell_comb \datamem|ram~268feeder (
+// Equation(s):
+// \datamem|ram~268feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~268feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~268feeder .extended_lut = "off";
+defparam \datamem|ram~268feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~268feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N43
+dffeas \datamem|ram~268 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~268feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~268_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~268 .is_wysiwyg = "true";
+defparam \datamem|ram~268 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N34
+dffeas \datamem|ram~396 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~396_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~396 .is_wysiwyg = "true";
+defparam \datamem|ram~396 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N26
+dffeas \datamem|ram~332 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~332_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~332 .is_wysiwyg = "true";
+defparam \datamem|ram~332 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y13_N24
+cyclonev_lcell_comb \datamem|ram~4781 (
+// Equation(s):
+// \datamem|ram~4781_combout = ( \datamem|ram~332_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~396_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~460_q )) ) ) ) # ( !\datamem|ram~332_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~396_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~460_q )) ) ) ) # ( \datamem|ram~332_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~268_q ) ) ) ) # ( !\datamem|ram~332_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~268_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~460_q ),
+ .datab(!\datamem|ram~268_q ),
+ .datac(!\datamem|ram~396_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~332_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4781_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4781 .extended_lut = "off";
+defparam \datamem|ram~4781 .lut_mask = 64'h330033FF0F550F55;
+defparam \datamem|ram~4781 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N38
+dffeas \datamem|ram~428 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~428_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~428 .is_wysiwyg = "true";
+defparam \datamem|ram~428 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y17_N14
+dffeas \datamem|ram~300 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~300_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~300 .is_wysiwyg = "true";
+defparam \datamem|ram~300 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N19
+dffeas \datamem|ram~364 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~364_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~364 .is_wysiwyg = "true";
+defparam \datamem|ram~364 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N43
+dffeas \datamem|ram~492 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~492_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~492 .is_wysiwyg = "true";
+defparam \datamem|ram~492 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y16_N21
+cyclonev_lcell_comb \datamem|ram~4783 (
+// Equation(s):
+// \datamem|ram~4783_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~492_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~428_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~364_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~300_q ) ) )
+
+ .dataa(!\datamem|ram~428_q ),
+ .datab(!\datamem|ram~300_q ),
+ .datac(!\datamem|ram~364_q ),
+ .datad(!\datamem|ram~492_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4783_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4783 .extended_lut = "off";
+defparam \datamem|ram~4783 .lut_mask = 64'h33330F0F555500FF;
+defparam \datamem|ram~4783 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N2
+dffeas \datamem|ram~380 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~380_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~380 .is_wysiwyg = "true";
+defparam \datamem|ram~380 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N13
+dffeas \datamem|ram~444 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~444_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~444 .is_wysiwyg = "true";
+defparam \datamem|ram~444 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N55
+dffeas \datamem|ram~316 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~316_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~316 .is_wysiwyg = "true";
+defparam \datamem|ram~316 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y9_N20
+dffeas \datamem|ram~508 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~508_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~508 .is_wysiwyg = "true";
+defparam \datamem|ram~508 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y9_N18
+cyclonev_lcell_comb \datamem|ram~4784 (
+// Equation(s):
+// \datamem|ram~4784_combout = ( \datamem|ram~508_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~380_q ) ) ) ) # ( !\datamem|ram~508_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~380_q & !\alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~508_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~316_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~444_q )) ) ) ) # ( !\datamem|ram~508_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~316_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~444_q )) ) ) )
+
+ .dataa(!\datamem|ram~380_q ),
+ .datab(!\datamem|ram~444_q ),
+ .datac(!\datamem|ram~316_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~508_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4784_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4784 .extended_lut = "off";
+defparam \datamem|ram~4784 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4784 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N48
+cyclonev_lcell_comb \datamem|ram~4785 (
+// Equation(s):
+// \datamem|ram~4785_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~4784_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~4783_combout ) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \datamem|ram~4784_combout & ( (!\alu_unit|Mux14~6_combout
+// & ((\datamem|ram~4781_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4782_combout )) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~4784_combout & ( (\datamem|ram~4783_combout & !\alu_unit|Mux14~6_combout ) ) ) ) # (
+// !\alu_unit|Mux13~4_combout & ( !\datamem|ram~4784_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~4781_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4782_combout )) ) ) )
+
+ .dataa(!\datamem|ram~4782_combout ),
+ .datab(!\datamem|ram~4781_combout ),
+ .datac(!\datamem|ram~4783_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~4784_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4785_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4785 .extended_lut = "off";
+defparam \datamem|ram~4785 .lut_mask = 64'h33550F0033550FFF;
+defparam \datamem|ram~4785 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N56
+dffeas \datamem|ram~572 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~572_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~572 .is_wysiwyg = "true";
+defparam \datamem|ram~572 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y17_N43
+dffeas \datamem|ram~700 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~700_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~700 .is_wysiwyg = "true";
+defparam \datamem|ram~700 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y5_N1
+dffeas \datamem|ram~636 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~636_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~636 .is_wysiwyg = "true";
+defparam \datamem|ram~636 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y17_N56
+dffeas \datamem|ram~764 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~764_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~764 .is_wysiwyg = "true";
+defparam \datamem|ram~764 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y17_N54
+cyclonev_lcell_comb \datamem|ram~4789 (
+// Equation(s):
+// \datamem|ram~4789_combout = ( \datamem|ram~764_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~700_q ) ) ) ) # ( !\datamem|ram~764_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~700_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~764_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~572_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~636_q ))) ) ) ) # ( !\datamem|ram~764_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~572_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~636_q ))) ) ) )
+
+ .dataa(!\datamem|ram~572_q ),
+ .datab(!\datamem|ram~700_q ),
+ .datac(!\datamem|ram~636_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~764_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4789_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4789 .extended_lut = "off";
+defparam \datamem|ram~4789 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4789 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y9_N40
+dffeas \datamem|ram~620 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~620_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~620 .is_wysiwyg = "true";
+defparam \datamem|ram~620 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N13
+dffeas \datamem|ram~684 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~684_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~684 .is_wysiwyg = "true";
+defparam \datamem|ram~684 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N42
+cyclonev_lcell_comb \datamem|ram~556feeder (
+// Equation(s):
+// \datamem|ram~556feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~556feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~556feeder .extended_lut = "off";
+defparam \datamem|ram~556feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~556feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N44
+dffeas \datamem|ram~556 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~556feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~556_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~556 .is_wysiwyg = "true";
+defparam \datamem|ram~556 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y19_N38
+dffeas \datamem|ram~748 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~748_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~748 .is_wysiwyg = "true";
+defparam \datamem|ram~748 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y19_N36
+cyclonev_lcell_comb \datamem|ram~4788 (
+// Equation(s):
+// \datamem|ram~4788_combout = ( \datamem|ram~748_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~684_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~748_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & \datamem|ram~684_q
+// ) ) ) ) # ( \datamem|ram~748_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~556_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~620_q )) ) ) ) # ( !\datamem|ram~748_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~556_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~620_q )) ) ) )
+
+ .dataa(!\datamem|ram~620_q ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~684_q ),
+ .datad(!\datamem|ram~556_q ),
+ .datae(!\datamem|ram~748_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4788_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4788 .extended_lut = "off";
+defparam \datamem|ram~4788 .lut_mask = 64'h11DD11DD0C0C3F3F;
+defparam \datamem|ram~4788 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N23
+dffeas \datamem|ram~540 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~540_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~540 .is_wysiwyg = "true";
+defparam \datamem|ram~540 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N47
+dffeas \datamem|ram~668 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~668_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~668 .is_wysiwyg = "true";
+defparam \datamem|ram~668 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N22
+dffeas \datamem|ram~604 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~604_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~604 .is_wysiwyg = "true";
+defparam \datamem|ram~604 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N56
+dffeas \datamem|ram~732 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~732_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~732 .is_wysiwyg = "true";
+defparam \datamem|ram~732 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y17_N54
+cyclonev_lcell_comb \datamem|ram~4787 (
+// Equation(s):
+// \datamem|ram~4787_combout = ( \datamem|ram~732_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~668_q ) ) ) ) # ( !\datamem|ram~732_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~668_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~732_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~540_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~604_q ))) ) ) ) # ( !\datamem|ram~732_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~540_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~604_q ))) ) ) )
+
+ .dataa(!\datamem|ram~540_q ),
+ .datab(!\datamem|ram~668_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~604_q ),
+ .datae(!\datamem|ram~732_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4787_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4787 .extended_lut = "off";
+defparam \datamem|ram~4787 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~4787 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N53
+dffeas \datamem|ram~652 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~652_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~652 .is_wysiwyg = "true";
+defparam \datamem|ram~652 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N52
+dffeas \datamem|ram~524 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~524_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~524 .is_wysiwyg = "true";
+defparam \datamem|ram~524 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N20
+dffeas \datamem|ram~588 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~588_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~588 .is_wysiwyg = "true";
+defparam \datamem|ram~588 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N56
+dffeas \datamem|ram~716 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~716_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~716 .is_wysiwyg = "true";
+defparam \datamem|ram~716 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y17_N54
+cyclonev_lcell_comb \datamem|ram~4786 (
+// Equation(s):
+// \datamem|ram~4786_combout = ( \datamem|ram~716_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~652_q ) ) ) ) # ( !\datamem|ram~716_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~652_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~716_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~524_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~588_q ))) ) ) ) # ( !\datamem|ram~716_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~524_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~588_q ))) ) ) )
+
+ .dataa(!\datamem|ram~652_q ),
+ .datab(!\datamem|ram~524_q ),
+ .datac(!\datamem|ram~588_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~716_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4786_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4786 .extended_lut = "off";
+defparam \datamem|ram~4786 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~4786 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4790 (
+// Equation(s):
+// \datamem|ram~4790_combout = ( \datamem|ram~4787_combout & ( \datamem|ram~4786_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4788_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4789_combout ))) ) )
+// ) # ( !\datamem|ram~4787_combout & ( \datamem|ram~4786_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4788_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4789_combout )))) ) ) ) # ( \datamem|ram~4787_combout & ( !\datamem|ram~4786_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4788_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4789_combout )))) ) ) ) # ( !\datamem|ram~4787_combout & ( !\datamem|ram~4786_combout & ( (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4788_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4789_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4789_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~4788_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~4787_combout ),
+ .dataf(!\datamem|ram~4786_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4790_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4790 .extended_lut = "off";
+defparam \datamem|ram~4790 .lut_mask = 64'h031103DDCF11CFDD;
+defparam \datamem|ram~4790 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N32
+dffeas \datamem|ram~44 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~44_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~44 .is_wysiwyg = "true";
+defparam \datamem|ram~44 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N29
+dffeas \datamem|ram~108 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~108_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~108 .is_wysiwyg = "true";
+defparam \datamem|ram~108 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y21_N25
+dffeas \datamem|ram~172 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~172_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~172 .is_wysiwyg = "true";
+defparam \datamem|ram~172 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y17_N32
+dffeas \datamem|ram~236 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~236_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~236 .is_wysiwyg = "true";
+defparam \datamem|ram~236 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y17_N30
+cyclonev_lcell_comb \datamem|ram~4778 (
+// Equation(s):
+// \datamem|ram~4778_combout = ( \datamem|ram~236_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~172_q ) ) ) ) # ( !\datamem|ram~236_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~172_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~236_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~44_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~108_q ))) ) ) ) # ( !\datamem|ram~236_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~44_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~108_q ))) ) ) )
+
+ .dataa(!\datamem|ram~44_q ),
+ .datab(!\datamem|ram~108_q ),
+ .datac(!\datamem|ram~172_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~236_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4778_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4778 .extended_lut = "off";
+defparam \datamem|ram~4778 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4778 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y5_N45
+cyclonev_lcell_comb \datamem|ram~188feeder (
+// Equation(s):
+// \datamem|ram~188feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~188feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~188feeder .extended_lut = "off";
+defparam \datamem|ram~188feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~188feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N46
+dffeas \datamem|ram~188 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~188feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~188_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~188 .is_wysiwyg = "true";
+defparam \datamem|ram~188 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y5_N37
+dffeas \datamem|ram~124 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~124_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~124 .is_wysiwyg = "true";
+defparam \datamem|ram~124 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y5_N55
+dffeas \datamem|ram~60 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~60_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~60 .is_wysiwyg = "true";
+defparam \datamem|ram~60 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y17_N38
+dffeas \datamem|ram~252 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~252_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~252 .is_wysiwyg = "true";
+defparam \datamem|ram~252 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y17_N36
+cyclonev_lcell_comb \datamem|ram~4779 (
+// Equation(s):
+// \datamem|ram~4779_combout = ( \datamem|ram~252_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~188_q ) ) ) ) # ( !\datamem|ram~252_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~188_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~252_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~60_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~124_q )) ) ) ) # ( !\datamem|ram~252_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~60_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~124_q )) ) ) )
+
+ .dataa(!\datamem|ram~188_q ),
+ .datab(!\datamem|ram~124_q ),
+ .datac(!\datamem|ram~60_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~252_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4779_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4779 .extended_lut = "off";
+defparam \datamem|ram~4779 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4779 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N6
+cyclonev_lcell_comb \datamem|ram~156feeder (
+// Equation(s):
+// \datamem|ram~156feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~156feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~156feeder .extended_lut = "off";
+defparam \datamem|ram~156feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~156feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N7
+dffeas \datamem|ram~156 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~156feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~156_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~156 .is_wysiwyg = "true";
+defparam \datamem|ram~156 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y21_N33
+cyclonev_lcell_comb \datamem|ram~220feeder (
+// Equation(s):
+// \datamem|ram~220feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~220feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~220feeder .extended_lut = "off";
+defparam \datamem|ram~220feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~220feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N34
+dffeas \datamem|ram~220 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~220feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~220_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~220 .is_wysiwyg = "true";
+defparam \datamem|ram~220 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N44
+dffeas \datamem|ram~28 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~28_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~28 .is_wysiwyg = "true";
+defparam \datamem|ram~28 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y21_N23
+dffeas \datamem|ram~92 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~92_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~92 .is_wysiwyg = "true";
+defparam \datamem|ram~92 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y21_N21
+cyclonev_lcell_comb \datamem|ram~4777 (
+// Equation(s):
+// \datamem|ram~4777_combout = ( \datamem|ram~92_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~220_q ) ) ) ) # ( !\datamem|ram~92_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~220_q & \alu_unit|Mux11~4_combout )
+// ) ) ) # ( \datamem|ram~92_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~28_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~156_q )) ) ) ) # ( !\datamem|ram~92_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~28_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~156_q )) ) ) )
+
+ .dataa(!\datamem|ram~156_q ),
+ .datab(!\datamem|ram~220_q ),
+ .datac(!\datamem|ram~28_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~92_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4777_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4777 .extended_lut = "off";
+defparam \datamem|ram~4777 .lut_mask = 64'h0F550F550033FF33;
+defparam \datamem|ram~4777 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N48
+cyclonev_lcell_comb \datamem|ram~204feeder (
+// Equation(s):
+// \datamem|ram~204feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~204feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~204feeder .extended_lut = "off";
+defparam \datamem|ram~204feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~204feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N50
+dffeas \datamem|ram~204 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~204feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~204_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~204 .is_wysiwyg = "true";
+defparam \datamem|ram~204 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N36
+cyclonev_lcell_comb \datamem|ram~140feeder (
+// Equation(s):
+// \datamem|ram~140feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~140feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~140feeder .extended_lut = "off";
+defparam \datamem|ram~140feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~140feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N37
+dffeas \datamem|ram~140 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~140feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~140_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~140 .is_wysiwyg = "true";
+defparam \datamem|ram~140 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y9_N15
+cyclonev_lcell_comb \datamem|ram~12feeder (
+// Equation(s):
+// \datamem|ram~12feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~12feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~12feeder .extended_lut = "off";
+defparam \datamem|ram~12feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~12feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N17
+dffeas \datamem|ram~12 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~12feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~12_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~12 .is_wysiwyg = "true";
+defparam \datamem|ram~12 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N14
+dffeas \datamem|ram~76 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~76_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~76 .is_wysiwyg = "true";
+defparam \datamem|ram~76 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y17_N12
+cyclonev_lcell_comb \datamem|ram~4776 (
+// Equation(s):
+// \datamem|ram~4776_combout = ( \datamem|ram~76_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~140_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~204_q )) ) ) ) # ( !\datamem|ram~76_q & ( \alu_unit|Mux11~4_combout
+// & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~140_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~204_q )) ) ) ) # ( \datamem|ram~76_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~12_q ) ) ) ) # (
+// !\datamem|ram~76_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~12_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~204_q ),
+ .datab(!\datamem|ram~140_q ),
+ .datac(!\datamem|ram~12_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~76_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4776_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4776 .extended_lut = "off";
+defparam \datamem|ram~4776 .lut_mask = 64'h0F000FFF33553355;
+defparam \datamem|ram~4776 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y17_N42
+cyclonev_lcell_comb \datamem|ram~4780 (
+// Equation(s):
+// \datamem|ram~4780_combout = ( \alu_unit|Mux13~4_combout & ( \datamem|ram~4776_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4778_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~4779_combout ))) ) ) ) # ( !\alu_unit|Mux13~4_combout
+// & ( \datamem|ram~4776_combout & ( (!\alu_unit|Mux14~6_combout ) # (\datamem|ram~4777_combout ) ) ) ) # ( \alu_unit|Mux13~4_combout & ( !\datamem|ram~4776_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~4778_combout )) #
+// (\alu_unit|Mux14~6_combout & ((\datamem|ram~4779_combout ))) ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\datamem|ram~4776_combout & ( (\alu_unit|Mux14~6_combout & \datamem|ram~4777_combout ) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\datamem|ram~4778_combout ),
+ .datac(!\datamem|ram~4779_combout ),
+ .datad(!\datamem|ram~4777_combout ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\datamem|ram~4776_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4780_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4780 .extended_lut = "off";
+defparam \datamem|ram~4780 .lut_mask = 64'h00552727AAFF2727;
+defparam \datamem|ram~4780 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N54
+cyclonev_lcell_comb \datamem|ram~4796 (
+// Equation(s):
+// \datamem|ram~4796_combout = ( \alu_unit|Mux9~4_combout & ( \datamem|ram~4780_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4790_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4795_combout )) ) ) ) # ( !\alu_unit|Mux9~4_combout &
+// ( \datamem|ram~4780_combout & ( (!\alu_unit|Mux10~6_combout ) # (\datamem|ram~4785_combout ) ) ) ) # ( \alu_unit|Mux9~4_combout & ( !\datamem|ram~4780_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~4790_combout ))) #
+// (\alu_unit|Mux10~6_combout & (\datamem|ram~4795_combout )) ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\datamem|ram~4780_combout & ( (\datamem|ram~4785_combout & \alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~4795_combout ),
+ .datab(!\datamem|ram~4785_combout ),
+ .datac(!\datamem|ram~4790_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\datamem|ram~4780_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4796_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4796 .extended_lut = "off";
+defparam \datamem|ram~4796 .lut_mask = 64'h00330F55FF330F55;
+defparam \datamem|ram~4796 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y11_N13
+dffeas \datamem|ram~1628 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1628_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1628 .is_wysiwyg = "true";
+defparam \datamem|ram~1628 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N39
+cyclonev_lcell_comb \datamem|ram~1884feeder (
+// Equation(s):
+// \datamem|ram~1884feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1884feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1884feeder .extended_lut = "off";
+defparam \datamem|ram~1884feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1884feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N41
+dffeas \datamem|ram~1884 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1884feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1884_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1884 .is_wysiwyg = "true";
+defparam \datamem|ram~1884 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N9
+cyclonev_lcell_comb \datamem|ram~1116feeder (
+// Equation(s):
+// \datamem|ram~1116feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1116feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1116feeder .extended_lut = "off";
+defparam \datamem|ram~1116feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1116feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N11
+dffeas \datamem|ram~1116 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1116feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1116_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1116 .is_wysiwyg = "true";
+defparam \datamem|ram~1116 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N2
+dffeas \datamem|ram~1372 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1372_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1372 .is_wysiwyg = "true";
+defparam \datamem|ram~1372 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N0
+cyclonev_lcell_comb \datamem|ram~4803 (
+// Equation(s):
+// \datamem|ram~4803_combout = ( \datamem|ram~1372_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1884_q ) ) ) ) # ( !\datamem|ram~1372_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1884_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1372_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1116_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1628_q )) ) ) ) # ( !\datamem|ram~1372_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1116_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1628_q )) ) ) )
+
+ .dataa(!\datamem|ram~1628_q ),
+ .datab(!\datamem|ram~1884_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1116_q ),
+ .datae(!\datamem|ram~1372_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4803_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4803 .extended_lut = "off";
+defparam \datamem|ram~4803 .lut_mask = 64'h05F505F50303F3F3;
+defparam \datamem|ram~4803 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y14_N15
+cyclonev_lcell_comb \datamem|ram~1756feeder (
+// Equation(s):
+// \datamem|ram~1756feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1756feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1756feeder .extended_lut = "off";
+defparam \datamem|ram~1756feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1756feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N16
+dffeas \datamem|ram~1756 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1756feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1756_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1756 .is_wysiwyg = "true";
+defparam \datamem|ram~1756 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N59
+dffeas \datamem|ram~1500 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1500_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1500 .is_wysiwyg = "true";
+defparam \datamem|ram~1500 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N5
+dffeas \datamem|ram~1244 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1244_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1244 .is_wysiwyg = "true";
+defparam \datamem|ram~1244 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N50
+dffeas \datamem|ram~2012 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2012_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2012 .is_wysiwyg = "true";
+defparam \datamem|ram~2012 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y15_N48
+cyclonev_lcell_comb \datamem|ram~4805 (
+// Equation(s):
+// \datamem|ram~4805_combout = ( \datamem|ram~2012_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1500_q ) ) ) ) # ( !\datamem|ram~2012_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1500_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2012_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1244_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1756_q )) ) ) ) # ( !\datamem|ram~2012_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1244_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1756_q )) ) ) )
+
+ .dataa(!\datamem|ram~1756_q ),
+ .datab(!\datamem|ram~1500_q ),
+ .datac(!\datamem|ram~1244_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2012_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4805_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4805 .extended_lut = "off";
+defparam \datamem|ram~4805 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4805 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N31
+dffeas \datamem|ram~1308 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1308_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1308 .is_wysiwyg = "true";
+defparam \datamem|ram~1308 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N58
+dffeas \datamem|ram~1052 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1052_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1052 .is_wysiwyg = "true";
+defparam \datamem|ram~1052 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y11_N40
+dffeas \datamem|ram~1564 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1564_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1564 .is_wysiwyg = "true";
+defparam \datamem|ram~1564 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N10
+dffeas \datamem|ram~1820 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1820_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1820 .is_wysiwyg = "true";
+defparam \datamem|ram~1820 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y12_N39
+cyclonev_lcell_comb \datamem|ram~4802 (
+// Equation(s):
+// \datamem|ram~4802_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1820_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1308_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~1564_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~1052_q ) ) )
+
+ .dataa(!\datamem|ram~1308_q ),
+ .datab(!\datamem|ram~1052_q ),
+ .datac(!\datamem|ram~1564_q ),
+ .datad(!\datamem|ram~1820_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4802_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4802 .extended_lut = "off";
+defparam \datamem|ram~4802 .lut_mask = 64'h33330F0F555500FF;
+defparam \datamem|ram~4802 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N38
+dffeas \datamem|ram~1180 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1180_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1180 .is_wysiwyg = "true";
+defparam \datamem|ram~1180 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N16
+dffeas \datamem|ram~1692 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1692_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1692 .is_wysiwyg = "true";
+defparam \datamem|ram~1692 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N53
+dffeas \datamem|ram~1436 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1436_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1436 .is_wysiwyg = "true";
+defparam \datamem|ram~1436 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N32
+dffeas \datamem|ram~1948 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1948_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1948 .is_wysiwyg = "true";
+defparam \datamem|ram~1948 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y17_N30
+cyclonev_lcell_comb \datamem|ram~4804 (
+// Equation(s):
+// \datamem|ram~4804_combout = ( \datamem|ram~1948_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1436_q ) ) ) ) # ( !\datamem|ram~1948_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1436_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1948_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1180_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1692_q ))) ) ) ) # ( !\datamem|ram~1948_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1180_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1692_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1180_q ),
+ .datab(!\datamem|ram~1692_q ),
+ .datac(!\datamem|ram~1436_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1948_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4804_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4804 .extended_lut = "off";
+defparam \datamem|ram~4804 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4804 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y15_N42
+cyclonev_lcell_comb \datamem|ram~4806 (
+// Equation(s):
+// \datamem|ram~4806_combout = ( \datamem|ram~4802_combout & ( \datamem|ram~4804_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4803_combout )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~4805_combout )))) ) )
+// ) # ( !\datamem|ram~4802_combout & ( \datamem|ram~4804_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~4803_combout & ((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~4805_combout
+// )))) ) ) ) # ( \datamem|ram~4802_combout & ( !\datamem|ram~4804_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~4803_combout ))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~4805_combout &
+// \alu_unit|Mux12~2_combout )))) ) ) ) # ( !\datamem|ram~4802_combout & ( !\datamem|ram~4804_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & (\datamem|ram~4803_combout )) # (\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~4805_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4803_combout ),
+ .datac(!\datamem|ram~4805_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~4802_combout ),
+ .dataf(!\datamem|ram~4804_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4806_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4806 .extended_lut = "off";
+defparam \datamem|ram~4806 .lut_mask = 64'h0027AA275527FF27;
+defparam \datamem|ram~4806 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N51
+cyclonev_lcell_comb \datamem|ram~1420feeder (
+// Equation(s):
+// \datamem|ram~1420feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1420feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1420feeder .extended_lut = "off";
+defparam \datamem|ram~1420feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1420feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N52
+dffeas \datamem|ram~1420 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1420feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1420_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1420 .is_wysiwyg = "true";
+defparam \datamem|ram~1420 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N57
+cyclonev_lcell_comb \datamem|ram~1932feeder (
+// Equation(s):
+// \datamem|ram~1932feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1932feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1932feeder .extended_lut = "off";
+defparam \datamem|ram~1932feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1932feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N59
+dffeas \datamem|ram~1932 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1932feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1932_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1932 .is_wysiwyg = "true";
+defparam \datamem|ram~1932 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N12
+cyclonev_lcell_comb \datamem|ram~1676feeder (
+// Equation(s):
+// \datamem|ram~1676feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1676feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1676feeder .extended_lut = "off";
+defparam \datamem|ram~1676feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1676feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N13
+dffeas \datamem|ram~1676 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1676feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1676_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1676 .is_wysiwyg = "true";
+defparam \datamem|ram~1676 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y18_N39
+cyclonev_lcell_comb \datamem|ram~1164feeder (
+// Equation(s):
+// \datamem|ram~1164feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1164feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1164feeder .extended_lut = "off";
+defparam \datamem|ram~1164feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1164feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y18_N40
+dffeas \datamem|ram~1164 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1164feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1164_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1164 .is_wysiwyg = "true";
+defparam \datamem|ram~1164 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y15_N48
+cyclonev_lcell_comb \datamem|ram~4799 (
+// Equation(s):
+// \datamem|ram~4799_combout = ( \datamem|ram~1164_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1420_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1932_q ))) ) ) ) # ( !\datamem|ram~1164_q & (
+// \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1420_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1932_q ))) ) ) ) # ( \datamem|ram~1164_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) #
+// (\datamem|ram~1676_q ) ) ) ) # ( !\datamem|ram~1164_q & ( !\alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout & \datamem|ram~1676_q ) ) ) )
+
+ .dataa(!\datamem|ram~1420_q ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~1932_q ),
+ .datad(!\datamem|ram~1676_q ),
+ .datae(!\datamem|ram~1164_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4799_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4799 .extended_lut = "off";
+defparam \datamem|ram~4799 .lut_mask = 64'h0033CCFF47474747;
+defparam \datamem|ram~4799 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N16
+dffeas \datamem|ram~1612 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1612_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1612 .is_wysiwyg = "true";
+defparam \datamem|ram~1612 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N22
+dffeas \datamem|ram~1868 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1868_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1868 .is_wysiwyg = "true";
+defparam \datamem|ram~1868 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y10_N51
+cyclonev_lcell_comb \datamem|ram~1100feeder (
+// Equation(s):
+// \datamem|ram~1100feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1100feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1100feeder .extended_lut = "off";
+defparam \datamem|ram~1100feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1100feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N52
+dffeas \datamem|ram~1100 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1100feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1100_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1100 .is_wysiwyg = "true";
+defparam \datamem|ram~1100 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y15_N32
+dffeas \datamem|ram~1356 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1356_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1356 .is_wysiwyg = "true";
+defparam \datamem|ram~1356 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y15_N30
+cyclonev_lcell_comb \datamem|ram~4798 (
+// Equation(s):
+// \datamem|ram~4798_combout = ( \datamem|ram~1356_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1868_q ) ) ) ) # ( !\datamem|ram~1356_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout &
+// \datamem|ram~1868_q ) ) ) ) # ( \datamem|ram~1356_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1100_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1612_q )) ) ) ) # ( !\datamem|ram~1356_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1100_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1612_q )) ) ) )
+
+ .dataa(!\datamem|ram~1612_q ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~1868_q ),
+ .datad(!\datamem|ram~1100_q ),
+ .datae(!\datamem|ram~1356_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4798_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4798 .extended_lut = "off";
+defparam \datamem|ram~4798 .lut_mask = 64'h11DD11DD0303CFCF;
+defparam \datamem|ram~4798 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y14_N0
+cyclonev_lcell_comb \datamem|ram~1548feeder (
+// Equation(s):
+// \datamem|ram~1548feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1548feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1548feeder .extended_lut = "off";
+defparam \datamem|ram~1548feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1548feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N1
+dffeas \datamem|ram~1548 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1548feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1548_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1548 .is_wysiwyg = "true";
+defparam \datamem|ram~1548 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y15_N39
+cyclonev_lcell_comb \datamem|ram~1804feeder (
+// Equation(s):
+// \datamem|ram~1804feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1804feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1804feeder .extended_lut = "off";
+defparam \datamem|ram~1804feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1804feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N40
+dffeas \datamem|ram~1804 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1804feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1804_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1804 .is_wysiwyg = "true";
+defparam \datamem|ram~1804 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y10_N30
+cyclonev_lcell_comb \datamem|ram~1036feeder (
+// Equation(s):
+// \datamem|ram~1036feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1036feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1036feeder .extended_lut = "off";
+defparam \datamem|ram~1036feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1036feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N31
+dffeas \datamem|ram~1036 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1036feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1036_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1036 .is_wysiwyg = "true";
+defparam \datamem|ram~1036 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y15_N38
+dffeas \datamem|ram~1292 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1292_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1292 .is_wysiwyg = "true";
+defparam \datamem|ram~1292 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y15_N36
+cyclonev_lcell_comb \datamem|ram~4797 (
+// Equation(s):
+// \datamem|ram~4797_combout = ( \datamem|ram~1292_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1804_q ) ) ) ) # ( !\datamem|ram~1292_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1804_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1292_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1036_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1548_q )) ) ) ) # ( !\datamem|ram~1292_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1036_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1548_q )) ) ) )
+
+ .dataa(!\datamem|ram~1548_q ),
+ .datab(!\datamem|ram~1804_q ),
+ .datac(!\datamem|ram~1036_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1292_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4797_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4797 .extended_lut = "off";
+defparam \datamem|ram~4797 .lut_mask = 64'h0F550F550033FF33;
+defparam \datamem|ram~4797 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N54
+cyclonev_lcell_comb \datamem|ram~1228feeder (
+// Equation(s):
+// \datamem|ram~1228feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1228feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1228feeder .extended_lut = "off";
+defparam \datamem|ram~1228feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1228feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N56
+dffeas \datamem|ram~1228 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1228feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1228_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1228 .is_wysiwyg = "true";
+defparam \datamem|ram~1228 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N6
+cyclonev_lcell_comb \datamem|ram~1740feeder (
+// Equation(s):
+// \datamem|ram~1740feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1740feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1740feeder .extended_lut = "off";
+defparam \datamem|ram~1740feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1740feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N8
+dffeas \datamem|ram~1740 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1740feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1740_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1740 .is_wysiwyg = "true";
+defparam \datamem|ram~1740 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y5_N12
+cyclonev_lcell_comb \datamem|ram~1484feeder (
+// Equation(s):
+// \datamem|ram~1484feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1484feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1484feeder .extended_lut = "off";
+defparam \datamem|ram~1484feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1484feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y5_N13
+dffeas \datamem|ram~1484 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1484feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1484_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1484 .is_wysiwyg = "true";
+defparam \datamem|ram~1484 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N50
+dffeas \datamem|ram~1996 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1996_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1996 .is_wysiwyg = "true";
+defparam \datamem|ram~1996 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y14_N48
+cyclonev_lcell_comb \datamem|ram~4800 (
+// Equation(s):
+// \datamem|ram~4800_combout = ( \datamem|ram~1996_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1484_q ) ) ) ) # ( !\datamem|ram~1996_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1484_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1996_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1228_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1740_q ))) ) ) ) # ( !\datamem|ram~1996_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1228_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1740_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1228_q ),
+ .datab(!\datamem|ram~1740_q ),
+ .datac(!\datamem|ram~1484_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1996_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4800_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4800 .extended_lut = "off";
+defparam \datamem|ram~4800 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4800 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y15_N6
+cyclonev_lcell_comb \datamem|ram~4801 (
+// Equation(s):
+// \datamem|ram~4801_combout = ( \datamem|ram~4797_combout & ( \datamem|ram~4800_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~4799_combout ))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout ) #
+// (\datamem|ram~4798_combout )))) ) ) ) # ( !\datamem|ram~4797_combout & ( \datamem|ram~4800_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~4799_combout & ((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout &
+// (((\alu_unit|Mux11~4_combout ) # (\datamem|ram~4798_combout )))) ) ) ) # ( \datamem|ram~4797_combout & ( !\datamem|ram~4800_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~4799_combout ))) #
+// (\alu_unit|Mux12~2_combout & (((\datamem|ram~4798_combout & !\alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~4797_combout & ( !\datamem|ram~4800_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~4799_combout &
+// ((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~4798_combout & !\alu_unit|Mux11~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4799_combout ),
+ .datab(!\datamem|ram~4798_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~4797_combout ),
+ .dataf(!\datamem|ram~4800_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4801_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4801 .extended_lut = "off";
+defparam \datamem|ram~4801 .lut_mask = 64'h0350F350035FF35F;
+defparam \datamem|ram~4801 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y6_N36
+cyclonev_lcell_comb \datamem|ram~1516feeder (
+// Equation(s):
+// \datamem|ram~1516feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1516feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1516feeder .extended_lut = "off";
+defparam \datamem|ram~1516feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1516feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y6_N38
+dffeas \datamem|ram~1516 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1516feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1516_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1516 .is_wysiwyg = "true";
+defparam \datamem|ram~1516 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y18_N31
+dffeas \datamem|ram~1772 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1772_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1772 .is_wysiwyg = "true";
+defparam \datamem|ram~1772 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N31
+dffeas \datamem|ram~1260 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1260_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1260 .is_wysiwyg = "true";
+defparam \datamem|ram~1260 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y15_N26
+dffeas \datamem|ram~2028 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2028_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2028 .is_wysiwyg = "true";
+defparam \datamem|ram~2028 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y15_N24
+cyclonev_lcell_comb \datamem|ram~4810 (
+// Equation(s):
+// \datamem|ram~4810_combout = ( \datamem|ram~2028_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1516_q ) ) ) ) # ( !\datamem|ram~2028_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1516_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2028_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1260_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1772_q )) ) ) ) # ( !\datamem|ram~2028_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1260_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1772_q )) ) ) )
+
+ .dataa(!\datamem|ram~1516_q ),
+ .datab(!\datamem|ram~1772_q ),
+ .datac(!\datamem|ram~1260_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2028_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4810_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4810 .extended_lut = "off";
+defparam \datamem|ram~4810 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~4810 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N30
+cyclonev_lcell_comb \datamem|ram~1068feeder (
+// Equation(s):
+// \datamem|ram~1068feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1068feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1068feeder .extended_lut = "off";
+defparam \datamem|ram~1068feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1068feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N31
+dffeas \datamem|ram~1068 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1068feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1068_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1068 .is_wysiwyg = "true";
+defparam \datamem|ram~1068 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N42
+cyclonev_lcell_comb \datamem|ram~1324feeder (
+// Equation(s):
+// \datamem|ram~1324feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1324feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1324feeder .extended_lut = "off";
+defparam \datamem|ram~1324feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1324feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N44
+dffeas \datamem|ram~1324 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1324feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1324_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1324 .is_wysiwyg = "true";
+defparam \datamem|ram~1324 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y10_N0
+cyclonev_lcell_comb \datamem|ram~1580feeder (
+// Equation(s):
+// \datamem|ram~1580feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1580feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1580feeder .extended_lut = "off";
+defparam \datamem|ram~1580feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1580feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N1
+dffeas \datamem|ram~1580 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1580feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1580_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1580 .is_wysiwyg = "true";
+defparam \datamem|ram~1580 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N2
+dffeas \datamem|ram~1836 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1836_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1836 .is_wysiwyg = "true";
+defparam \datamem|ram~1836 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N0
+cyclonev_lcell_comb \datamem|ram~4807 (
+// Equation(s):
+// \datamem|ram~4807_combout = ( \datamem|ram~1836_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1580_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~1836_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~1580_q ) ) ) ) # ( \datamem|ram~1836_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1068_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1324_q ))) ) ) ) # ( !\datamem|ram~1836_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1068_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1324_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1068_q ),
+ .datab(!\datamem|ram~1324_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1580_q ),
+ .datae(!\datamem|ram~1836_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4807_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4807 .extended_lut = "off";
+defparam \datamem|ram~4807 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~4807 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N27
+cyclonev_lcell_comb \datamem|ram~1708feeder (
+// Equation(s):
+// \datamem|ram~1708feeder_combout = \reg_file|reg_read_data_2[12]~12_combout
+
+ .dataa(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1708feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1708feeder .extended_lut = "off";
+defparam \datamem|ram~1708feeder .lut_mask = 64'h5555555555555555;
+defparam \datamem|ram~1708feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N29
+dffeas \datamem|ram~1708 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1708feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1708_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1708 .is_wysiwyg = "true";
+defparam \datamem|ram~1708 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N34
+dffeas \datamem|ram~1196 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1196_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1196 .is_wysiwyg = "true";
+defparam \datamem|ram~1196 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y23_N24
+cyclonev_lcell_comb \datamem|ram~1452feeder (
+// Equation(s):
+// \datamem|ram~1452feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1452feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1452feeder .extended_lut = "off";
+defparam \datamem|ram~1452feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1452feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N25
+dffeas \datamem|ram~1452 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1452feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1452_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1452 .is_wysiwyg = "true";
+defparam \datamem|ram~1452 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N8
+dffeas \datamem|ram~1964 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1964_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1964 .is_wysiwyg = "true";
+defparam \datamem|ram~1964 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y19_N6
+cyclonev_lcell_comb \datamem|ram~4809 (
+// Equation(s):
+// \datamem|ram~4809_combout = ( \datamem|ram~1964_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1708_q ) ) ) ) # ( !\datamem|ram~1964_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1708_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1964_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1196_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1452_q ))) ) ) ) # ( !\datamem|ram~1964_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1196_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1452_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1708_q ),
+ .datab(!\datamem|ram~1196_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1452_q ),
+ .datae(!\datamem|ram~1964_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4809_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4809 .extended_lut = "off";
+defparam \datamem|ram~4809 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~4809 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y14_N1
+dffeas \datamem|ram~1132 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1132_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1132 .is_wysiwyg = "true";
+defparam \datamem|ram~1132 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N19
+dffeas \datamem|ram~1644 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1644_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1644 .is_wysiwyg = "true";
+defparam \datamem|ram~1644 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y10_N52
+dffeas \datamem|ram~1388 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1388_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1388 .is_wysiwyg = "true";
+defparam \datamem|ram~1388 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N14
+dffeas \datamem|ram~1900 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1900_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1900 .is_wysiwyg = "true";
+defparam \datamem|ram~1900 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y12_N12
+cyclonev_lcell_comb \datamem|ram~4808 (
+// Equation(s):
+// \datamem|ram~4808_combout = ( \datamem|ram~1900_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1388_q ) ) ) ) # ( !\datamem|ram~1900_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1388_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1900_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1132_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1644_q ))) ) ) ) # ( !\datamem|ram~1900_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1132_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1644_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1132_q ),
+ .datab(!\datamem|ram~1644_q ),
+ .datac(!\datamem|ram~1388_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1900_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4808_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4808 .extended_lut = "off";
+defparam \datamem|ram~4808 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4808 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y15_N9
+cyclonev_lcell_comb \datamem|ram~4811 (
+// Equation(s):
+// \datamem|ram~4811_combout = ( \datamem|ram~4809_combout & ( \datamem|ram~4808_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4807_combout ) # (\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~4810_combout ))) ) ) ) # ( !\datamem|ram~4809_combout & ( \datamem|ram~4808_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~4807_combout ) # (\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// (\datamem|ram~4810_combout & (\alu_unit|Mux12~2_combout ))) ) ) ) # ( \datamem|ram~4809_combout & ( !\datamem|ram~4808_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout & \datamem|ram~4807_combout )))) #
+// (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~4810_combout ))) ) ) ) # ( !\datamem|ram~4809_combout & ( !\datamem|ram~4808_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout &
+// \datamem|ram~4807_combout )))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~4810_combout & (\alu_unit|Mux12~2_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~4810_combout ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~4807_combout ),
+ .datae(!\datamem|ram~4809_combout ),
+ .dataf(!\datamem|ram~4808_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4811_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4811 .extended_lut = "off";
+defparam \datamem|ram~4811 .lut_mask = 64'h01A151F10BAB5BFB;
+defparam \datamem|ram~4811 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y6_N22
+dffeas \datamem|ram~1404 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1404_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1404 .is_wysiwyg = "true";
+defparam \datamem|ram~1404 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y7_N51
+cyclonev_lcell_comb \datamem|ram~1468feeder (
+// Equation(s):
+// \datamem|ram~1468feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1468feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1468feeder .extended_lut = "off";
+defparam \datamem|ram~1468feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1468feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y7_N52
+dffeas \datamem|ram~1468 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1468feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1468_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1468 .is_wysiwyg = "true";
+defparam \datamem|ram~1468 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N41
+dffeas \datamem|ram~1340 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1340_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1340 .is_wysiwyg = "true";
+defparam \datamem|ram~1340 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y7_N56
+dffeas \datamem|ram~1532 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1532_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1532 .is_wysiwyg = "true";
+defparam \datamem|ram~1532 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y7_N54
+cyclonev_lcell_comb \datamem|ram~4813 (
+// Equation(s):
+// \datamem|ram~4813_combout = ( \datamem|ram~1532_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1468_q ) ) ) ) # ( !\datamem|ram~1532_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1468_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1532_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1340_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1404_q )) ) ) ) # ( !\datamem|ram~1532_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1340_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1404_q )) ) ) )
+
+ .dataa(!\datamem|ram~1404_q ),
+ .datab(!\datamem|ram~1468_q ),
+ .datac(!\datamem|ram~1340_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1532_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4813_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4813 .extended_lut = "off";
+defparam \datamem|ram~4813 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4813 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y11_N51
+cyclonev_lcell_comb \datamem|ram~1084feeder (
+// Equation(s):
+// \datamem|ram~1084feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1084feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1084feeder .extended_lut = "off";
+defparam \datamem|ram~1084feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1084feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N52
+dffeas \datamem|ram~1084 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1084feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1084_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1084 .is_wysiwyg = "true";
+defparam \datamem|ram~1084 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N59
+dffeas \datamem|ram~1212 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1212_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1212 .is_wysiwyg = "true";
+defparam \datamem|ram~1212 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y6_N48
+cyclonev_lcell_comb \datamem|ram~1148feeder (
+// Equation(s):
+// \datamem|ram~1148feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1148feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1148feeder .extended_lut = "off";
+defparam \datamem|ram~1148feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1148feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N50
+dffeas \datamem|ram~1148 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1148feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1148_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1148 .is_wysiwyg = "true";
+defparam \datamem|ram~1148 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y7_N26
+dffeas \datamem|ram~1276 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1276_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1276 .is_wysiwyg = "true";
+defparam \datamem|ram~1276 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y7_N24
+cyclonev_lcell_comb \datamem|ram~4812 (
+// Equation(s):
+// \datamem|ram~4812_combout = ( \datamem|ram~1276_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1212_q ) ) ) ) # ( !\datamem|ram~1276_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1212_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1276_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1084_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1148_q ))) ) ) ) # ( !\datamem|ram~1276_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1084_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1148_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1084_q ),
+ .datab(!\datamem|ram~1212_q ),
+ .datac(!\datamem|ram~1148_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1276_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4812_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4812 .extended_lut = "off";
+defparam \datamem|ram~4812 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~4812 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N45
+cyclonev_lcell_comb \datamem|ram~1596feeder (
+// Equation(s):
+// \datamem|ram~1596feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1596feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1596feeder .extended_lut = "off";
+defparam \datamem|ram~1596feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1596feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N47
+dffeas \datamem|ram~1596 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1596feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1596_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1596 .is_wysiwyg = "true";
+defparam \datamem|ram~1596 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N11
+dffeas \datamem|ram~1660 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1660_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1660 .is_wysiwyg = "true";
+defparam \datamem|ram~1660 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y9_N51
+cyclonev_lcell_comb \datamem|ram~1724feeder (
+// Equation(s):
+// \datamem|ram~1724feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1724feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1724feeder .extended_lut = "off";
+defparam \datamem|ram~1724feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1724feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y9_N52
+dffeas \datamem|ram~1724 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1724feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1724_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1724 .is_wysiwyg = "true";
+defparam \datamem|ram~1724 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y6_N56
+dffeas \datamem|ram~1788 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1788_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1788 .is_wysiwyg = "true";
+defparam \datamem|ram~1788 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y6_N54
+cyclonev_lcell_comb \datamem|ram~4814 (
+// Equation(s):
+// \datamem|ram~4814_combout = ( \datamem|ram~1788_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1724_q ) ) ) ) # ( !\datamem|ram~1788_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1724_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1788_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1596_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1660_q ))) ) ) ) # ( !\datamem|ram~1788_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~1596_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~1660_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1596_q ),
+ .datab(!\datamem|ram~1660_q ),
+ .datac(!\datamem|ram~1724_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~1788_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4814_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4814 .extended_lut = "off";
+defparam \datamem|ram~4814 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~4814 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y9_N33
+cyclonev_lcell_comb \datamem|ram~1916feeder (
+// Equation(s):
+// \datamem|ram~1916feeder_combout = ( \reg_file|reg_read_data_2[12]~12_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[12]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1916feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1916feeder .extended_lut = "off";
+defparam \datamem|ram~1916feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1916feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y9_N34
+dffeas \datamem|ram~1916 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1916feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1916_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1916 .is_wysiwyg = "true";
+defparam \datamem|ram~1916 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y12_N49
+dffeas \datamem|ram~1980 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1980_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1980 .is_wysiwyg = "true";
+defparam \datamem|ram~1980 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y10_N40
+dffeas \datamem|ram~1852 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1852_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1852 .is_wysiwyg = "true";
+defparam \datamem|ram~1852 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y9_N35
+dffeas \datamem|ram~2044 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[12]~12_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2044_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2044 .is_wysiwyg = "true";
+defparam \datamem|ram~2044 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y9_N33
+cyclonev_lcell_comb \datamem|ram~4815 (
+// Equation(s):
+// \datamem|ram~4815_combout = ( \datamem|ram~2044_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~1980_q ) ) ) ) # ( !\datamem|ram~2044_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~1980_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~2044_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1852_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1916_q )) ) ) ) # ( !\datamem|ram~2044_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~1852_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~1916_q )) ) ) )
+
+ .dataa(!\datamem|ram~1916_q ),
+ .datab(!\datamem|ram~1980_q ),
+ .datac(!\datamem|ram~1852_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~2044_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4815_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4815 .extended_lut = "off";
+defparam \datamem|ram~4815 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~4815 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y7_N12
+cyclonev_lcell_comb \datamem|ram~4816 (
+// Equation(s):
+// \datamem|ram~4816_combout = ( \datamem|ram~4814_combout & ( \datamem|ram~4815_combout & ( ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4812_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4813_combout ))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~4814_combout & ( \datamem|ram~4815_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4812_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4813_combout )))) # (\alu_unit|Mux9~4_combout &
+// (((\alu_unit|Mux10~6_combout )))) ) ) ) # ( \datamem|ram~4814_combout & ( !\datamem|ram~4815_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~4812_combout ))) # (\alu_unit|Mux10~6_combout &
+// (\datamem|ram~4813_combout )))) # (\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )))) ) ) ) # ( !\datamem|ram~4814_combout & ( !\datamem|ram~4815_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~4812_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~4813_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~4813_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~4812_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~4814_combout ),
+ .dataf(!\datamem|ram~4815_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4816_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4816 .extended_lut = "off";
+defparam \datamem|ram~4816 .lut_mask = 64'h0C443F440C773F77;
+defparam \datamem|ram~4816 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N42
+cyclonev_lcell_comb \datamem|ram~4817 (
+// Equation(s):
+// \datamem|ram~4817_combout = ( \datamem|ram~4811_combout & ( \datamem|ram~4816_combout & ( ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4801_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4806_combout ))) # (\alu_unit|Mux13~4_combout ) ) )
+// ) # ( !\datamem|ram~4811_combout & ( \datamem|ram~4816_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4801_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4806_combout )))) #
+// (\alu_unit|Mux13~4_combout & (\alu_unit|Mux14~6_combout )) ) ) ) # ( \datamem|ram~4811_combout & ( !\datamem|ram~4816_combout & ( (!\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4801_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~4806_combout )))) # (\alu_unit|Mux13~4_combout & (!\alu_unit|Mux14~6_combout )) ) ) ) # ( !\datamem|ram~4811_combout & ( !\datamem|ram~4816_combout & ( (!\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~4801_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~4806_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~4806_combout ),
+ .datad(!\datamem|ram~4801_combout ),
+ .datae(!\datamem|ram~4811_combout ),
+ .dataf(!\datamem|ram~4816_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4817_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4817 .extended_lut = "off";
+defparam \datamem|ram~4817 .lut_mask = 64'h028A46CE139B57DF;
+defparam \datamem|ram~4817 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y15_N18
+cyclonev_lcell_comb \datamem|ram~4860 (
+// Equation(s):
+// \datamem|ram~4860_combout = ( \datamem|ram~4796_combout & ( \datamem|ram~4817_combout & ( (!\alu_unit|Mux7~2_combout ) # ((!\alu_unit|Mux8~2_combout & ((\datamem|ram~4838_combout ))) # (\alu_unit|Mux8~2_combout & (\datamem|ram~4859_combout ))) ) ) )
+// # ( !\datamem|ram~4796_combout & ( \datamem|ram~4817_combout & ( (!\alu_unit|Mux8~2_combout & (((\alu_unit|Mux7~2_combout & \datamem|ram~4838_combout )))) # (\alu_unit|Mux8~2_combout & (((!\alu_unit|Mux7~2_combout )) # (\datamem|ram~4859_combout )))
+// ) ) ) # ( \datamem|ram~4796_combout & ( !\datamem|ram~4817_combout & ( (!\alu_unit|Mux8~2_combout & (((!\alu_unit|Mux7~2_combout ) # (\datamem|ram~4838_combout )))) # (\alu_unit|Mux8~2_combout & (\datamem|ram~4859_combout & (\alu_unit|Mux7~2_combout
+// ))) ) ) ) # ( !\datamem|ram~4796_combout & ( !\datamem|ram~4817_combout & ( (\alu_unit|Mux7~2_combout & ((!\alu_unit|Mux8~2_combout & ((\datamem|ram~4838_combout ))) # (\alu_unit|Mux8~2_combout & (\datamem|ram~4859_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux8~2_combout ),
+ .datab(!\datamem|ram~4859_combout ),
+ .datac(!\alu_unit|Mux7~2_combout ),
+ .datad(!\datamem|ram~4838_combout ),
+ .datae(!\datamem|ram~4796_combout ),
+ .dataf(!\datamem|ram~4817_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4860_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4860 .extended_lut = "off";
+defparam \datamem|ram~4860 .lut_mask = 64'h010BA1AB515BF1FB;
+defparam \datamem|ram~4860 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N51
+cyclonev_lcell_comb \reg_write_data[12]~10 (
+// Equation(s):
+// \reg_write_data[12]~10_combout = ( \alu_unit|Mux3~4_combout & ( \datamem|ram~4860_combout & ( (!\reg_write_data[1]~0_combout ) # ((\Add0~45_sumout ) # (\reg_write_data[1]~1_combout )) ) ) ) # ( !\alu_unit|Mux3~4_combout & ( \datamem|ram~4860_combout
+// & ( (\reg_write_data[1]~0_combout & ((\Add0~45_sumout ) # (\reg_write_data[1]~1_combout ))) ) ) ) # ( \alu_unit|Mux3~4_combout & ( !\datamem|ram~4860_combout & ( (!\reg_write_data[1]~0_combout ) # ((!\reg_write_data[1]~1_combout & \Add0~45_sumout )) )
+// ) ) # ( !\alu_unit|Mux3~4_combout & ( !\datamem|ram~4860_combout & ( (\reg_write_data[1]~0_combout & (!\reg_write_data[1]~1_combout & \Add0~45_sumout )) ) ) )
+
+ .dataa(!\reg_write_data[1]~0_combout ),
+ .datab(!\reg_write_data[1]~1_combout ),
+ .datac(!\Add0~45_sumout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux3~4_combout ),
+ .dataf(!\datamem|ram~4860_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[12]~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[12]~10 .extended_lut = "off";
+defparam \reg_write_data[12]~10 .lut_mask = 64'h0404AEAE1515BFBF;
+defparam \reg_write_data[12]~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N19
+dffeas \reg_file|reg_array[0][12] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[12]~10_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~5_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[0][12]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[0][12] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[0][12] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N42
+cyclonev_lcell_comb \reg_file|reg_array[4][12]~feeder (
+// Equation(s):
+// \reg_file|reg_array[4][12]~feeder_combout = ( \reg_write_data[12]~10_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_write_data[12]~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_array[4][12]~feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_array[4][12]~feeder .extended_lut = "off";
+defparam \reg_file|reg_array[4][12]~feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \reg_file|reg_array[4][12]~feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y15_N44
+dffeas \reg_file|reg_array[4][12] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\reg_file|reg_array[4][12]~feeder_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][12]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][12] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][12] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y15_N10
+dffeas \reg_file|reg_array[7][12] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[12]~10_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][12]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][12] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][12] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N15
+cyclonev_lcell_comb \reg_file|reg_read_data_1[12]~14 (
+// Equation(s):
+// \reg_file|reg_read_data_1[12]~14_combout = ( \instrucion_memory|rom~12_combout & ( \instrucion_memory|rom~11_combout & ( \reg_file|reg_array[7][12]~q ) ) ) # ( !\instrucion_memory|rom~12_combout & ( \instrucion_memory|rom~11_combout & (
+// \reg_file|reg_array[3][12]~q ) ) ) # ( \instrucion_memory|rom~12_combout & ( !\instrucion_memory|rom~11_combout & ( \reg_file|reg_array[4][12]~q ) ) ) # ( !\instrucion_memory|rom~12_combout & ( !\instrucion_memory|rom~11_combout & (
+// \reg_file|reg_array[0][12]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[0][12]~q ),
+ .datab(!\reg_file|reg_array[4][12]~q ),
+ .datac(!\reg_file|reg_array[7][12]~q ),
+ .datad(!\reg_file|reg_array[3][12]~q ),
+ .datae(!\instrucion_memory|rom~12_combout ),
+ .dataf(!\instrucion_memory|rom~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[12]~14_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[12]~14 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[12]~14 .lut_mask = 64'h5555333300FF0F0F;
+defparam \reg_file|reg_read_data_1[12]~14 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y15_N30
+cyclonev_lcell_comb \reg_file|reg_read_data_1[12]~15 (
+// Equation(s):
+// \reg_file|reg_read_data_1[12]~15_combout = ( \reg_file|reg_read_data_1[12]~14_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|Equal0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[12]~14_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[12]~15_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[12]~15 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[12]~15 .lut_mask = 64'h000000000F0F0F0F;
+defparam \reg_file|reg_read_data_1[12]~15 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N0
+cyclonev_lcell_comb \alu_unit|Mux3~0 (
+// Equation(s):
+// \alu_unit|Mux3~0_combout = ( \read_data2[12]~12_combout & ( \alu_unit|Add1~45_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout ) # ((!\ALU_Control_unit|WideOr1~0_combout & ((\alu_unit|Add0~45_sumout ))) # (\ALU_Control_unit|WideOr1~0_combout &
+// (\reg_file|reg_read_data_1[12]~15_combout ))) ) ) ) # ( !\read_data2[12]~12_combout & ( \alu_unit|Add1~45_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout ) # (\alu_unit|Add0~45_sumout )))) #
+// (\ALU_Control_unit|WideOr1~0_combout & (\reg_file|reg_read_data_1[12]~15_combout & (!\ALU_Control_unit|WideOr2~0_combout ))) ) ) ) # ( \read_data2[12]~12_combout & ( !\alu_unit|Add1~45_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout &
+// (((\ALU_Control_unit|WideOr2~0_combout & \alu_unit|Add0~45_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout )) # (\reg_file|reg_read_data_1[12]~15_combout ))) ) ) ) # ( !\read_data2[12]~12_combout & (
+// !\alu_unit|Add1~45_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((\ALU_Control_unit|WideOr2~0_combout & \alu_unit|Add0~45_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout & (\reg_file|reg_read_data_1[12]~15_combout &
+// (!\ALU_Control_unit|WideOr2~0_combout ))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .datab(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datac(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datad(!\alu_unit|Add0~45_sumout ),
+ .datae(!\read_data2[12]~12_combout ),
+ .dataf(!\alu_unit|Add1~45_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux3~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux3~0 .extended_lut = "off";
+defparam \alu_unit|Mux3~0 .lut_mask = 64'h101C313DD0DCF1FD;
+defparam \alu_unit|Mux3~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y11_N18
+cyclonev_lcell_comb \beq_control~0 (
+// Equation(s):
+// \beq_control~0_combout = ( !\alu_unit|Mux2~0_combout & ( \alu_unit|Mux2~3_combout & ( (!\alu_unit|Mux3~0_combout & !\ALU_Control_unit|WideOr0~0_combout ) ) ) ) # ( \alu_unit|Mux2~0_combout & ( !\alu_unit|Mux2~3_combout & (
+// (\ALU_Control_unit|WideOr0~0_combout & !\alu_unit|Mux3~3_combout ) ) ) ) # ( !\alu_unit|Mux2~0_combout & ( !\alu_unit|Mux2~3_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux3~0_combout )) # (\ALU_Control_unit|WideOr0~0_combout &
+// ((!\alu_unit|Mux3~3_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux3~0_combout ),
+ .datab(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datac(!\alu_unit|Mux3~3_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux2~0_combout ),
+ .dataf(!\alu_unit|Mux2~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~0 .extended_lut = "off";
+defparam \beq_control~0 .lut_mask = 64'hB8B8303088880000;
+defparam \beq_control~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y4_N9
+cyclonev_lcell_comb \alu_unit|Mux10~5 (
+// Equation(s):
+// \alu_unit|Mux10~5_combout = ( \alu_unit|Mux11~3_combout & ( \alu_unit|Mult0~13 & ( (!\alu_unit|Mux10~1_combout ) # (\alu_unit|shifter_left|st2[1]~1_combout ) ) ) ) # ( !\alu_unit|Mux11~3_combout & ( \alu_unit|Mult0~13 & ( !\alu_unit|Mux10~1_combout
+// ) ) ) # ( \alu_unit|Mux11~3_combout & ( !\alu_unit|Mult0~13 & ( \alu_unit|shifter_left|st2[1]~1_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\alu_unit|Mux10~1_combout ),
+ .datac(!\alu_unit|shifter_left|st2[1]~1_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux11~3_combout ),
+ .dataf(!\alu_unit|Mult0~13 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~5 .extended_lut = "off";
+defparam \alu_unit|Mux10~5 .lut_mask = 64'h00000F0FCCCCCFCF;
+defparam \alu_unit|Mux10~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y4_N33
+cyclonev_lcell_comb \alu_unit|Mux10~8 (
+// Equation(s):
+// \alu_unit|Mux10~8_combout = ( \ALU_Control_unit|WideOr0~0_combout & ( \alu_unit|Mux10~5_combout ) ) # ( \ALU_Control_unit|WideOr0~0_combout & ( !\alu_unit|Mux10~5_combout & ( (\alu_unit|Mux11~1_combout & ((\alu_unit|Mux10~3_combout ) #
+// (\alu_unit|Mux10~4_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~4_combout ),
+ .datab(!\alu_unit|Mux10~3_combout ),
+ .datac(!\alu_unit|Mux11~1_combout ),
+ .datad(gnd),
+ .datae(!\ALU_Control_unit|WideOr0~0_combout ),
+ .dataf(!\alu_unit|Mux10~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux10~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux10~8 .extended_lut = "off";
+defparam \alu_unit|Mux10~8 .lut_mask = 64'h000007070000FFFF;
+defparam \alu_unit|Mux10~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N3
+cyclonev_lcell_comb \beq_control~2 (
+// Equation(s):
+// \beq_control~2_combout = ( \ALU_Control_unit|WideOr0~0_combout & ( !\alu_unit|Mux10~8_combout & ( (!\alu_unit|Mux1~3_combout & !\alu_unit|Mux11~4_combout ) ) ) ) # ( !\ALU_Control_unit|WideOr0~0_combout & ( !\alu_unit|Mux10~8_combout & (
+// (!\alu_unit|Mux10~2_combout & (!\alu_unit|Mux1~0_combout & !\alu_unit|Mux11~4_combout )) ) ) )
+
+ .dataa(!\alu_unit|Mux10~2_combout ),
+ .datab(!\alu_unit|Mux1~3_combout ),
+ .datac(!\alu_unit|Mux1~0_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\ALU_Control_unit|WideOr0~0_combout ),
+ .dataf(!\alu_unit|Mux10~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~2 .extended_lut = "off";
+defparam \beq_control~2 .lut_mask = 64'hA000CC0000000000;
+defparam \beq_control~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y11_N3
+cyclonev_lcell_comb \alu_unit|Mux13~5 (
+// Equation(s):
+// \alu_unit|Mux13~5_combout = ( \alu_unit|shifter_left|st2[2]~2_combout & ( \alu_unit|Mult0~10 & ( ((\alu_unit|Mux13~3_combout & \alu_unit|Mux14~3_combout )) # (\alu_unit|Mux14~4_combout ) ) ) ) # ( !\alu_unit|shifter_left|st2[2]~2_combout & (
+// \alu_unit|Mult0~10 & ( (!\alu_unit|Mux13~3_combout & (((\alu_unit|Mux14~4_combout & !\alu_unit|Mux13~0_combout )))) # (\alu_unit|Mux13~3_combout & (((\alu_unit|Mux14~4_combout & !\alu_unit|Mux13~0_combout )) # (\alu_unit|Mux14~3_combout ))) ) ) ) # (
+// \alu_unit|shifter_left|st2[2]~2_combout & ( !\alu_unit|Mult0~10 & ( (!\alu_unit|Mux13~3_combout & (((\alu_unit|Mux14~4_combout & \alu_unit|Mux13~0_combout )))) # (\alu_unit|Mux13~3_combout & (((\alu_unit|Mux14~4_combout & \alu_unit|Mux13~0_combout
+// )) # (\alu_unit|Mux14~3_combout ))) ) ) ) # ( !\alu_unit|shifter_left|st2[2]~2_combout & ( !\alu_unit|Mult0~10 & ( (\alu_unit|Mux13~3_combout & \alu_unit|Mux14~3_combout ) ) ) )
+
+ .dataa(!\alu_unit|Mux13~3_combout ),
+ .datab(!\alu_unit|Mux14~3_combout ),
+ .datac(!\alu_unit|Mux14~4_combout ),
+ .datad(!\alu_unit|Mux13~0_combout ),
+ .datae(!\alu_unit|shifter_left|st2[2]~2_combout ),
+ .dataf(!\alu_unit|Mult0~10 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux13~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux13~5 .extended_lut = "off";
+defparam \alu_unit|Mux13~5 .lut_mask = 64'h1111111F1F111F1F;
+defparam \alu_unit|Mux13~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y11_N9
+cyclonev_lcell_comb \beq_control~3 (
+// Equation(s):
+// \beq_control~3_combout = ( \instrucion_memory|instruction[14]~0_combout & ( (!\instrucion_memory|rom~1_combout & \control_unit|mem_write~0_combout ) ) )
+
+ .dataa(!\instrucion_memory|rom~1_combout ),
+ .datab(!\control_unit|mem_write~0_combout ),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|instruction[14]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~3 .extended_lut = "off";
+defparam \beq_control~3 .lut_mask = 64'h0000000022222222;
+defparam \beq_control~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y11_N51
+cyclonev_lcell_comb \alu_unit|Mux14~5 (
+// Equation(s):
+// \alu_unit|Mux14~5_combout = ( \alu_unit|shifter_left|st2[1]~1_combout & ( \alu_unit|Mult0~9 & ( \alu_unit|Mux14~4_combout ) ) ) # ( !\alu_unit|shifter_left|st2[1]~1_combout & ( \alu_unit|Mult0~9 & ( (!\alu_unit|Mux13~0_combout &
+// \alu_unit|Mux14~4_combout ) ) ) ) # ( \alu_unit|shifter_left|st2[1]~1_combout & ( !\alu_unit|Mult0~9 & ( (\alu_unit|Mux13~0_combout & \alu_unit|Mux14~4_combout ) ) ) )
+
+ .dataa(!\alu_unit|Mux13~0_combout ),
+ .datab(gnd),
+ .datac(!\alu_unit|Mux14~4_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|shifter_left|st2[1]~1_combout ),
+ .dataf(!\alu_unit|Mult0~9 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux14~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux14~5 .extended_lut = "off";
+defparam \alu_unit|Mux14~5 .lut_mask = 64'h000005050A0A0F0F;
+defparam \alu_unit|Mux14~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y11_N42
+cyclonev_lcell_comb \beq_control~4 (
+// Equation(s):
+// \beq_control~4_combout = ( \alu_unit|Mux14~2_combout & ( !\alu_unit|Mux14~5_combout & ( (!\alu_unit|Mux14~3_combout & (\beq_control~3_combout & ((!\alu_unit|Mux14~0_combout ) # (\ALU_Control_unit|WideOr0~0_combout )))) ) ) ) # (
+// !\alu_unit|Mux14~2_combout & ( !\alu_unit|Mux14~5_combout & ( (\beq_control~3_combout & ((!\alu_unit|Mux14~0_combout ) # (\ALU_Control_unit|WideOr0~0_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~3_combout ),
+ .datab(!\beq_control~3_combout ),
+ .datac(!\alu_unit|Mux14~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datae(!\alu_unit|Mux14~2_combout ),
+ .dataf(!\alu_unit|Mux14~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~4 .extended_lut = "off";
+defparam \beq_control~4 .lut_mask = 64'h3033202200000000;
+defparam \beq_control~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y11_N24
+cyclonev_lcell_comb \beq_control~5 (
+// Equation(s):
+// \beq_control~5_combout = ( !\alu_unit|Mux13~5_combout & ( \beq_control~4_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux4~0_combout & (!\alu_unit|Mux13~1_combout ))) # (\ALU_Control_unit|WideOr0~0_combout &
+// (((!\alu_unit|Mux4~4_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux4~0_combout ),
+ .datab(!\alu_unit|Mux13~1_combout ),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(!\alu_unit|Mux4~4_combout ),
+ .datae(!\alu_unit|Mux13~5_combout ),
+ .dataf(!\beq_control~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~5 .extended_lut = "off";
+defparam \beq_control~5 .lut_mask = 64'h000000008F800000;
+defparam \beq_control~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y11_N33
+cyclonev_lcell_comb \alu_unit|Mux6~8 (
+// Equation(s):
+// \alu_unit|Mux6~8_combout = ( \alu_unit|Mux6~3_combout & ( \alu_unit|Mux6~6_combout & ( \ALU_Control_unit|WideOr0~0_combout ) ) ) # ( !\alu_unit|Mux6~3_combout & ( \alu_unit|Mux6~6_combout & ( \ALU_Control_unit|WideOr0~0_combout ) ) ) # (
+// \alu_unit|Mux6~3_combout & ( !\alu_unit|Mux6~6_combout & ( (\ALU_Control_unit|WideOr0~0_combout & \alu_unit|Mux6~5_combout ) ) ) ) # ( !\alu_unit|Mux6~3_combout & ( !\alu_unit|Mux6~6_combout & ( (\alu_unit|Mux6~4_combout &
+// (\ALU_Control_unit|WideOr0~0_combout & \alu_unit|Mux6~5_combout )) ) ) )
+
+ .dataa(!\alu_unit|Mux6~4_combout ),
+ .datab(gnd),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(!\alu_unit|Mux6~5_combout ),
+ .datae(!\alu_unit|Mux6~3_combout ),
+ .dataf(!\alu_unit|Mux6~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux6~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux6~8 .extended_lut = "off";
+defparam \alu_unit|Mux6~8 .lut_mask = 64'h0005000F0F0F0F0F;
+defparam \alu_unit|Mux6~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y11_N36
+cyclonev_lcell_comb \beq_control~8 (
+// Equation(s):
+// \beq_control~8_combout = ( \alu_unit|Mux6~2_combout & ( !\alu_unit|Mux6~8_combout & ( (!\alu_unit|Mux8~3_combout & \ALU_Control_unit|WideOr0~0_combout ) ) ) ) # ( !\alu_unit|Mux6~2_combout & ( !\alu_unit|Mux6~8_combout & (
+// (!\ALU_Control_unit|WideOr0~0_combout & ((!\alu_unit|Mux8~0_combout ))) # (\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux8~3_combout )) ) ) )
+
+ .dataa(!\alu_unit|Mux8~3_combout ),
+ .datab(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datac(gnd),
+ .datad(!\alu_unit|Mux8~0_combout ),
+ .datae(!\alu_unit|Mux6~2_combout ),
+ .dataf(!\alu_unit|Mux6~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~8 .extended_lut = "off";
+defparam \beq_control~8 .lut_mask = 64'hEE22222200000000;
+defparam \beq_control~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y4_N57
+cyclonev_lcell_comb \alu_unit|Mux9~5 (
+// Equation(s):
+// \alu_unit|Mux9~5_combout = ( \alu_unit|Mux9~1_combout & ( \alu_unit|Mux9~2_combout & ( \alu_unit|Mux11~1_combout ) ) ) # ( !\alu_unit|Mux9~1_combout & ( \alu_unit|Mux9~2_combout & ( \alu_unit|Mux11~1_combout ) ) ) # ( \alu_unit|Mux9~1_combout & (
+// !\alu_unit|Mux9~2_combout & ( \alu_unit|Mux11~1_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\alu_unit|Mux11~1_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux9~1_combout ),
+ .dataf(!\alu_unit|Mux9~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux9~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux9~5 .extended_lut = "off";
+defparam \alu_unit|Mux9~5 .lut_mask = 64'h00000F0F0F0F0F0F;
+defparam \alu_unit|Mux9~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y4_N0
+cyclonev_lcell_comb \alu_unit|Mux9~3 (
+// Equation(s):
+// \alu_unit|Mux9~3_combout = ( \alu_unit|Mux11~3_combout & ( \alu_unit|Mult0~14 & ( (!\alu_unit|Mux10~1_combout ) # (\alu_unit|shifter_left|st2[2]~2_combout ) ) ) ) # ( !\alu_unit|Mux11~3_combout & ( \alu_unit|Mult0~14 & ( !\alu_unit|Mux10~1_combout )
+// ) ) # ( \alu_unit|Mux11~3_combout & ( !\alu_unit|Mult0~14 & ( \alu_unit|shifter_left|st2[2]~2_combout ) ) )
+
+ .dataa(gnd),
+ .datab(!\alu_unit|Mux10~1_combout ),
+ .datac(!\alu_unit|shifter_left|st2[2]~2_combout ),
+ .datad(gnd),
+ .datae(!\alu_unit|Mux11~3_combout ),
+ .dataf(!\alu_unit|Mult0~14 ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux9~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux9~3 .extended_lut = "off";
+defparam \alu_unit|Mux9~3 .lut_mask = 64'h00000F0FCCCCCFCF;
+defparam \alu_unit|Mux9~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y4_N12
+cyclonev_lcell_comb \beq_control~6 (
+// Equation(s):
+// \beq_control~6_combout = ( \alu_unit|Mux9~3_combout & ( \alu_unit|Mux7~3_combout & ( (!\alu_unit|Mux7~0_combout & (!\alu_unit|Mux9~0_combout & !\ALU_Control_unit|WideOr0~0_combout )) ) ) ) # ( !\alu_unit|Mux9~3_combout & ( \alu_unit|Mux7~3_combout
+// & ( (!\alu_unit|Mux7~0_combout & (!\alu_unit|Mux9~0_combout & !\ALU_Control_unit|WideOr0~0_combout )) ) ) ) # ( \alu_unit|Mux9~3_combout & ( !\alu_unit|Mux7~3_combout & ( (!\alu_unit|Mux7~0_combout & (!\alu_unit|Mux9~0_combout &
+// !\ALU_Control_unit|WideOr0~0_combout )) ) ) ) # ( !\alu_unit|Mux9~3_combout & ( !\alu_unit|Mux7~3_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux7~0_combout & ((!\alu_unit|Mux9~0_combout )))) # (\ALU_Control_unit|WideOr0~0_combout
+// & (((!\alu_unit|Mux9~5_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux7~0_combout ),
+ .datab(!\alu_unit|Mux9~5_combout ),
+ .datac(!\alu_unit|Mux9~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datae(!\alu_unit|Mux9~3_combout ),
+ .dataf(!\alu_unit|Mux7~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~6 .extended_lut = "off";
+defparam \beq_control~6 .lut_mask = 64'hA0CCA000A000A000;
+defparam \beq_control~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N51
+cyclonev_lcell_comb \beq_control~9 (
+// Equation(s):
+// \beq_control~9_combout = ( \beq_control~8_combout & ( \beq_control~6_combout & ( (\beq_control~5_combout & !\alu_unit|Mux15~0_combout ) ) ) )
+
+ .dataa(!\beq_control~5_combout ),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!\alu_unit|Mux15~0_combout ),
+ .datae(!\beq_control~8_combout ),
+ .dataf(!\beq_control~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~9 .extended_lut = "off";
+defparam \beq_control~9 .lut_mask = 64'h0000000000005500;
+defparam \beq_control~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N9
+cyclonev_lcell_comb \pc_current[5]~0 (
+// Equation(s):
+// \pc_current[5]~0_combout = ( \imm_ext[15]~0_combout & ( \beq_control~9_combout & ( !\control_unit|mem_to_reg[1]~0_combout ) ) ) # ( !\imm_ext[15]~0_combout & ( \beq_control~9_combout & ( (!\control_unit|mem_to_reg[1]~0_combout &
+// ((!\beq_control~1_combout ) # ((!\beq_control~0_combout ) # (!\beq_control~2_combout )))) ) ) ) # ( \imm_ext[15]~0_combout & ( !\beq_control~9_combout & ( !\control_unit|mem_to_reg[1]~0_combout ) ) ) # ( !\imm_ext[15]~0_combout & (
+// !\beq_control~9_combout & ( !\control_unit|mem_to_reg[1]~0_combout ) ) )
+
+ .dataa(!\beq_control~1_combout ),
+ .datab(!\control_unit|mem_to_reg[1]~0_combout ),
+ .datac(!\beq_control~0_combout ),
+ .datad(!\beq_control~2_combout ),
+ .datae(!\imm_ext[15]~0_combout ),
+ .dataf(!\beq_control~9_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_current[5]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_current[5]~0 .extended_lut = "off";
+defparam \pc_current[5]~0 .lut_mask = 64'hCCCCCCCCCCC8CCCC;
+defparam \pc_current[5]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N54
+cyclonev_lcell_comb \pc_next[2]~1 (
+// Equation(s):
+// \pc_next[2]~1_combout = ( \beq_control~combout & ( \pc_current[5]~0_combout & ( \Add3~5_sumout ) ) ) # ( !\beq_control~combout & ( \pc_current[5]~0_combout & ( \Add0~5_sumout ) ) ) # ( \beq_control~combout & ( !\pc_current[5]~0_combout & (
+// \Add2~9_sumout ) ) ) # ( !\beq_control~combout & ( !\pc_current[5]~0_combout & ( \instrucion_memory|rom~16_combout ) ) )
+
+ .dataa(!\Add2~9_sumout ),
+ .datab(!\Add3~5_sumout ),
+ .datac(!\Add0~5_sumout ),
+ .datad(!\instrucion_memory|rom~16_combout ),
+ .datae(!\beq_control~combout ),
+ .dataf(!\pc_current[5]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[2]~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[2]~1 .extended_lut = "off";
+defparam \pc_next[2]~1 .lut_mask = 64'h00FF55550F0F3333;
+defparam \pc_next[2]~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y11_N56
+dffeas \pc_current[2] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[2]~1_combout ),
+ .asdata(\reg_file|reg_read_data_1[2]~3_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[2]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[2] .is_wysiwyg = "true";
+defparam \pc_current[2] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N9
+cyclonev_lcell_comb \instrucion_memory|rom~14 (
+// Equation(s):
+// \instrucion_memory|rom~14_combout = ( pc_current[3] & ( (!pc_current[4] & (!pc_current[1] & !pc_current[2])) ) ) # ( !pc_current[3] & ( (!pc_current[4] & (!pc_current[1] $ (pc_current[2]))) ) )
+
+ .dataa(!pc_current[4]),
+ .datab(gnd),
+ .datac(!pc_current[1]),
+ .datad(!pc_current[2]),
+ .datae(gnd),
+ .dataf(!pc_current[3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~14_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~14 .extended_lut = "off";
+defparam \instrucion_memory|rom~14 .lut_mask = 64'hA00AA00AA000A000;
+defparam \instrucion_memory|rom~14 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N54
+cyclonev_lcell_comb \instrucion_memory|rom~18 (
+// Equation(s):
+// \instrucion_memory|rom~18_combout = ( \instrucion_memory|LessThan0~1_combout & ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|rom~14_combout & \instrucion_memory|LessThan0~0_combout ))) ) )
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|rom~14_combout ),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~18_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~18 .extended_lut = "off";
+defparam \instrucion_memory|rom~18 .lut_mask = 64'h0000000000080008;
+defparam \instrucion_memory|rom~18 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y14_N48
+cyclonev_lcell_comb \pc_next[9]~8 (
+// Equation(s):
+// \pc_next[9]~8_combout = ( \beq_control~combout & ( \pc_current[5]~0_combout & ( \Add3~33_sumout ) ) ) # ( !\beq_control~combout & ( \pc_current[5]~0_combout & ( \Add0~33_sumout ) ) ) # ( \beq_control~combout & ( !\pc_current[5]~0_combout & (
+// \Add2~37_sumout ) ) ) # ( !\beq_control~combout & ( !\pc_current[5]~0_combout & ( \instrucion_memory|rom~18_combout ) ) )
+
+ .dataa(!\instrucion_memory|rom~18_combout ),
+ .datab(!\Add2~37_sumout ),
+ .datac(!\Add3~33_sumout ),
+ .datad(!\Add0~33_sumout ),
+ .datae(!\beq_control~combout ),
+ .dataf(!\pc_current[5]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[9]~8_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[9]~8 .extended_lut = "off";
+defparam \pc_next[9]~8 .lut_mask = 64'h5555333300FF0F0F;
+defparam \pc_next[9]~8 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y14_N50
+dffeas \pc_current[9] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[9]~8_combout ),
+ .asdata(\reg_file|reg_read_data_1[9]~9_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[9]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[9] .is_wysiwyg = "true";
+defparam \pc_current[9] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N27
+cyclonev_lcell_comb \Add0~37 (
+// Equation(s):
+// \Add0~37_sumout = SUM(( pc_current[10] ) + ( GND ) + ( \Add0~34 ))
+// \Add0~38 = CARRY(( pc_current[10] ) + ( GND ) + ( \Add0~34 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!pc_current[10]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~34 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~37_sumout ),
+ .cout(\Add0~38 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~37 .extended_lut = "off";
+defparam \Add0~37 .lut_mask = 64'h0000FFFF000000FF;
+defparam \Add0~37 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y13_N45
+cyclonev_lcell_comb \alu_unit|Add0~57 (
+// Equation(s):
+// \alu_unit|Add0~57_sumout = SUM(( \read_data2[15]~15_combout ) + ( (!\instrucion_memory|LessThan0~3_combout & (!pc_current[15] & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[15]~24_combout ))) ) + ( \alu_unit|Add0~54 ))
+
+ .dataa(!\instrucion_memory|LessThan0~3_combout ),
+ .datab(!pc_current[15]),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[15]~15_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[15]~24_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add0~54 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add0~57_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add0~57 .extended_lut = "off";
+defparam \alu_unit|Add0~57 .lut_mask = 64'h0000FFF7000000FF;
+defparam \alu_unit|Add0~57 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y11_N54
+cyclonev_lcell_comb \alu_unit|Add1~57 (
+// Equation(s):
+// \alu_unit|Add1~57_sumout = SUM(( !\read_data2[15]~15_combout ) + ( (!pc_current[15] & (!\instrucion_memory|LessThan0~3_combout & (\reg_file|Equal0~1_combout & \reg_file|reg_read_data_1[15]~24_combout ))) ) + ( \alu_unit|Add1~54 ))
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~3_combout ),
+ .datac(!\reg_file|Equal0~1_combout ),
+ .datad(!\read_data2[15]~15_combout ),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[15]~24_combout ),
+ .datag(gnd),
+ .cin(\alu_unit|Add1~54 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\alu_unit|Add1~57_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Add1~57 .extended_lut = "off";
+defparam \alu_unit|Add1~57 .lut_mask = 64'h0000FFF70000FF00;
+defparam \alu_unit|Add1~57 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y12_N48
+cyclonev_lcell_comb \alu_unit|Mux0~2 (
+// Equation(s):
+// \alu_unit|Mux0~2_combout = ( \read_data2[15]~15_combout & ( \alu_unit|Add1~57_sumout & ( (!\ALU_Control_unit|WideOr2~0_combout ) # ((!\ALU_Control_unit|WideOr1~0_combout & ((\alu_unit|Add0~57_sumout ))) # (\ALU_Control_unit|WideOr1~0_combout &
+// (\reg_file|reg_read_data_1[15]~25_combout ))) ) ) ) # ( !\read_data2[15]~15_combout & ( \alu_unit|Add1~57_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout ) # (\alu_unit|Add0~57_sumout )))) #
+// (\ALU_Control_unit|WideOr1~0_combout & (\reg_file|reg_read_data_1[15]~25_combout & (!\ALU_Control_unit|WideOr2~0_combout ))) ) ) ) # ( \read_data2[15]~15_combout & ( !\alu_unit|Add1~57_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout &
+// (((\ALU_Control_unit|WideOr2~0_combout & \alu_unit|Add0~57_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout & (((!\ALU_Control_unit|WideOr2~0_combout )) # (\reg_file|reg_read_data_1[15]~25_combout ))) ) ) ) # ( !\read_data2[15]~15_combout & (
+// !\alu_unit|Add1~57_sumout & ( (!\ALU_Control_unit|WideOr1~0_combout & (((\ALU_Control_unit|WideOr2~0_combout & \alu_unit|Add0~57_sumout )))) # (\ALU_Control_unit|WideOr1~0_combout & (\reg_file|reg_read_data_1[15]~25_combout &
+// (!\ALU_Control_unit|WideOr2~0_combout ))) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr1~0_combout ),
+ .datab(!\reg_file|reg_read_data_1[15]~25_combout ),
+ .datac(!\ALU_Control_unit|WideOr2~0_combout ),
+ .datad(!\alu_unit|Add0~57_sumout ),
+ .datae(!\read_data2[15]~15_combout ),
+ .dataf(!\alu_unit|Add1~57_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux0~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux0~2 .extended_lut = "off";
+defparam \alu_unit|Mux0~2 .lut_mask = 64'h101A515BB0BAF1FB;
+defparam \alu_unit|Mux0~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y12_N27
+cyclonev_lcell_comb \beq_control~10 (
+// Equation(s):
+// \beq_control~10_combout = ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux5~3_combout & ( (\beq_control~0_combout & ((!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux0~2_combout )) # (\ALU_Control_unit|WideOr0~0_combout &
+// ((!\alu_unit|Mux0~5_combout ))))) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datab(!\alu_unit|Mux0~2_combout ),
+ .datac(!\beq_control~0_combout ),
+ .datad(!\alu_unit|Mux0~5_combout ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux5~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~10 .extended_lut = "off";
+defparam \beq_control~10 .lut_mask = 64'h0D08000000000000;
+defparam \beq_control~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N30
+cyclonev_lcell_comb \beq_control~11 (
+// Equation(s):
+// \beq_control~11_combout = ( \beq_control~6_combout & ( (!\alu_unit|Mux15~0_combout & (\beq_control~8_combout & (\beq_control~2_combout & \beq_control~5_combout ))) ) )
+
+ .dataa(!\alu_unit|Mux15~0_combout ),
+ .datab(!\beq_control~8_combout ),
+ .datac(!\beq_control~2_combout ),
+ .datad(!\beq_control~5_combout ),
+ .datae(gnd),
+ .dataf(!\beq_control~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~11_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~11 .extended_lut = "off";
+defparam \beq_control~11 .lut_mask = 64'h0000000000020002;
+defparam \beq_control~11 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y10_N45
+cyclonev_lcell_comb \pc_next[10]~9 (
+// Equation(s):
+// \pc_next[10]~9_combout = (!\imm_ext[15]~0_combout & ((\Add2~41_sumout ))) # (\imm_ext[15]~0_combout & (\Add3~37_sumout ))
+
+ .dataa(!\imm_ext[15]~0_combout ),
+ .datab(!\Add3~37_sumout ),
+ .datac(!\Add2~41_sumout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[10]~9_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[10]~9 .extended_lut = "off";
+defparam \pc_next[10]~9 .lut_mask = 64'h1B1B1B1B1B1B1B1B;
+defparam \pc_next[10]~9 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y14_N12
+cyclonev_lcell_comb \pc_next[10]~16 (
+// Equation(s):
+// \pc_next[10]~16_combout = ( !\JRControl_unit|Equal0~0_combout & ( (!\control_unit|mem_to_reg[1]~0_combout & ((!\beq_control~10_combout & (\Add0~37_sumout )) # (\beq_control~10_combout & ((!\beq_control~11_combout & (\Add0~37_sumout )) #
+// (\beq_control~11_combout & ((\pc_next[10]~9_combout ))))))) ) ) # ( \JRControl_unit|Equal0~0_combout & ( (((\reg_file|reg_read_data_1[10]~11_combout ))) ) )
+
+ .dataa(!\Add0~37_sumout ),
+ .datab(!\control_unit|mem_to_reg[1]~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .datad(!\beq_control~10_combout ),
+ .datae(!\JRControl_unit|Equal0~0_combout ),
+ .dataf(!\beq_control~11_combout ),
+ .datag(!\pc_next[10]~9_combout ),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[10]~16_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[10]~16 .extended_lut = "on";
+defparam \pc_next[10]~16 .lut_mask = 64'h44440F0F440C0F0F;
+defparam \pc_next[10]~16 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y14_N14
+dffeas \pc_current[10] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[10]~16_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[10]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[10] .is_wysiwyg = "true";
+defparam \pc_current[10] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N48
+cyclonev_lcell_comb \pc_next[11]~10 (
+// Equation(s):
+// \pc_next[11]~10_combout = ( \pc_current[5]~0_combout & ( \beq_control~combout & ( \Add3~41_sumout ) ) ) # ( !\pc_current[5]~0_combout & ( \beq_control~combout & ( \Add2~45_sumout ) ) ) # ( \pc_current[5]~0_combout & ( !\beq_control~combout & (
+// \Add0~41_sumout ) ) ) # ( !\pc_current[5]~0_combout & ( !\beq_control~combout & ( \instrucion_memory|rom~11_combout ) ) )
+
+ .dataa(!\instrucion_memory|rom~11_combout ),
+ .datab(!\Add0~41_sumout ),
+ .datac(!\Add2~45_sumout ),
+ .datad(!\Add3~41_sumout ),
+ .datae(!\pc_current[5]~0_combout ),
+ .dataf(!\beq_control~combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[11]~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[11]~10 .extended_lut = "off";
+defparam \pc_next[11]~10 .lut_mask = 64'h555533330F0F00FF;
+defparam \pc_next[11]~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y11_N50
+dffeas \pc_current[11] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[11]~10_combout ),
+ .asdata(\reg_file|reg_read_data_1[11]~13_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[11]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[11] .is_wysiwyg = "true";
+defparam \pc_current[11] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N39
+cyclonev_lcell_comb \instrucion_memory|LessThan0~1 (
+// Equation(s):
+// \instrucion_memory|LessThan0~1_combout = ( !pc_current[12] & ( (!pc_current[11] & !pc_current[7]) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!pc_current[11]),
+ .datad(!pc_current[7]),
+ .datae(gnd),
+ .dataf(!pc_current[12]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|LessThan0~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|LessThan0~1 .extended_lut = "off";
+defparam \instrucion_memory|LessThan0~1 .lut_mask = 64'hF000F00000000000;
+defparam \instrucion_memory|LessThan0~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N48
+cyclonev_lcell_comb \instrucion_memory|LessThan0~3 (
+// Equation(s):
+// \instrucion_memory|LessThan0~3_combout = ( \instrucion_memory|LessThan0~1_combout & ( (!\instrucion_memory|LessThan0~0_combout ) # (pc_current[14]) ) ) # ( !\instrucion_memory|LessThan0~1_combout )
+
+ .dataa(gnd),
+ .datab(!\instrucion_memory|LessThan0~0_combout ),
+ .datac(gnd),
+ .datad(!pc_current[14]),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|LessThan0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|LessThan0~3 .extended_lut = "off";
+defparam \instrucion_memory|LessThan0~3 .lut_mask = 64'hFFFFFFFFCCFFCCFF;
+defparam \instrucion_memory|LessThan0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y14_N54
+cyclonev_lcell_comb \pc_next[6]~5 (
+// Equation(s):
+// \pc_next[6]~5_combout = ( \beq_control~combout & ( \pc_current[5]~0_combout & ( \Add3~21_sumout ) ) ) # ( !\beq_control~combout & ( \pc_current[5]~0_combout & ( \Add0~21_sumout ) ) ) # ( \beq_control~combout & ( !\pc_current[5]~0_combout & (
+// \Add2~25_sumout ) ) ) # ( !\beq_control~combout & ( !\pc_current[5]~0_combout & ( \instrucion_memory|rom~24_combout ) ) )
+
+ .dataa(!\Add2~25_sumout ),
+ .datab(!\Add3~21_sumout ),
+ .datac(!\Add0~21_sumout ),
+ .datad(!\instrucion_memory|rom~24_combout ),
+ .datae(!\beq_control~combout ),
+ .dataf(!\pc_current[5]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[6]~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[6]~5 .extended_lut = "off";
+defparam \pc_next[6]~5 .lut_mask = 64'h00FF55550F0F3333;
+defparam \pc_next[6]~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y14_N56
+dffeas \pc_current[6] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[6]~5_combout ),
+ .asdata(\reg_file|reg_read_data_1[6]~19_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[6]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[6] .is_wysiwyg = "true";
+defparam \pc_current[6] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y14_N42
+cyclonev_lcell_comb \instrucion_memory|LessThan0~0 (
+// Equation(s):
+// \instrucion_memory|LessThan0~0_combout = ( !pc_current[13] & ( !pc_current[8] & ( (!pc_current[6] & (!pc_current[10] & (!pc_current[9] & !pc_current[5]))) ) ) )
+
+ .dataa(!pc_current[6]),
+ .datab(!pc_current[10]),
+ .datac(!pc_current[9]),
+ .datad(!pc_current[5]),
+ .datae(!pc_current[13]),
+ .dataf(!pc_current[8]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|LessThan0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|LessThan0~0 .extended_lut = "off";
+defparam \instrucion_memory|LessThan0~0 .lut_mask = 64'h8000000000000000;
+defparam \instrucion_memory|LessThan0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y10_N3
+cyclonev_lcell_comb \instrucion_memory|LessThan0~4 (
+// Equation(s):
+// \instrucion_memory|LessThan0~4_combout = ( \instrucion_memory|LessThan0~1_combout & ( !\instrucion_memory|LessThan0~0_combout ) ) # ( !\instrucion_memory|LessThan0~1_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\instrucion_memory|LessThan0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|LessThan0~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|LessThan0~4 .extended_lut = "off";
+defparam \instrucion_memory|LessThan0~4 .lut_mask = 64'hFFFFFFFFF0F0F0F0;
+defparam \instrucion_memory|LessThan0~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N24
+cyclonev_lcell_comb \pc_next[3]~2 (
+// Equation(s):
+// \pc_next[3]~2_combout = ( \beq_control~combout & ( \pc_current[5]~0_combout & ( \Add3~9_sumout ) ) ) # ( !\beq_control~combout & ( \pc_current[5]~0_combout & ( \Add0~9_sumout ) ) ) # ( \beq_control~combout & ( !\pc_current[5]~0_combout & (
+// \Add2~13_sumout ) ) ) # ( !\beq_control~combout & ( !\pc_current[5]~0_combout & ( \instrucion_memory|rom~6_combout ) ) )
+
+ .dataa(!\Add3~9_sumout ),
+ .datab(!\Add2~13_sumout ),
+ .datac(!\instrucion_memory|rom~6_combout ),
+ .datad(!\Add0~9_sumout ),
+ .datae(!\beq_control~combout ),
+ .dataf(!\pc_current[5]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[3]~2_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[3]~2 .extended_lut = "off";
+defparam \pc_next[3]~2 .lut_mask = 64'h0F0F333300FF5555;
+defparam \pc_next[3]~2 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y11_N26
+dffeas \pc_current[3] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[3]~2_combout ),
+ .asdata(\reg_file|reg_read_data_1[3]~5_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[3]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[3] .is_wysiwyg = "true";
+defparam \pc_current[3] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N51
+cyclonev_lcell_comb \instrucion_memory|rom~0 (
+// Equation(s):
+// \instrucion_memory|rom~0_combout = ( !pc_current[2] & ( (!pc_current[4] & (!pc_current[1] $ (!pc_current[3]))) ) )
+
+ .dataa(gnd),
+ .datab(!pc_current[4]),
+ .datac(!pc_current[1]),
+ .datad(!pc_current[3]),
+ .datae(gnd),
+ .dataf(!pc_current[2]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~0 .extended_lut = "off";
+defparam \instrucion_memory|rom~0 .lut_mask = 64'h0CC00CC000000000;
+defparam \instrucion_memory|rom~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N6
+cyclonev_lcell_comb \reg_write_data[1]~0 (
+// Equation(s):
+// \reg_write_data[1]~0_combout = ( \instrucion_memory|rom~2_combout & ( (!\reset~input_o & ((!\instrucion_memory|rom~4_combout & (\instrucion_memory|rom~0_combout & !\instrucion_memory|LessThan0~2_combout )) # (\instrucion_memory|rom~4_combout &
+// ((\instrucion_memory|LessThan0~2_combout ))))) ) ) # ( !\instrucion_memory|rom~2_combout & ( (!\reset~input_o & (\instrucion_memory|rom~4_combout & ((!\instrucion_memory|rom~0_combout ) # (\instrucion_memory|LessThan0~2_combout )))) ) )
+
+ .dataa(!\reset~input_o ),
+ .datab(!\instrucion_memory|rom~4_combout ),
+ .datac(!\instrucion_memory|rom~0_combout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[1]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[1]~0 .extended_lut = "off";
+defparam \reg_write_data[1]~0 .lut_mask = 64'h2022202208220822;
+defparam \reg_write_data[1]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N24
+cyclonev_lcell_comb \alu_unit|Mux0~6 (
+// Equation(s):
+// \alu_unit|Mux0~6_combout = ( \alu_unit|Mux0~5_combout & ( (\alu_unit|Mux0~2_combout ) # (\ALU_Control_unit|WideOr0~0_combout ) ) ) # ( !\alu_unit|Mux0~5_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & \alu_unit|Mux0~2_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datad(!\alu_unit|Mux0~2_combout ),
+ .datae(gnd),
+ .dataf(!\alu_unit|Mux0~5_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux0~6_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux0~6 .extended_lut = "off";
+defparam \alu_unit|Mux0~6 .lut_mask = 64'h00F000F00FFF0FFF;
+defparam \alu_unit|Mux0~6 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y11_N42
+cyclonev_lcell_comb \Add0~57 (
+// Equation(s):
+// \Add0~57_sumout = SUM(( pc_current[15] ) + ( GND ) + ( \Add0~54 ))
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(!pc_current[15]),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add0~54 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add0~57_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \Add0~57 .extended_lut = "off";
+defparam \Add0~57 .lut_mask = 64'h0000FFFF000000FF;
+defparam \Add0~57 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y18_N4
+dffeas \datamem|ram~3999 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5755_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3999_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3999 .is_wysiwyg = "true";
+defparam \datamem|ram~3999 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y18_N51
+cyclonev_lcell_comb \datamem|ram~4015feeder (
+// Equation(s):
+// \datamem|ram~4015feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4015feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4015feeder .extended_lut = "off";
+defparam \datamem|ram~4015feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4015feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y18_N53
+dffeas \datamem|ram~4015 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4015feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5759_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4015_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4015 .is_wysiwyg = "true";
+defparam \datamem|ram~4015 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y15_N49
+dffeas \datamem|ram~3983 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5751_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3983_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3983 .is_wysiwyg = "true";
+defparam \datamem|ram~3983 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y12_N44
+dffeas \datamem|ram~4031 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5763_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4031_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4031 .is_wysiwyg = "true";
+defparam \datamem|ram~4031 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N42
+cyclonev_lcell_comb \datamem|ram~5281 (
+// Equation(s):
+// \datamem|ram~5281_combout = ( \datamem|ram~4031_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~4015_q ) ) ) ) # ( !\datamem|ram~4031_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~4015_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~4031_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3983_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3999_q )) ) ) ) # ( !\datamem|ram~4031_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3983_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3999_q )) ) ) )
+
+ .dataa(!\datamem|ram~3999_q ),
+ .datab(!\datamem|ram~4015_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~3983_q ),
+ .datae(!\datamem|ram~4031_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5281_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5281 .extended_lut = "off";
+defparam \datamem|ram~5281 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5281 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y21_N33
+cyclonev_lcell_comb \datamem|ram~3919feeder (
+// Equation(s):
+// \datamem|ram~3919feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3919feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3919feeder .extended_lut = "off";
+defparam \datamem|ram~3919feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3919feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y21_N34
+dffeas \datamem|ram~3919 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3919feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5744_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3919_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3919 .is_wysiwyg = "true";
+defparam \datamem|ram~3919 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N48
+cyclonev_lcell_comb \datamem|ram~3935feeder (
+// Equation(s):
+// \datamem|ram~3935feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3935feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3935feeder .extended_lut = "off";
+defparam \datamem|ram~3935feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3935feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N49
+dffeas \datamem|ram~3935 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3935feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5745_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3935_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3935 .is_wysiwyg = "true";
+defparam \datamem|ram~3935 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N0
+cyclonev_lcell_comb \datamem|ram~3951feeder (
+// Equation(s):
+// \datamem|ram~3951feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3951feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3951feeder .extended_lut = "off";
+defparam \datamem|ram~3951feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3951feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y6_N1
+dffeas \datamem|ram~3951 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3951feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5746_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3951_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3951 .is_wysiwyg = "true";
+defparam \datamem|ram~3951 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y12_N38
+dffeas \datamem|ram~3967 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5747_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3967_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3967 .is_wysiwyg = "true";
+defparam \datamem|ram~3967 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N36
+cyclonev_lcell_comb \datamem|ram~5280 (
+// Equation(s):
+// \datamem|ram~5280_combout = ( \datamem|ram~3967_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3951_q ) # (\alu_unit|Mux14~6_combout ) ) ) ) # ( !\datamem|ram~3967_q & ( \alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout &
+// \datamem|ram~3951_q ) ) ) ) # ( \datamem|ram~3967_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3919_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3935_q ))) ) ) ) # ( !\datamem|ram~3967_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3919_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3935_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3919_q ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~3935_q ),
+ .datad(!\datamem|ram~3951_q ),
+ .datae(!\datamem|ram~3967_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5280_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5280 .extended_lut = "off";
+defparam \datamem|ram~5280 .lut_mask = 64'h4747474700CC33FF;
+defparam \datamem|ram~5280 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N26
+dffeas \datamem|ram~3871 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5729_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3871_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3871 .is_wysiwyg = "true";
+defparam \datamem|ram~3871 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N44
+dffeas \datamem|ram~3887 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5730_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3887_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3887 .is_wysiwyg = "true";
+defparam \datamem|ram~3887 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y21_N9
+cyclonev_lcell_comb \datamem|ram~3855feeder (
+// Equation(s):
+// \datamem|ram~3855feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3855feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3855feeder .extended_lut = "off";
+defparam \datamem|ram~3855feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3855feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y21_N10
+dffeas \datamem|ram~3855 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3855feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5728_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3855_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3855 .is_wysiwyg = "true";
+defparam \datamem|ram~3855 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y12_N56
+dffeas \datamem|ram~3903 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5731_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3903_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3903 .is_wysiwyg = "true";
+defparam \datamem|ram~3903 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y12_N54
+cyclonev_lcell_comb \datamem|ram~5279 (
+// Equation(s):
+// \datamem|ram~5279_combout = ( \datamem|ram~3903_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3887_q ) ) ) ) # ( !\datamem|ram~3903_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3887_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3903_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3855_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3871_q )) ) ) ) # ( !\datamem|ram~3903_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~3855_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~3871_q )) ) ) )
+
+ .dataa(!\datamem|ram~3871_q ),
+ .datab(!\datamem|ram~3887_q ),
+ .datac(!\datamem|ram~3855_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3903_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5279_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5279 .extended_lut = "off";
+defparam \datamem|ram~5279 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5279 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y16_N31
+dffeas \datamem|ram~4063 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5777_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4063_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4063 .is_wysiwyg = "true";
+defparam \datamem|ram~4063 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y22_N40
+dffeas \datamem|ram~4047 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5776_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4047_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4047 .is_wysiwyg = "true";
+defparam \datamem|ram~4047 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y15_N0
+cyclonev_lcell_comb \datamem|ram~4095feeder (
+// Equation(s):
+// \datamem|ram~4095feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~4095feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~4095feeder .extended_lut = "off";
+defparam \datamem|ram~4095feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~4095feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y15_N1
+dffeas \datamem|ram~4095 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~4095feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5779_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4095_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4095 .is_wysiwyg = "true";
+defparam \datamem|ram~4095 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y12_N26
+dffeas \datamem|ram~4079 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5778_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~4079_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~4079 .is_wysiwyg = "true";
+defparam \datamem|ram~4079 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y12_N24
+cyclonev_lcell_comb \datamem|ram~5282 (
+// Equation(s):
+// \datamem|ram~5282_combout = ( \datamem|ram~4079_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4063_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4095_q ))) ) ) ) # ( !\datamem|ram~4079_q & (
+// \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~4063_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~4095_q ))) ) ) ) # ( \datamem|ram~4079_q & ( !\alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~4047_q ) ) ) ) # ( !\datamem|ram~4079_q & ( !\alu_unit|Mux14~6_combout & ( (\datamem|ram~4047_q & !\alu_unit|Mux13~4_combout ) ) ) )
+
+ .dataa(!\datamem|ram~4063_q ),
+ .datab(!\datamem|ram~4047_q ),
+ .datac(!\datamem|ram~4095_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~4079_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5282_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5282 .extended_lut = "off";
+defparam \datamem|ram~5282 .lut_mask = 64'h330033FF550F550F;
+defparam \datamem|ram~5282 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N6
+cyclonev_lcell_comb \datamem|ram~5283 (
+// Equation(s):
+// \datamem|ram~5283_combout = ( \datamem|ram~5279_combout & ( \datamem|ram~5282_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~5280_combout )))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) #
+// (\datamem|ram~5281_combout ))) ) ) ) # ( !\datamem|ram~5279_combout & ( \datamem|ram~5282_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~5280_combout & \alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// (((\alu_unit|Mux12~2_combout )) # (\datamem|ram~5281_combout ))) ) ) ) # ( \datamem|ram~5279_combout & ( !\datamem|ram~5282_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~5280_combout )))) #
+// (\alu_unit|Mux11~4_combout & (\datamem|ram~5281_combout & ((!\alu_unit|Mux12~2_combout )))) ) ) ) # ( !\datamem|ram~5279_combout & ( !\datamem|ram~5282_combout & ( (!\alu_unit|Mux11~4_combout & (((\datamem|ram~5280_combout &
+// \alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5281_combout & ((!\alu_unit|Mux12~2_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~5281_combout ),
+ .datac(!\datamem|ram~5280_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~5279_combout ),
+ .dataf(!\datamem|ram~5282_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5283_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5283 .extended_lut = "off";
+defparam \datamem|ram~5283 .lut_mask = 64'h110ABB0A115FBB5F;
+defparam \datamem|ram~5283 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y9_N39
+cyclonev_lcell_comb \datamem|ram~3759feeder (
+// Equation(s):
+// \datamem|ram~3759feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3759feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3759feeder .extended_lut = "off";
+defparam \datamem|ram~3759feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3759feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y9_N41
+dffeas \datamem|ram~3759 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3759feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5758_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3759_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3759 .is_wysiwyg = "true";
+defparam \datamem|ram~3759 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y16_N21
+cyclonev_lcell_comb \datamem|ram~3631feeder (
+// Equation(s):
+// \datamem|ram~3631feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3631feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3631feeder .extended_lut = "off";
+defparam \datamem|ram~3631feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3631feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y16_N22
+dffeas \datamem|ram~3631 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3631feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5726_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3631_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3631 .is_wysiwyg = "true";
+defparam \datamem|ram~3631 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y16_N27
+cyclonev_lcell_comb \datamem|ram~3695feeder (
+// Equation(s):
+// \datamem|ram~3695feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3695feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3695feeder .extended_lut = "off";
+defparam \datamem|ram~3695feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3695feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y16_N28
+dffeas \datamem|ram~3695 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3695feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5742_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3695_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3695 .is_wysiwyg = "true";
+defparam \datamem|ram~3695 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N56
+dffeas \datamem|ram~3823 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5774_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3823_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3823 .is_wysiwyg = "true";
+defparam \datamem|ram~3823 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N54
+cyclonev_lcell_comb \datamem|ram~5276 (
+// Equation(s):
+// \datamem|ram~5276_combout = ( \datamem|ram~3823_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3759_q ) ) ) ) # ( !\datamem|ram~3823_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3759_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3823_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3631_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3695_q ))) ) ) ) # ( !\datamem|ram~3823_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3631_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3695_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3759_q ),
+ .datab(!\datamem|ram~3631_q ),
+ .datac(!\datamem|ram~3695_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3823_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5276_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5276 .extended_lut = "off";
+defparam \datamem|ram~5276 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5276 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y17_N15
+cyclonev_lcell_comb \datamem|ram~3727feeder (
+// Equation(s):
+// \datamem|ram~3727feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3727feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3727feeder .extended_lut = "off";
+defparam \datamem|ram~3727feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3727feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y17_N16
+dffeas \datamem|ram~3727 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3727feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5750_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3727_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3727 .is_wysiwyg = "true";
+defparam \datamem|ram~3727 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y11_N27
+cyclonev_lcell_comb \datamem|ram~3599feeder (
+// Equation(s):
+// \datamem|ram~3599feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3599feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3599feeder .extended_lut = "off";
+defparam \datamem|ram~3599feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3599feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y11_N29
+dffeas \datamem|ram~3599 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3599feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5724_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3599_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3599 .is_wysiwyg = "true";
+defparam \datamem|ram~3599 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y17_N39
+cyclonev_lcell_comb \datamem|ram~3663feeder (
+// Equation(s):
+// \datamem|ram~3663feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3663feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3663feeder .extended_lut = "off";
+defparam \datamem|ram~3663feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3663feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y17_N40
+dffeas \datamem|ram~3663 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3663feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5740_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3663_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3663 .is_wysiwyg = "true";
+defparam \datamem|ram~3663 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N32
+dffeas \datamem|ram~3791 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5772_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3791_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3791 .is_wysiwyg = "true";
+defparam \datamem|ram~3791 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N30
+cyclonev_lcell_comb \datamem|ram~5274 (
+// Equation(s):
+// \datamem|ram~5274_combout = ( \datamem|ram~3791_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3663_q ) # (\alu_unit|Mux11~4_combout ) ) ) ) # ( !\datamem|ram~3791_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout &
+// \datamem|ram~3663_q ) ) ) ) # ( \datamem|ram~3791_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3599_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3727_q )) ) ) ) # ( !\datamem|ram~3791_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3599_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3727_q )) ) ) )
+
+ .dataa(!\datamem|ram~3727_q ),
+ .datab(!\datamem|ram~3599_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~3663_q ),
+ .datae(!\datamem|ram~3791_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5274_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5274 .extended_lut = "off";
+defparam \datamem|ram~5274 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5274 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y19_N33
+cyclonev_lcell_comb \datamem|ram~3615feeder (
+// Equation(s):
+// \datamem|ram~3615feeder_combout = \reg_file|reg_read_data_2[15]~15_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3615feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3615feeder .extended_lut = "off";
+defparam \datamem|ram~3615feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~3615feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N34
+dffeas \datamem|ram~3615 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3615feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5725_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3615_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3615 .is_wysiwyg = "true";
+defparam \datamem|ram~3615 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y19_N17
+dffeas \datamem|ram~3679 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5741_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3679_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3679 .is_wysiwyg = "true";
+defparam \datamem|ram~3679 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y21_N54
+cyclonev_lcell_comb \datamem|ram~3743feeder (
+// Equation(s):
+// \datamem|ram~3743feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3743feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3743feeder .extended_lut = "off";
+defparam \datamem|ram~3743feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3743feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y21_N55
+dffeas \datamem|ram~3743 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3743feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5754_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3743_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3743 .is_wysiwyg = "true";
+defparam \datamem|ram~3743 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y16_N38
+dffeas \datamem|ram~3807 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5773_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3807_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3807 .is_wysiwyg = "true";
+defparam \datamem|ram~3807 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N36
+cyclonev_lcell_comb \datamem|ram~5275 (
+// Equation(s):
+// \datamem|ram~5275_combout = ( \datamem|ram~3807_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3743_q ) ) ) ) # ( !\datamem|ram~3807_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3743_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3807_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3615_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3679_q ))) ) ) ) # ( !\datamem|ram~3807_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3615_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3679_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3615_q ),
+ .datab(!\datamem|ram~3679_q ),
+ .datac(!\datamem|ram~3743_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3807_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5275_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5275 .extended_lut = "off";
+defparam \datamem|ram~5275 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5275 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N33
+cyclonev_lcell_comb \datamem|ram~3647feeder (
+// Equation(s):
+// \datamem|ram~3647feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3647feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3647feeder .extended_lut = "off";
+defparam \datamem|ram~3647feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3647feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N35
+dffeas \datamem|ram~3647 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3647feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5727_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3647_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3647 .is_wysiwyg = "true";
+defparam \datamem|ram~3647 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y6_N13
+dffeas \datamem|ram~3711 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5743_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3711_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3711 .is_wysiwyg = "true";
+defparam \datamem|ram~3711 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y6_N50
+dffeas \datamem|ram~3775 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5762_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3775_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3775 .is_wysiwyg = "true";
+defparam \datamem|ram~3775 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y19_N8
+dffeas \datamem|ram~3839 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5775_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3839_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3839 .is_wysiwyg = "true";
+defparam \datamem|ram~3839 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y19_N6
+cyclonev_lcell_comb \datamem|ram~5277 (
+// Equation(s):
+// \datamem|ram~5277_combout = ( \datamem|ram~3839_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3775_q ) ) ) ) # ( !\datamem|ram~3839_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3775_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3839_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3647_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3711_q ))) ) ) ) # ( !\datamem|ram~3839_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3647_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3711_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3647_q ),
+ .datab(!\datamem|ram~3711_q ),
+ .datac(!\datamem|ram~3775_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3839_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5277_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5277 .extended_lut = "off";
+defparam \datamem|ram~5277 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5277 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y16_N0
+cyclonev_lcell_comb \datamem|ram~5278 (
+// Equation(s):
+// \datamem|ram~5278_combout = ( \datamem|ram~5275_combout & ( \datamem|ram~5277_combout & ( ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~5274_combout ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~5276_combout ))) # (\alu_unit|Mux14~6_combout ) ) )
+// ) # ( !\datamem|ram~5275_combout & ( \datamem|ram~5277_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~5274_combout )))) # (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) #
+// (\datamem|ram~5276_combout ))) ) ) ) # ( \datamem|ram~5275_combout & ( !\datamem|ram~5277_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~5274_combout ) # (\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout &
+// (\datamem|ram~5276_combout & (!\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~5275_combout & ( !\datamem|ram~5277_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout & ((\datamem|ram~5274_combout ))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~5276_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5276_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~5274_combout ),
+ .datae(!\datamem|ram~5275_combout ),
+ .dataf(!\datamem|ram~5277_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5278_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5278 .extended_lut = "off";
+defparam \datamem|ram~5278 .lut_mask = 64'h10D01CDC13D31FDF;
+defparam \datamem|ram~5278 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y6_N51
+cyclonev_lcell_comb \datamem|ram~3391feeder (
+// Equation(s):
+// \datamem|ram~3391feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3391feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3391feeder .extended_lut = "off";
+defparam \datamem|ram~3391feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3391feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y6_N52
+dffeas \datamem|ram~3391 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3391feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5723_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3391_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3391 .is_wysiwyg = "true";
+defparam \datamem|ram~3391 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y6_N6
+cyclonev_lcell_comb \datamem|ram~3455feeder (
+// Equation(s):
+// \datamem|ram~3455feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3455feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3455feeder .extended_lut = "off";
+defparam \datamem|ram~3455feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3455feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y6_N8
+dffeas \datamem|ram~3455 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3455feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5739_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3455_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3455 .is_wysiwyg = "true";
+defparam \datamem|ram~3455 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y15_N33
+cyclonev_lcell_comb \datamem|ram~3519feeder (
+// Equation(s):
+// \datamem|ram~3519feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3519feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3519feeder .extended_lut = "off";
+defparam \datamem|ram~3519feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3519feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y15_N34
+dffeas \datamem|ram~3519 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3519feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5761_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3519_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3519 .is_wysiwyg = "true";
+defparam \datamem|ram~3519 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N2
+dffeas \datamem|ram~3583 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5771_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3583_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3583 .is_wysiwyg = "true";
+defparam \datamem|ram~3583 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N0
+cyclonev_lcell_comb \datamem|ram~5272 (
+// Equation(s):
+// \datamem|ram~5272_combout = ( \datamem|ram~3583_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3455_q ) ) ) ) # ( !\datamem|ram~3583_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3455_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3583_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3391_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3519_q ))) ) ) ) # ( !\datamem|ram~3583_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~3391_q )) # (\alu_unit|Mux11~4_combout & ((\datamem|ram~3519_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3391_q ),
+ .datab(!\datamem|ram~3455_q ),
+ .datac(!\datamem|ram~3519_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~3583_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5272_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5272 .extended_lut = "off";
+defparam \datamem|ram~5272 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5272 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y18_N24
+cyclonev_lcell_comb \datamem|ram~3503feeder (
+// Equation(s):
+// \datamem|ram~3503feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3503feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3503feeder .extended_lut = "off";
+defparam \datamem|ram~3503feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3503feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y18_N25
+dffeas \datamem|ram~3503 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3503feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5757_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3503_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3503 .is_wysiwyg = "true";
+defparam \datamem|ram~3503 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y10_N34
+dffeas \datamem|ram~3375 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5722_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3375_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3375 .is_wysiwyg = "true";
+defparam \datamem|ram~3375 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X47_Y13_N34
+dffeas \datamem|ram~3439 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5738_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3439_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3439 .is_wysiwyg = "true";
+defparam \datamem|ram~3439 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N26
+dffeas \datamem|ram~3567 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5770_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3567_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3567 .is_wysiwyg = "true";
+defparam \datamem|ram~3567 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N24
+cyclonev_lcell_comb \datamem|ram~5271 (
+// Equation(s):
+// \datamem|ram~5271_combout = ( \datamem|ram~3567_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3439_q ) ) ) ) # ( !\datamem|ram~3567_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3439_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3567_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3375_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3503_q )) ) ) ) # ( !\datamem|ram~3567_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3375_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3503_q )) ) ) )
+
+ .dataa(!\datamem|ram~3503_q ),
+ .datab(!\datamem|ram~3375_q ),
+ .datac(!\datamem|ram~3439_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~3567_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5271_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5271 .extended_lut = "off";
+defparam \datamem|ram~5271 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5271 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y15_N57
+cyclonev_lcell_comb \datamem|ram~3423feeder (
+// Equation(s):
+// \datamem|ram~3423feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3423feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3423feeder .extended_lut = "off";
+defparam \datamem|ram~3423feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3423feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N58
+dffeas \datamem|ram~3423 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3423feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5737_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3423_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3423 .is_wysiwyg = "true";
+defparam \datamem|ram~3423 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y12_N53
+dffeas \datamem|ram~3487 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5753_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3487_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3487 .is_wysiwyg = "true";
+defparam \datamem|ram~3487 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y15_N22
+dffeas \datamem|ram~3359 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5721_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3359_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3359 .is_wysiwyg = "true";
+defparam \datamem|ram~3359 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y8_N56
+dffeas \datamem|ram~3551 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5769_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3551_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3551 .is_wysiwyg = "true";
+defparam \datamem|ram~3551 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N54
+cyclonev_lcell_comb \datamem|ram~5270 (
+// Equation(s):
+// \datamem|ram~5270_combout = ( \datamem|ram~3551_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~3423_q ) ) ) ) # ( !\datamem|ram~3551_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~3423_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~3551_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3359_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3487_q )) ) ) ) # ( !\datamem|ram~3551_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~3359_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~3487_q )) ) ) )
+
+ .dataa(!\datamem|ram~3423_q ),
+ .datab(!\datamem|ram~3487_q ),
+ .datac(!\datamem|ram~3359_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~3551_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5270_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5270 .extended_lut = "off";
+defparam \datamem|ram~5270 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5270 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y5_N36
+cyclonev_lcell_comb \datamem|ram~3343feeder (
+// Equation(s):
+// \datamem|ram~3343feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3343feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3343feeder .extended_lut = "off";
+defparam \datamem|ram~3343feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3343feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y5_N37
+dffeas \datamem|ram~3343 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3343feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5720_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3343_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3343 .is_wysiwyg = "true";
+defparam \datamem|ram~3343 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N8
+dffeas \datamem|ram~3471 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5749_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3471_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3471 .is_wysiwyg = "true";
+defparam \datamem|ram~3471 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N26
+dffeas \datamem|ram~3407 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5736_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3407_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3407 .is_wysiwyg = "true";
+defparam \datamem|ram~3407 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y18_N14
+dffeas \datamem|ram~3535 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5768_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3535_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3535 .is_wysiwyg = "true";
+defparam \datamem|ram~3535 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y18_N12
+cyclonev_lcell_comb \datamem|ram~5269 (
+// Equation(s):
+// \datamem|ram~5269_combout = ( \datamem|ram~3535_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3471_q ) ) ) ) # ( !\datamem|ram~3535_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3471_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3535_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3343_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3407_q ))) ) ) ) # ( !\datamem|ram~3535_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3343_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3407_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3343_q ),
+ .datab(!\datamem|ram~3471_q ),
+ .datac(!\datamem|ram~3407_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3535_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5269_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5269 .extended_lut = "off";
+defparam \datamem|ram~5269 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5269 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X62_Y8_N36
+cyclonev_lcell_comb \datamem|ram~5273 (
+// Equation(s):
+// \datamem|ram~5273_combout = ( \datamem|ram~5270_combout & ( \datamem|ram~5269_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5271_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5272_combout ))) ) )
+// ) # ( !\datamem|ram~5270_combout & ( \datamem|ram~5269_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5271_combout ))) #
+// (\alu_unit|Mux14~6_combout & (\datamem|ram~5272_combout )))) ) ) ) # ( \datamem|ram~5270_combout & ( !\datamem|ram~5269_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5271_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5272_combout )))) ) ) ) # ( !\datamem|ram~5270_combout & ( !\datamem|ram~5269_combout & ( (\alu_unit|Mux13~4_combout &
+// ((!\alu_unit|Mux14~6_combout & ((\datamem|ram~5271_combout ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~5272_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5272_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5271_combout ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~5270_combout ),
+ .dataf(!\datamem|ram~5269_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5273_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5273 .extended_lut = "off";
+defparam \datamem|ram~5273 .lut_mask = 64'h031103DDCF11CFDD;
+defparam \datamem|ram~5273 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N23
+dffeas \datamem|ram~3167 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5733_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3167_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3167 .is_wysiwyg = "true";
+defparam \datamem|ram~3167 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y21_N3
+cyclonev_lcell_comb \datamem|ram~3103feeder (
+// Equation(s):
+// \datamem|ram~3103feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3103feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3103feeder .extended_lut = "off";
+defparam \datamem|ram~3103feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3103feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y21_N4
+dffeas \datamem|ram~3103 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3103feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5717_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3103_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3103 .is_wysiwyg = "true";
+defparam \datamem|ram~3103 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y22_N36
+cyclonev_lcell_comb \datamem|ram~3231feeder (
+// Equation(s):
+// \datamem|ram~3231feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3231feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3231feeder .extended_lut = "off";
+defparam \datamem|ram~3231feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3231feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y22_N37
+dffeas \datamem|ram~3231 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3231feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5752_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3231_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3231 .is_wysiwyg = "true";
+defparam \datamem|ram~3231 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y20_N26
+dffeas \datamem|ram~3295 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5765_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3295_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3295 .is_wysiwyg = "true";
+defparam \datamem|ram~3295 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y20_N24
+cyclonev_lcell_comb \datamem|ram~5265 (
+// Equation(s):
+// \datamem|ram~5265_combout = ( \datamem|ram~3295_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3231_q ) # (\alu_unit|Mux12~2_combout ) ) ) ) # ( !\datamem|ram~3295_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout &
+// \datamem|ram~3231_q ) ) ) ) # ( \datamem|ram~3295_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3103_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3167_q )) ) ) ) # ( !\datamem|ram~3295_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3103_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3167_q )) ) ) )
+
+ .dataa(!\datamem|ram~3167_q ),
+ .datab(!\datamem|ram~3103_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3231_q ),
+ .datae(!\datamem|ram~3295_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5265_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5265 .extended_lut = "off";
+defparam \datamem|ram~5265 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5265 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y22_N51
+cyclonev_lcell_comb \datamem|ram~3247feeder (
+// Equation(s):
+// \datamem|ram~3247feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3247feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3247feeder .extended_lut = "off";
+defparam \datamem|ram~3247feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3247feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X63_Y22_N52
+dffeas \datamem|ram~3247 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3247feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5756_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3247_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3247 .is_wysiwyg = "true";
+defparam \datamem|ram~3247 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N45
+cyclonev_lcell_comb \datamem|ram~3119feeder (
+// Equation(s):
+// \datamem|ram~3119feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3119feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3119feeder .extended_lut = "off";
+defparam \datamem|ram~3119feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3119feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N47
+dffeas \datamem|ram~3119 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3119feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5718_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3119_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3119 .is_wysiwyg = "true";
+defparam \datamem|ram~3119 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N39
+cyclonev_lcell_comb \datamem|ram~3183feeder (
+// Equation(s):
+// \datamem|ram~3183feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3183feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3183feeder .extended_lut = "off";
+defparam \datamem|ram~3183feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3183feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N40
+dffeas \datamem|ram~3183 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3183feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5734_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3183_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3183 .is_wysiwyg = "true";
+defparam \datamem|ram~3183 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y20_N56
+dffeas \datamem|ram~3311 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5766_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3311_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3311 .is_wysiwyg = "true";
+defparam \datamem|ram~3311 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y20_N54
+cyclonev_lcell_comb \datamem|ram~5266 (
+// Equation(s):
+// \datamem|ram~5266_combout = ( \datamem|ram~3311_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3247_q ) ) ) ) # ( !\datamem|ram~3311_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3247_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3311_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3119_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3183_q ))) ) ) ) # ( !\datamem|ram~3311_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3119_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3183_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3247_q ),
+ .datab(!\datamem|ram~3119_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~3183_q ),
+ .datae(!\datamem|ram~3311_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5266_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5266 .extended_lut = "off";
+defparam \datamem|ram~5266 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5266 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N55
+dffeas \datamem|ram~3135 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5719_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3135_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3135 .is_wysiwyg = "true";
+defparam \datamem|ram~3135 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X62_Y20_N40
+dffeas \datamem|ram~3263 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5760_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3263_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3263 .is_wysiwyg = "true";
+defparam \datamem|ram~3263 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N44
+dffeas \datamem|ram~3199 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5735_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3199_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3199 .is_wysiwyg = "true";
+defparam \datamem|ram~3199 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y6_N53
+dffeas \datamem|ram~3327 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5767_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3327_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3327 .is_wysiwyg = "true";
+defparam \datamem|ram~3327 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y6_N51
+cyclonev_lcell_comb \datamem|ram~5267 (
+// Equation(s):
+// \datamem|ram~5267_combout = ( \datamem|ram~3327_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3263_q ) ) ) ) # ( !\datamem|ram~3327_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3263_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3327_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3135_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3199_q ))) ) ) ) # ( !\datamem|ram~3327_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~3135_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~3199_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3135_q ),
+ .datab(!\datamem|ram~3263_q ),
+ .datac(!\datamem|ram~3199_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3327_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5267_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5267 .extended_lut = "off";
+defparam \datamem|ram~5267 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5267 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y20_N24
+cyclonev_lcell_comb \datamem|ram~3215feeder (
+// Equation(s):
+// \datamem|ram~3215feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3215feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3215feeder .extended_lut = "off";
+defparam \datamem|ram~3215feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3215feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y20_N25
+dffeas \datamem|ram~3215 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3215feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5748_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3215_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3215 .is_wysiwyg = "true";
+defparam \datamem|ram~3215 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N39
+cyclonev_lcell_comb \datamem|ram~3151feeder (
+// Equation(s):
+// \datamem|ram~3151feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3151feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3151feeder .extended_lut = "off";
+defparam \datamem|ram~3151feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3151feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N40
+dffeas \datamem|ram~3151 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3151feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5732_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3151_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3151 .is_wysiwyg = "true";
+defparam \datamem|ram~3151 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y20_N33
+cyclonev_lcell_comb \datamem|ram~3087feeder (
+// Equation(s):
+// \datamem|ram~3087feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3087feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3087feeder .extended_lut = "off";
+defparam \datamem|ram~3087feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3087feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y20_N34
+dffeas \datamem|ram~3087 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3087feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5716_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3087_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3087 .is_wysiwyg = "true";
+defparam \datamem|ram~3087 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y20_N38
+dffeas \datamem|ram~3279 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5764_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3279_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3279 .is_wysiwyg = "true";
+defparam \datamem|ram~3279 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y20_N36
+cyclonev_lcell_comb \datamem|ram~5264 (
+// Equation(s):
+// \datamem|ram~5264_combout = ( \datamem|ram~3279_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~3215_q ) ) ) ) # ( !\datamem|ram~3279_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~3215_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~3279_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3087_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3151_q )) ) ) ) # ( !\datamem|ram~3279_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~3087_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~3151_q )) ) ) )
+
+ .dataa(!\datamem|ram~3215_q ),
+ .datab(!\datamem|ram~3151_q ),
+ .datac(!\datamem|ram~3087_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~3279_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5264_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5264 .extended_lut = "off";
+defparam \datamem|ram~5264 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5264 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N39
+cyclonev_lcell_comb \datamem|ram~5268 (
+// Equation(s):
+// \datamem|ram~5268_combout = ( \datamem|ram~5267_combout & ( \datamem|ram~5264_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5266_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~5265_combout )) #
+// (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5267_combout & ( \datamem|ram~5264_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5266_combout )))) # (\alu_unit|Mux14~6_combout &
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~5265_combout ))) ) ) ) # ( \datamem|ram~5267_combout & ( !\datamem|ram~5264_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~5266_combout )))) #
+// (\alu_unit|Mux14~6_combout & (((\datamem|ram~5265_combout )) # (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5267_combout & ( !\datamem|ram~5264_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~5266_combout )))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~5265_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5265_combout ),
+ .datad(!\datamem|ram~5266_combout ),
+ .datae(!\datamem|ram~5267_combout ),
+ .dataf(!\datamem|ram~5264_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5268_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5268 .extended_lut = "off";
+defparam \datamem|ram~5268 .lut_mask = 64'h042615378CAE9DBF;
+defparam \datamem|ram~5268 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N57
+cyclonev_lcell_comb \datamem|ram~5284 (
+// Equation(s):
+// \datamem|ram~5284_combout = ( \datamem|ram~5273_combout & ( \datamem|ram~5268_combout & ( (!\alu_unit|Mux9~4_combout ) # ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5278_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5283_combout ))) ) )
+// ) # ( !\datamem|ram~5273_combout & ( \datamem|ram~5268_combout & ( (!\alu_unit|Mux9~4_combout & (((!\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5278_combout ))) #
+// (\alu_unit|Mux10~6_combout & (\datamem|ram~5283_combout )))) ) ) ) # ( \datamem|ram~5273_combout & ( !\datamem|ram~5268_combout & ( (!\alu_unit|Mux9~4_combout & (((\alu_unit|Mux10~6_combout )))) # (\alu_unit|Mux9~4_combout &
+// ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5278_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5283_combout )))) ) ) ) # ( !\datamem|ram~5273_combout & ( !\datamem|ram~5268_combout & ( (\alu_unit|Mux9~4_combout &
+// ((!\alu_unit|Mux10~6_combout & ((\datamem|ram~5278_combout ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~5283_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\datamem|ram~5283_combout ),
+ .datac(!\datamem|ram~5278_combout ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~5273_combout ),
+ .dataf(!\datamem|ram~5268_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5284_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5284 .extended_lut = "off";
+defparam \datamem|ram~5284 .lut_mask = 64'h051105BBAF11AFBB;
+defparam \datamem|ram~5284 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N32
+dffeas \datamem|ram~1391 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5611_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1391_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1391 .is_wysiwyg = "true";
+defparam \datamem|ram~1391 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y12_N37
+dffeas \datamem|ram~1903 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5640_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1903_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1903 .is_wysiwyg = "true";
+defparam \datamem|ram~1903 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y17_N31
+dffeas \datamem|ram~1135 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5595_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1135_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1135 .is_wysiwyg = "true";
+defparam \datamem|ram~1135 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X68_Y19_N7
+dffeas \datamem|ram~1647 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5627_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1647_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1647 .is_wysiwyg = "true";
+defparam \datamem|ram~1647 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N12
+cyclonev_lcell_comb \datamem|ram~5233 (
+// Equation(s):
+// \datamem|ram~5233_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1903_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1391_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~1647_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~1135_q ) ) )
+
+ .dataa(!\datamem|ram~1391_q ),
+ .datab(!\datamem|ram~1903_q ),
+ .datac(!\datamem|ram~1135_q ),
+ .datad(!\datamem|ram~1647_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5233_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5233 .extended_lut = "off";
+defparam \datamem|ram~5233 .lut_mask = 64'h0F0F00FF55553333;
+defparam \datamem|ram~5233 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N58
+dffeas \datamem|ram~1199 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5596_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1199_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1199 .is_wysiwyg = "true";
+defparam \datamem|ram~1199 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y19_N28
+dffeas \datamem|ram~1711 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5628_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1711_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1711 .is_wysiwyg = "true";
+defparam \datamem|ram~1711 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y23_N51
+cyclonev_lcell_comb \datamem|ram~1455feeder (
+// Equation(s):
+// \datamem|ram~1455feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1455feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1455feeder .extended_lut = "off";
+defparam \datamem|ram~1455feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1455feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y23_N52
+dffeas \datamem|ram~1455 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1455feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5612_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1455_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1455 .is_wysiwyg = "true";
+defparam \datamem|ram~1455 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y12_N26
+dffeas \datamem|ram~1967 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5644_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1967_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1967 .is_wysiwyg = "true";
+defparam \datamem|ram~1967 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N24
+cyclonev_lcell_comb \datamem|ram~5234 (
+// Equation(s):
+// \datamem|ram~5234_combout = ( \datamem|ram~1967_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1455_q ) ) ) ) # ( !\datamem|ram~1967_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1455_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1967_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1199_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1711_q ))) ) ) ) # ( !\datamem|ram~1967_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1199_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1711_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1199_q ),
+ .datab(!\datamem|ram~1711_q ),
+ .datac(!\datamem|ram~1455_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1967_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5234_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5234 .extended_lut = "off";
+defparam \datamem|ram~5234 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5234 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X52_Y18_N39
+cyclonev_lcell_comb \datamem|ram~1775feeder (
+// Equation(s):
+// \datamem|ram~1775feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1775feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1775feeder .extended_lut = "off";
+defparam \datamem|ram~1775feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1775feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X52_Y18_N40
+dffeas \datamem|ram~1775 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1775feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5629_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1775_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1775 .is_wysiwyg = "true";
+defparam \datamem|ram~1775 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y14_N39
+cyclonev_lcell_comb \datamem|ram~1263feeder (
+// Equation(s):
+// \datamem|ram~1263feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1263feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1263feeder .extended_lut = "off";
+defparam \datamem|ram~1263feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1263feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N41
+dffeas \datamem|ram~1263 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1263feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5597_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1263_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1263 .is_wysiwyg = "true";
+defparam \datamem|ram~1263 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y6_N27
+cyclonev_lcell_comb \datamem|ram~1519feeder (
+// Equation(s):
+// \datamem|ram~1519feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1519feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1519feeder .extended_lut = "off";
+defparam \datamem|ram~1519feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1519feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y6_N28
+dffeas \datamem|ram~1519 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1519feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5613_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1519_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1519 .is_wysiwyg = "true";
+defparam \datamem|ram~1519 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N44
+dffeas \datamem|ram~2031 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5648_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2031_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2031 .is_wysiwyg = "true";
+defparam \datamem|ram~2031 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y14_N42
+cyclonev_lcell_comb \datamem|ram~5235 (
+// Equation(s):
+// \datamem|ram~5235_combout = ( \datamem|ram~2031_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1775_q ) ) ) ) # ( !\datamem|ram~2031_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1775_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2031_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1263_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1519_q ))) ) ) ) # ( !\datamem|ram~2031_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1263_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1519_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1775_q ),
+ .datab(!\datamem|ram~1263_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1519_q ),
+ .datae(!\datamem|ram~2031_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5235_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5235 .extended_lut = "off";
+defparam \datamem|ram~5235 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5235 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N24
+cyclonev_lcell_comb \datamem|ram~1327feeder (
+// Equation(s):
+// \datamem|ram~1327feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1327feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1327feeder .extended_lut = "off";
+defparam \datamem|ram~1327feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1327feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N26
+dffeas \datamem|ram~1327 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1327feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5610_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1327_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1327 .is_wysiwyg = "true";
+defparam \datamem|ram~1327 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N5
+dffeas \datamem|ram~1583 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5626_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1583_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1583 .is_wysiwyg = "true";
+defparam \datamem|ram~1583 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N18
+cyclonev_lcell_comb \datamem|ram~1071feeder (
+// Equation(s):
+// \datamem|ram~1071feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1071feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1071feeder .extended_lut = "off";
+defparam \datamem|ram~1071feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1071feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N19
+dffeas \datamem|ram~1071 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1071feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5594_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1071_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1071 .is_wysiwyg = "true";
+defparam \datamem|ram~1071 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y16_N8
+dffeas \datamem|ram~1839 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5636_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1839_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1839 .is_wysiwyg = "true";
+defparam \datamem|ram~1839 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y16_N6
+cyclonev_lcell_comb \datamem|ram~5232 (
+// Equation(s):
+// \datamem|ram~5232_combout = ( \datamem|ram~1839_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1583_q ) ) ) ) # ( !\datamem|ram~1839_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1583_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1839_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1071_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1327_q )) ) ) ) # ( !\datamem|ram~1839_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1071_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1327_q )) ) ) )
+
+ .dataa(!\datamem|ram~1327_q ),
+ .datab(!\datamem|ram~1583_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1071_q ),
+ .datae(!\datamem|ram~1839_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5232_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5232 .extended_lut = "off";
+defparam \datamem|ram~5232 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5232 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N36
+cyclonev_lcell_comb \datamem|ram~5236 (
+// Equation(s):
+// \datamem|ram~5236_combout = ( \datamem|ram~5235_combout & ( \datamem|ram~5232_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~5233_combout ))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~5234_combout )))) ) ) ) # ( !\datamem|ram~5235_combout & ( \datamem|ram~5232_combout & ( (!\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )) # (\datamem|ram~5233_combout ))) # (\alu_unit|Mux11~4_combout &
+// (((\datamem|ram~5234_combout & !\alu_unit|Mux12~2_combout )))) ) ) ) # ( \datamem|ram~5235_combout & ( !\datamem|ram~5232_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~5233_combout & ((\alu_unit|Mux12~2_combout )))) #
+// (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout ) # (\datamem|ram~5234_combout )))) ) ) ) # ( !\datamem|ram~5235_combout & ( !\datamem|ram~5232_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~5233_combout &
+// ((\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~5234_combout & !\alu_unit|Mux12~2_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~5233_combout ),
+ .datac(!\datamem|ram~5234_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~5235_combout ),
+ .dataf(!\datamem|ram~5232_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5236_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5236 .extended_lut = "off";
+defparam \datamem|ram~5236 .lut_mask = 64'h05220577AF22AF77;
+defparam \datamem|ram~5236 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y13_N15
+cyclonev_lcell_comb \datamem|ram~1727feeder (
+// Equation(s):
+// \datamem|ram~1727feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1727feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1727feeder .extended_lut = "off";
+defparam \datamem|ram~1727feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1727feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N16
+dffeas \datamem|ram~1727 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1727feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5632_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1727_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1727 .is_wysiwyg = "true";
+defparam \datamem|ram~1727 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y12_N52
+dffeas \datamem|ram~1215 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5600_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1215_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1215 .is_wysiwyg = "true";
+defparam \datamem|ram~1215 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y16_N3
+cyclonev_lcell_comb \datamem|ram~1471feeder (
+// Equation(s):
+// \datamem|ram~1471feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1471feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1471feeder .extended_lut = "off";
+defparam \datamem|ram~1471feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1471feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y16_N4
+dffeas \datamem|ram~1471 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1471feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5616_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1471_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1471 .is_wysiwyg = "true";
+defparam \datamem|ram~1471 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y12_N20
+dffeas \datamem|ram~1983 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5645_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1983_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1983 .is_wysiwyg = "true";
+defparam \datamem|ram~1983 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N18
+cyclonev_lcell_comb \datamem|ram~5239 (
+// Equation(s):
+// \datamem|ram~5239_combout = ( \datamem|ram~1983_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1727_q ) ) ) ) # ( !\datamem|ram~1983_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1727_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1983_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1215_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1471_q ))) ) ) ) # ( !\datamem|ram~1983_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1215_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1471_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1727_q ),
+ .datab(!\datamem|ram~1215_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1471_q ),
+ .datae(!\datamem|ram~1983_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5239_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5239 .extended_lut = "off";
+defparam \datamem|ram~5239 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5239 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y17_N10
+dffeas \datamem|ram~1279 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5601_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1279_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1279 .is_wysiwyg = "true";
+defparam \datamem|ram~1279 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y8_N52
+dffeas \datamem|ram~1791 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5633_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1791_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1791 .is_wysiwyg = "true";
+defparam \datamem|ram~1791 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y8_N34
+dffeas \datamem|ram~1535 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5617_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1535_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1535 .is_wysiwyg = "true";
+defparam \datamem|ram~1535 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y9_N44
+dffeas \datamem|ram~2047 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5649_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2047_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2047 .is_wysiwyg = "true";
+defparam \datamem|ram~2047 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y9_N42
+cyclonev_lcell_comb \datamem|ram~5240 (
+// Equation(s):
+// \datamem|ram~5240_combout = ( \datamem|ram~2047_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1791_q ) ) ) ) # ( !\datamem|ram~2047_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1791_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~2047_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1279_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1535_q ))) ) ) ) # ( !\datamem|ram~2047_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~1279_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~1535_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1279_q ),
+ .datab(!\datamem|ram~1791_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1535_q ),
+ .datae(!\datamem|ram~2047_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5240_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5240 .extended_lut = "off";
+defparam \datamem|ram~5240 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5240 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y6_N32
+dffeas \datamem|ram~1151 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5599_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1151_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1151 .is_wysiwyg = "true";
+defparam \datamem|ram~1151 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y9_N51
+cyclonev_lcell_comb \datamem|ram~1663feeder (
+// Equation(s):
+// \datamem|ram~1663feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1663feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1663feeder .extended_lut = "off";
+defparam \datamem|ram~1663feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1663feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y9_N52
+dffeas \datamem|ram~1663 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1663feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5631_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1663_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1663 .is_wysiwyg = "true";
+defparam \datamem|ram~1663 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y6_N30
+cyclonev_lcell_comb \datamem|ram~1407feeder (
+// Equation(s):
+// \datamem|ram~1407feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1407feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1407feeder .extended_lut = "off";
+defparam \datamem|ram~1407feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1407feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y6_N32
+dffeas \datamem|ram~1407 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1407feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5615_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1407_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1407 .is_wysiwyg = "true";
+defparam \datamem|ram~1407 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X72_Y9_N44
+dffeas \datamem|ram~1919 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5641_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1919_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1919 .is_wysiwyg = "true";
+defparam \datamem|ram~1919 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y9_N42
+cyclonev_lcell_comb \datamem|ram~5238 (
+// Equation(s):
+// \datamem|ram~5238_combout = ( \datamem|ram~1919_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1407_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~1919_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~1407_q ) ) ) ) # ( \datamem|ram~1919_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1151_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1663_q ))) ) ) ) # ( !\datamem|ram~1919_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1151_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1663_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1151_q ),
+ .datab(!\datamem|ram~1663_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~1407_q ),
+ .datae(!\datamem|ram~1919_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5238_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5238 .extended_lut = "off";
+defparam \datamem|ram~5238 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5238 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y16_N21
+cyclonev_lcell_comb \datamem|ram~1855feeder (
+// Equation(s):
+// \datamem|ram~1855feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1855feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1855feeder .extended_lut = "off";
+defparam \datamem|ram~1855feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1855feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y16_N22
+dffeas \datamem|ram~1855 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1855feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5637_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1855_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1855 .is_wysiwyg = "true";
+defparam \datamem|ram~1855 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y18_N28
+dffeas \datamem|ram~1343 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5614_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1343_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1343 .is_wysiwyg = "true";
+defparam \datamem|ram~1343 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y11_N56
+dffeas \datamem|ram~1087 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5598_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1087_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1087 .is_wysiwyg = "true";
+defparam \datamem|ram~1087 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y15_N27
+cyclonev_lcell_comb \datamem|ram~1599feeder (
+// Equation(s):
+// \datamem|ram~1599feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1599feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1599feeder .extended_lut = "off";
+defparam \datamem|ram~1599feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1599feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y15_N28
+dffeas \datamem|ram~1599 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1599feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5630_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1599_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1599 .is_wysiwyg = "true";
+defparam \datamem|ram~1599 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y11_N0
+cyclonev_lcell_comb \datamem|ram~5237 (
+// Equation(s):
+// \datamem|ram~5237_combout = ( \alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1855_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( \alu_unit|Mux10~6_combout & ( \datamem|ram~1343_q ) ) ) # ( \alu_unit|Mux9~4_combout & (
+// !\alu_unit|Mux10~6_combout & ( \datamem|ram~1599_q ) ) ) # ( !\alu_unit|Mux9~4_combout & ( !\alu_unit|Mux10~6_combout & ( \datamem|ram~1087_q ) ) )
+
+ .dataa(!\datamem|ram~1855_q ),
+ .datab(!\datamem|ram~1343_q ),
+ .datac(!\datamem|ram~1087_q ),
+ .datad(!\datamem|ram~1599_q ),
+ .datae(!\alu_unit|Mux9~4_combout ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5237_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5237 .extended_lut = "off";
+defparam \datamem|ram~5237 .lut_mask = 64'h0F0F00FF33335555;
+defparam \datamem|ram~5237 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N0
+cyclonev_lcell_comb \datamem|ram~5241 (
+// Equation(s):
+// \datamem|ram~5241_combout = ( \datamem|ram~5238_combout & ( \datamem|ram~5237_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5239_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5240_combout )))) ) )
+// ) # ( !\datamem|ram~5238_combout & ( \datamem|ram~5237_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~5239_combout ))) # (\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout & \datamem|ram~5240_combout
+// )))) ) ) ) # ( \datamem|ram~5238_combout & ( !\datamem|ram~5237_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~5239_combout & (\alu_unit|Mux11~4_combout ))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) #
+// (\datamem|ram~5240_combout )))) ) ) ) # ( !\datamem|ram~5238_combout & ( !\datamem|ram~5237_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5239_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~5240_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5239_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~5240_combout ),
+ .datae(!\datamem|ram~5238_combout ),
+ .dataf(!\datamem|ram~5237_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5241_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5241 .extended_lut = "off";
+defparam \datamem|ram~5241 .lut_mask = 64'h04073437C4C7F4F7;
+defparam \datamem|ram~5241 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X78_Y11_N55
+dffeas \datamem|ram~1567 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5622_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1567_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1567 .is_wysiwyg = "true";
+defparam \datamem|ram~1567 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N49
+dffeas \datamem|ram~1055 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5590_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1055_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1055 .is_wysiwyg = "true";
+defparam \datamem|ram~1055 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N18
+cyclonev_lcell_comb \datamem|ram~1823feeder (
+// Equation(s):
+// \datamem|ram~1823feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1823feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1823feeder .extended_lut = "off";
+defparam \datamem|ram~1823feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1823feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N20
+dffeas \datamem|ram~1823 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1823feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5635_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1823_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1823 .is_wysiwyg = "true";
+defparam \datamem|ram~1823 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X77_Y12_N26
+dffeas \datamem|ram~1311 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5606_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1311_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1311 .is_wysiwyg = "true";
+defparam \datamem|ram~1311 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y12_N24
+cyclonev_lcell_comb \datamem|ram~5227 (
+// Equation(s):
+// \datamem|ram~5227_combout = ( \datamem|ram~1311_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1823_q ) ) ) ) # ( !\datamem|ram~1311_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1823_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1311_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1055_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1567_q )) ) ) ) # ( !\datamem|ram~1311_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1055_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1567_q )) ) ) )
+
+ .dataa(!\datamem|ram~1567_q ),
+ .datab(!\datamem|ram~1055_q ),
+ .datac(!\datamem|ram~1823_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1311_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5227_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5227 .extended_lut = "off";
+defparam \datamem|ram~5227 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~5227 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X77_Y14_N57
+cyclonev_lcell_comb \datamem|ram~1759feeder (
+// Equation(s):
+// \datamem|ram~1759feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1759feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1759feeder .extended_lut = "off";
+defparam \datamem|ram~1759feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1759feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X77_Y14_N58
+dffeas \datamem|ram~1759 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1759feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5625_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1759_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1759 .is_wysiwyg = "true";
+defparam \datamem|ram~1759 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N26
+dffeas \datamem|ram~1503 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5609_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1503_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1503 .is_wysiwyg = "true";
+defparam \datamem|ram~1503 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y15_N31
+dffeas \datamem|ram~1247 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5593_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1247_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1247 .is_wysiwyg = "true";
+defparam \datamem|ram~1247 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y15_N47
+dffeas \datamem|ram~2015 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5647_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2015_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2015 .is_wysiwyg = "true";
+defparam \datamem|ram~2015 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y15_N45
+cyclonev_lcell_comb \datamem|ram~5230 (
+// Equation(s):
+// \datamem|ram~5230_combout = ( \datamem|ram~2015_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~1503_q ) ) ) ) # ( !\datamem|ram~2015_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1503_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2015_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1247_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1759_q )) ) ) ) # ( !\datamem|ram~2015_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1247_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1759_q )) ) ) )
+
+ .dataa(!\datamem|ram~1759_q ),
+ .datab(!\datamem|ram~1503_q ),
+ .datac(!\datamem|ram~1247_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~2015_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5230_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5230 .extended_lut = "off";
+defparam \datamem|ram~5230 .lut_mask = 64'h0F550F55330033FF;
+defparam \datamem|ram~5230 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X74_Y11_N42
+cyclonev_lcell_comb \datamem|ram~1631feeder (
+// Equation(s):
+// \datamem|ram~1631feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1631feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1631feeder .extended_lut = "off";
+defparam \datamem|ram~1631feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1631feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y11_N43
+dffeas \datamem|ram~1631 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1631feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5623_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1631_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1631 .is_wysiwyg = "true";
+defparam \datamem|ram~1631 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N14
+dffeas \datamem|ram~1887 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5639_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1887_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1887 .is_wysiwyg = "true";
+defparam \datamem|ram~1887 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N50
+dffeas \datamem|ram~1119 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5591_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1119_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1119 .is_wysiwyg = "true";
+defparam \datamem|ram~1119 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X73_Y15_N56
+dffeas \datamem|ram~1375 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5607_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1375_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1375 .is_wysiwyg = "true";
+defparam \datamem|ram~1375 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y15_N54
+cyclonev_lcell_comb \datamem|ram~5228 (
+// Equation(s):
+// \datamem|ram~5228_combout = ( \datamem|ram~1375_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1887_q ) ) ) ) # ( !\datamem|ram~1375_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1887_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1375_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1119_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1631_q )) ) ) ) # ( !\datamem|ram~1375_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~1119_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~1631_q )) ) ) )
+
+ .dataa(!\datamem|ram~1631_q ),
+ .datab(!\datamem|ram~1887_q ),
+ .datac(!\datamem|ram~1119_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1375_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5228_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5228 .extended_lut = "off";
+defparam \datamem|ram~5228 .lut_mask = 64'h0F550F550033FF33;
+defparam \datamem|ram~5228 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y17_N19
+dffeas \datamem|ram~1439 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5608_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1439_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1439 .is_wysiwyg = "true";
+defparam \datamem|ram~1439 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N44
+dffeas \datamem|ram~1695 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5624_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1695_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1695 .is_wysiwyg = "true";
+defparam \datamem|ram~1695 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N41
+dffeas \datamem|ram~1183 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5592_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1183_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1183 .is_wysiwyg = "true";
+defparam \datamem|ram~1183 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y19_N56
+dffeas \datamem|ram~1951 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5643_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1951_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1951 .is_wysiwyg = "true";
+defparam \datamem|ram~1951 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y19_N54
+cyclonev_lcell_comb \datamem|ram~5229 (
+// Equation(s):
+// \datamem|ram~5229_combout = ( \datamem|ram~1951_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1695_q ) ) ) ) # ( !\datamem|ram~1951_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1695_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1951_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1183_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1439_q )) ) ) ) # ( !\datamem|ram~1951_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1183_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1439_q )) ) ) )
+
+ .dataa(!\datamem|ram~1439_q ),
+ .datab(!\datamem|ram~1695_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1183_q ),
+ .datae(!\datamem|ram~1951_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5229_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5229 .extended_lut = "off";
+defparam \datamem|ram~5229 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5229 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N54
+cyclonev_lcell_comb \datamem|ram~5231 (
+// Equation(s):
+// \datamem|ram~5231_combout = ( \datamem|ram~5228_combout & ( \datamem|ram~5229_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )) # (\datamem|ram~5227_combout ))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) #
+// (\datamem|ram~5230_combout )))) ) ) ) # ( !\datamem|ram~5228_combout & ( \datamem|ram~5229_combout & ( (!\alu_unit|Mux12~2_combout & (((\alu_unit|Mux11~4_combout )) # (\datamem|ram~5227_combout ))) # (\alu_unit|Mux12~2_combout &
+// (((\datamem|ram~5230_combout & \alu_unit|Mux11~4_combout )))) ) ) ) # ( \datamem|ram~5228_combout & ( !\datamem|ram~5229_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~5227_combout & ((!\alu_unit|Mux11~4_combout )))) #
+// (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) # (\datamem|ram~5230_combout )))) ) ) ) # ( !\datamem|ram~5228_combout & ( !\datamem|ram~5229_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~5227_combout &
+// ((!\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~5230_combout & \alu_unit|Mux11~4_combout )))) ) ) )
+
+ .dataa(!\datamem|ram~5227_combout ),
+ .datab(!\alu_unit|Mux12~2_combout ),
+ .datac(!\datamem|ram~5230_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~5228_combout ),
+ .dataf(!\datamem|ram~5229_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5231_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5231 .extended_lut = "off";
+defparam \datamem|ram~5231 .lut_mask = 64'h4403770344CF77CF;
+defparam \datamem|ram~5231 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y14_N51
+cyclonev_lcell_comb \datamem|ram~1807feeder (
+// Equation(s):
+// \datamem|ram~1807feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1807feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1807feeder .extended_lut = "off";
+defparam \datamem|ram~1807feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1807feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y14_N52
+dffeas \datamem|ram~1807 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1807feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5634_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1807_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1807 .is_wysiwyg = "true";
+defparam \datamem|ram~1807 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N34
+dffeas \datamem|ram~1039 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5586_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1039_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1039 .is_wysiwyg = "true";
+defparam \datamem|ram~1039 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X72_Y8_N45
+cyclonev_lcell_comb \datamem|ram~1551feeder (
+// Equation(s):
+// \datamem|ram~1551feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1551feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1551feeder .extended_lut = "off";
+defparam \datamem|ram~1551feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1551feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X72_Y8_N46
+dffeas \datamem|ram~1551 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1551feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5618_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1551_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1551 .is_wysiwyg = "true";
+defparam \datamem|ram~1551 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y12_N32
+dffeas \datamem|ram~1295 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5602_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1295_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1295 .is_wysiwyg = "true";
+defparam \datamem|ram~1295 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N30
+cyclonev_lcell_comb \datamem|ram~5222 (
+// Equation(s):
+// \datamem|ram~5222_combout = ( \datamem|ram~1295_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1551_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1807_q )) ) ) ) # ( !\datamem|ram~1295_q & (
+// \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1551_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1807_q )) ) ) ) # ( \datamem|ram~1295_q & ( !\alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) #
+// (\datamem|ram~1039_q ) ) ) ) # ( !\datamem|ram~1295_q & ( !\alu_unit|Mux9~4_combout & ( (\datamem|ram~1039_q & !\alu_unit|Mux10~6_combout ) ) ) )
+
+ .dataa(!\datamem|ram~1807_q ),
+ .datab(!\datamem|ram~1039_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1551_q ),
+ .datae(!\datamem|ram~1295_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5222_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5222 .extended_lut = "off";
+defparam \datamem|ram~5222 .lut_mask = 64'h30303F3F05F505F5;
+defparam \datamem|ram~5222 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y6_N21
+cyclonev_lcell_comb \datamem|ram~1487feeder (
+// Equation(s):
+// \datamem|ram~1487feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1487feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1487feeder .extended_lut = "off";
+defparam \datamem|ram~1487feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1487feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y6_N22
+dffeas \datamem|ram~1487 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1487feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5605_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1487_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1487 .is_wysiwyg = "true";
+defparam \datamem|ram~1487 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N19
+dffeas \datamem|ram~1231 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5589_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1231_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1231 .is_wysiwyg = "true";
+defparam \datamem|ram~1231 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y14_N7
+dffeas \datamem|ram~1743 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5621_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1743_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1743 .is_wysiwyg = "true";
+defparam \datamem|ram~1743 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y12_N41
+dffeas \datamem|ram~1999 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5646_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1999_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1999 .is_wysiwyg = "true";
+defparam \datamem|ram~1999 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y12_N39
+cyclonev_lcell_comb \datamem|ram~5225 (
+// Equation(s):
+// \datamem|ram~5225_combout = ( \datamem|ram~1999_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~1743_q ) ) ) ) # ( !\datamem|ram~1999_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1743_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~1999_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1231_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1487_q )) ) ) ) # ( !\datamem|ram~1999_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1231_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1487_q )) ) ) )
+
+ .dataa(!\datamem|ram~1487_q ),
+ .datab(!\datamem|ram~1231_q ),
+ .datac(!\datamem|ram~1743_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~1999_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5225_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5225 .extended_lut = "off";
+defparam \datamem|ram~5225 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5225 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N36
+cyclonev_lcell_comb \datamem|ram~1871feeder (
+// Equation(s):
+// \datamem|ram~1871feeder_combout = \reg_file|reg_read_data_2[15]~15_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1871feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1871feeder .extended_lut = "off";
+defparam \datamem|ram~1871feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1871feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N38
+dffeas \datamem|ram~1871 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1871feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5638_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1871_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1871 .is_wysiwyg = "true";
+defparam \datamem|ram~1871 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X74_Y10_N58
+dffeas \datamem|ram~1103 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5587_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1103_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1103 .is_wysiwyg = "true";
+defparam \datamem|ram~1103 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N0
+cyclonev_lcell_comb \datamem|ram~1615feeder (
+// Equation(s):
+// \datamem|ram~1615feeder_combout = \reg_file|reg_read_data_2[15]~15_combout
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1615feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1615feeder .extended_lut = "off";
+defparam \datamem|ram~1615feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F;
+defparam \datamem|ram~1615feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N2
+dffeas \datamem|ram~1615 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1615feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5619_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1615_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1615 .is_wysiwyg = "true";
+defparam \datamem|ram~1615 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y12_N50
+dffeas \datamem|ram~1359 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5603_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1359_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1359 .is_wysiwyg = "true";
+defparam \datamem|ram~1359 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y12_N48
+cyclonev_lcell_comb \datamem|ram~5223 (
+// Equation(s):
+// \datamem|ram~5223_combout = ( \datamem|ram~1359_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout ) # (\datamem|ram~1871_q ) ) ) ) # ( !\datamem|ram~1359_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~1871_q &
+// \alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~1359_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1103_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1615_q ))) ) ) ) # ( !\datamem|ram~1359_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~1103_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~1615_q ))) ) ) )
+
+ .dataa(!\datamem|ram~1871_q ),
+ .datab(!\datamem|ram~1103_q ),
+ .datac(!\datamem|ram~1615_q ),
+ .datad(!\alu_unit|Mux9~4_combout ),
+ .datae(!\datamem|ram~1359_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5223_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5223 .extended_lut = "off";
+defparam \datamem|ram~5223 .lut_mask = 64'h330F330F0055FF55;
+defparam \datamem|ram~5223 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y18_N47
+dffeas \datamem|ram~1423 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5604_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1423_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1423 .is_wysiwyg = "true";
+defparam \datamem|ram~1423 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N30
+cyclonev_lcell_comb \datamem|ram~1167feeder (
+// Equation(s):
+// \datamem|ram~1167feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1167feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1167feeder .extended_lut = "off";
+defparam \datamem|ram~1167feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1167feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N32
+dffeas \datamem|ram~1167 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1167feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5588_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1167_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1167 .is_wysiwyg = "true";
+defparam \datamem|ram~1167 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N54
+cyclonev_lcell_comb \datamem|ram~1679feeder (
+// Equation(s):
+// \datamem|ram~1679feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~1679feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~1679feeder .extended_lut = "off";
+defparam \datamem|ram~1679feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~1679feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N55
+dffeas \datamem|ram~1679 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~1679feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5620_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1679_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1679 .is_wysiwyg = "true";
+defparam \datamem|ram~1679 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X71_Y19_N26
+dffeas \datamem|ram~1935 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5642_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1935_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1935 .is_wysiwyg = "true";
+defparam \datamem|ram~1935 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y19_N24
+cyclonev_lcell_comb \datamem|ram~5224 (
+// Equation(s):
+// \datamem|ram~5224_combout = ( \datamem|ram~1935_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~1679_q ) # (\alu_unit|Mux10~6_combout ) ) ) ) # ( !\datamem|ram~1935_q & ( \alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout &
+// \datamem|ram~1679_q ) ) ) ) # ( \datamem|ram~1935_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1167_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1423_q )) ) ) ) # ( !\datamem|ram~1935_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & ((\datamem|ram~1167_q ))) # (\alu_unit|Mux10~6_combout & (\datamem|ram~1423_q )) ) ) )
+
+ .dataa(!\datamem|ram~1423_q ),
+ .datab(!\datamem|ram~1167_q ),
+ .datac(!\alu_unit|Mux10~6_combout ),
+ .datad(!\datamem|ram~1679_q ),
+ .datae(!\datamem|ram~1935_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5224_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5224 .extended_lut = "off";
+defparam \datamem|ram~5224 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5224 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N42
+cyclonev_lcell_comb \datamem|ram~5226 (
+// Equation(s):
+// \datamem|ram~5226_combout = ( \datamem|ram~5223_combout & ( \datamem|ram~5224_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) # (\datamem|ram~5222_combout ))) # (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~5225_combout )))) ) ) ) # ( !\datamem|ram~5223_combout & ( \datamem|ram~5224_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~5222_combout & ((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout &
+// (((!\alu_unit|Mux12~2_combout ) # (\datamem|ram~5225_combout )))) ) ) ) # ( \datamem|ram~5223_combout & ( !\datamem|ram~5224_combout & ( (!\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )) # (\datamem|ram~5222_combout ))) #
+// (\alu_unit|Mux11~4_combout & (((\datamem|ram~5225_combout & \alu_unit|Mux12~2_combout )))) ) ) ) # ( !\datamem|ram~5223_combout & ( !\datamem|ram~5224_combout & ( (!\alu_unit|Mux11~4_combout & (\datamem|ram~5222_combout &
+// ((!\alu_unit|Mux12~2_combout )))) # (\alu_unit|Mux11~4_combout & (((\datamem|ram~5225_combout & \alu_unit|Mux12~2_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux11~4_combout ),
+ .datab(!\datamem|ram~5222_combout ),
+ .datac(!\datamem|ram~5225_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~5223_combout ),
+ .dataf(!\datamem|ram~5224_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5226_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5226 .extended_lut = "off";
+defparam \datamem|ram~5226 .lut_mask = 64'h220522AF770577AF;
+defparam \datamem|ram~5226 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y12_N6
+cyclonev_lcell_comb \datamem|ram~5242 (
+// Equation(s):
+// \datamem|ram~5242_combout = ( \datamem|ram~5231_combout & ( \datamem|ram~5226_combout & ( (!\alu_unit|Mux13~4_combout ) # ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5236_combout )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~5241_combout )))) ) )
+// ) # ( !\datamem|ram~5231_combout & ( \datamem|ram~5226_combout & ( (!\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout )) # (\datamem|ram~5236_combout ))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~5241_combout & \alu_unit|Mux13~4_combout
+// )))) ) ) ) # ( \datamem|ram~5231_combout & ( !\datamem|ram~5226_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~5236_combout & ((\alu_unit|Mux13~4_combout )))) # (\alu_unit|Mux14~6_combout & (((!\alu_unit|Mux13~4_combout ) #
+// (\datamem|ram~5241_combout )))) ) ) ) # ( !\datamem|ram~5231_combout & ( !\datamem|ram~5226_combout & ( (\alu_unit|Mux13~4_combout & ((!\alu_unit|Mux14~6_combout & (\datamem|ram~5236_combout )) # (\alu_unit|Mux14~6_combout &
+// ((\datamem|ram~5241_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5236_combout ),
+ .datab(!\alu_unit|Mux14~6_combout ),
+ .datac(!\datamem|ram~5241_combout ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~5231_combout ),
+ .dataf(!\datamem|ram~5226_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5242_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5242 .extended_lut = "off";
+defparam \datamem|ram~5242 .lut_mask = 64'h00473347CC47FF47;
+defparam \datamem|ram~5242 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y20_N55
+dffeas \datamem|ram~2351 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5684_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2351_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2351 .is_wysiwyg = "true";
+defparam \datamem|ram~2351 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y4_N57
+cyclonev_lcell_comb \datamem|ram~2319feeder (
+// Equation(s):
+// \datamem|ram~2319feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2319feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2319feeder .extended_lut = "off";
+defparam \datamem|ram~2319feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2319feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y4_N58
+dffeas \datamem|ram~2319 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2319feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5652_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2319_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2319 .is_wysiwyg = "true";
+defparam \datamem|ram~2319 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y14_N46
+dffeas \datamem|ram~2335 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5668_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2335_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2335 .is_wysiwyg = "true";
+defparam \datamem|ram~2335 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y10_N26
+dffeas \datamem|ram~2367 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5703_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2367_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2367 .is_wysiwyg = "true";
+defparam \datamem|ram~2367 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N24
+cyclonev_lcell_comb \datamem|ram~5244 (
+// Equation(s):
+// \datamem|ram~5244_combout = ( \datamem|ram~2367_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2335_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2367_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2335_q ) ) ) ) # ( \datamem|ram~2367_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2319_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2351_q )) ) ) ) # ( !\datamem|ram~2367_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2319_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2351_q )) ) ) )
+
+ .dataa(!\datamem|ram~2351_q ),
+ .datab(!\datamem|ram~2319_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2335_q ),
+ .datae(!\datamem|ram~2367_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5244_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5244 .extended_lut = "off";
+defparam \datamem|ram~5244 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5244 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N22
+dffeas \datamem|ram~2863 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5686_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2863_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2863 .is_wysiwyg = "true";
+defparam \datamem|ram~2863 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N10
+dffeas \datamem|ram~2831 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5654_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2831_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2831 .is_wysiwyg = "true";
+defparam \datamem|ram~2831 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y12_N1
+dffeas \datamem|ram~2847 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5670_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2847_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2847 .is_wysiwyg = "true";
+defparam \datamem|ram~2847 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y10_N32
+dffeas \datamem|ram~2879 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5711_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2879_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2879 .is_wysiwyg = "true";
+defparam \datamem|ram~2879 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N30
+cyclonev_lcell_comb \datamem|ram~5246 (
+// Equation(s):
+// \datamem|ram~5246_combout = ( \datamem|ram~2879_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2847_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2879_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2847_q ) ) ) ) # ( \datamem|ram~2879_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2831_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2863_q )) ) ) ) # ( !\datamem|ram~2879_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2831_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2863_q )) ) ) )
+
+ .dataa(!\alu_unit|Mux13~4_combout ),
+ .datab(!\datamem|ram~2863_q ),
+ .datac(!\datamem|ram~2831_q ),
+ .datad(!\datamem|ram~2847_q ),
+ .datae(!\datamem|ram~2879_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5246_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5246 .extended_lut = "off";
+defparam \datamem|ram~5246 .lut_mask = 64'h1B1B1B1B00AA55FF;
+defparam \datamem|ram~5246 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y10_N50
+dffeas \datamem|ram~2623 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5707_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2623_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2623 .is_wysiwyg = "true";
+defparam \datamem|ram~2623 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y9_N47
+dffeas \datamem|ram~2607 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5685_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2607_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2607 .is_wysiwyg = "true";
+defparam \datamem|ram~2607 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y10_N52
+dffeas \datamem|ram~2591 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5669_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2591_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2591 .is_wysiwyg = "true";
+defparam \datamem|ram~2591 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y14_N5
+dffeas \datamem|ram~2575 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5653_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2575_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2575 .is_wysiwyg = "true";
+defparam \datamem|ram~2575 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N54
+cyclonev_lcell_comb \datamem|ram~5245 (
+// Equation(s):
+// \datamem|ram~5245_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2623_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~2591_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~2607_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~2575_q ) ) )
+
+ .dataa(!\datamem|ram~2623_q ),
+ .datab(!\datamem|ram~2607_q ),
+ .datac(!\datamem|ram~2591_q ),
+ .datad(!\datamem|ram~2575_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5245_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5245 .extended_lut = "off";
+defparam \datamem|ram~5245 .lut_mask = 64'h00FF33330F0F5555;
+defparam \datamem|ram~5245 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N22
+dffeas \datamem|ram~2079 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5667_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2079_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2079 .is_wysiwyg = "true";
+defparam \datamem|ram~2079 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N57
+cyclonev_lcell_comb \datamem|ram~2063feeder (
+// Equation(s):
+// \datamem|ram~2063feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2063feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2063feeder .extended_lut = "off";
+defparam \datamem|ram~2063feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2063feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N59
+dffeas \datamem|ram~2063 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2063feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5651_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2063_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2063 .is_wysiwyg = "true";
+defparam \datamem|ram~2063 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y9_N37
+dffeas \datamem|ram~2095 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5683_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2095_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2095 .is_wysiwyg = "true";
+defparam \datamem|ram~2095 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y10_N38
+dffeas \datamem|ram~2111 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5699_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2111_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2111 .is_wysiwyg = "true";
+defparam \datamem|ram~2111 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y10_N36
+cyclonev_lcell_comb \datamem|ram~5243 (
+// Equation(s):
+// \datamem|ram~5243_combout = ( \datamem|ram~2111_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2079_q ) ) ) ) # ( !\datamem|ram~2111_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2079_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2111_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2063_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2095_q ))) ) ) ) # ( !\datamem|ram~2111_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2063_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2095_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2079_q ),
+ .datab(!\datamem|ram~2063_q ),
+ .datac(!\datamem|ram~2095_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2111_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5243_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5243 .extended_lut = "off";
+defparam \datamem|ram~5243 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5243 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N18
+cyclonev_lcell_comb \datamem|ram~5247 (
+// Equation(s):
+// \datamem|ram~5247_combout = ( \datamem|ram~5245_combout & ( \datamem|ram~5243_combout & ( (!\alu_unit|Mux10~6_combout ) # ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5244_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5246_combout )))) ) ) )
+// # ( !\datamem|ram~5245_combout & ( \datamem|ram~5243_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & (\datamem|ram~5244_combout )) # (\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5246_combout ))))) ) ) ) # ( \datamem|ram~5245_combout & ( !\datamem|ram~5243_combout & ( (!\alu_unit|Mux10~6_combout & (\alu_unit|Mux9~4_combout )) # (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5244_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5246_combout ))))) ) ) ) # ( !\datamem|ram~5245_combout & ( !\datamem|ram~5243_combout & ( (\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5244_combout )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~5246_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5244_combout ),
+ .datad(!\datamem|ram~5246_combout ),
+ .datae(!\datamem|ram~5245_combout ),
+ .dataf(!\datamem|ram~5243_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5247_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5247 .extended_lut = "off";
+defparam \datamem|ram~5247 .lut_mask = 64'h041526378C9DAEBF;
+defparam \datamem|ram~5247 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N56
+dffeas \datamem|ram~2159 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5687_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2159_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2159 .is_wysiwyg = "true";
+defparam \datamem|ram~2159 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N44
+dffeas \datamem|ram~2127 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5655_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2127_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2127 .is_wysiwyg = "true";
+defparam \datamem|ram~2127 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X48_Y14_N38
+dffeas \datamem|ram~2143 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5671_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2143_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2143 .is_wysiwyg = "true";
+defparam \datamem|ram~2143 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y8_N38
+dffeas \datamem|ram~2175 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5700_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2175_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2175 .is_wysiwyg = "true";
+defparam \datamem|ram~2175 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y8_N36
+cyclonev_lcell_comb \datamem|ram~5248 (
+// Equation(s):
+// \datamem|ram~5248_combout = ( \datamem|ram~2175_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2143_q ) ) ) ) # ( !\datamem|ram~2175_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2143_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2175_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2127_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2159_q )) ) ) ) # ( !\datamem|ram~2175_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2127_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2159_q )) ) ) )
+
+ .dataa(!\datamem|ram~2159_q ),
+ .datab(!\datamem|ram~2127_q ),
+ .datac(!\datamem|ram~2143_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~2175_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5248_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5248 .extended_lut = "off";
+defparam \datamem|ram~5248 .lut_mask = 64'h335533550F000FFF;
+defparam \datamem|ram~5248 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y8_N3
+cyclonev_lcell_comb \datamem|ram~2415feeder (
+// Equation(s):
+// \datamem|ram~2415feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2415feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2415feeder .extended_lut = "off";
+defparam \datamem|ram~2415feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2415feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y8_N4
+dffeas \datamem|ram~2415 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2415feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5688_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2415_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2415 .is_wysiwyg = "true";
+defparam \datamem|ram~2415 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y19_N52
+dffeas \datamem|ram~2383 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5656_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2383_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2383 .is_wysiwyg = "true";
+defparam \datamem|ram~2383 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N59
+dffeas \datamem|ram~2399 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5672_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2399_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2399 .is_wysiwyg = "true";
+defparam \datamem|ram~2399 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y8_N20
+dffeas \datamem|ram~2431 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5704_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2431_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2431 .is_wysiwyg = "true";
+defparam \datamem|ram~2431 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y8_N18
+cyclonev_lcell_comb \datamem|ram~5249 (
+// Equation(s):
+// \datamem|ram~5249_combout = ( \datamem|ram~2431_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2415_q ) ) ) ) # ( !\datamem|ram~2431_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2415_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2431_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2383_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2399_q ))) ) ) ) # ( !\datamem|ram~2431_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2383_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2399_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2415_q ),
+ .datab(!\datamem|ram~2383_q ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~2399_q ),
+ .datae(!\datamem|ram~2431_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5249_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5249 .extended_lut = "off";
+defparam \datamem|ram~5249 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5249 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N25
+dffeas \datamem|ram~2639 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5657_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2639_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2639 .is_wysiwyg = "true";
+defparam \datamem|ram~2639 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y12_N51
+cyclonev_lcell_comb \datamem|ram~2655feeder (
+// Equation(s):
+// \datamem|ram~2655feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2655feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2655feeder .extended_lut = "off";
+defparam \datamem|ram~2655feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2655feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y12_N52
+dffeas \datamem|ram~2655 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2655feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5673_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2655_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2655 .is_wysiwyg = "true";
+defparam \datamem|ram~2655 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N55
+dffeas \datamem|ram~2671 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5689_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2671_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2671 .is_wysiwyg = "true";
+defparam \datamem|ram~2671 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y8_N50
+dffeas \datamem|ram~2687 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5708_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2687_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2687 .is_wysiwyg = "true";
+defparam \datamem|ram~2687 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y8_N48
+cyclonev_lcell_comb \datamem|ram~5250 (
+// Equation(s):
+// \datamem|ram~5250_combout = ( \datamem|ram~2687_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2671_q ) ) ) ) # ( !\datamem|ram~2687_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2671_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2687_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2639_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2655_q ))) ) ) ) # ( !\datamem|ram~2687_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~2639_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~2655_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2639_q ),
+ .datab(!\datamem|ram~2655_q ),
+ .datac(!\datamem|ram~2671_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2687_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5250_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5250 .extended_lut = "off";
+defparam \datamem|ram~5250 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5250 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y14_N17
+dffeas \datamem|ram~2927 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5690_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2927_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2927 .is_wysiwyg = "true";
+defparam \datamem|ram~2927 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y14_N42
+cyclonev_lcell_comb \datamem|ram~2911feeder (
+// Equation(s):
+// \datamem|ram~2911feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2911feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2911feeder .extended_lut = "off";
+defparam \datamem|ram~2911feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2911feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X51_Y14_N43
+dffeas \datamem|ram~2911 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2911feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5674_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2911_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2911 .is_wysiwyg = "true";
+defparam \datamem|ram~2911 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y11_N46
+dffeas \datamem|ram~2895 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5658_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2895_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2895 .is_wysiwyg = "true";
+defparam \datamem|ram~2895 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y14_N56
+dffeas \datamem|ram~2943 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5712_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2943_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2943 .is_wysiwyg = "true";
+defparam \datamem|ram~2943 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y14_N54
+cyclonev_lcell_comb \datamem|ram~5251 (
+// Equation(s):
+// \datamem|ram~5251_combout = ( \datamem|ram~2943_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~2927_q ) ) ) ) # ( !\datamem|ram~2943_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~2927_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~2943_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2895_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2911_q )) ) ) ) # ( !\datamem|ram~2943_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & ((\datamem|ram~2895_q ))) # (\alu_unit|Mux14~6_combout & (\datamem|ram~2911_q )) ) ) )
+
+ .dataa(!\datamem|ram~2927_q ),
+ .datab(!\datamem|ram~2911_q ),
+ .datac(!\datamem|ram~2895_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~2943_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5251_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5251 .extended_lut = "off";
+defparam \datamem|ram~5251 .lut_mask = 64'h0F330F33550055FF;
+defparam \datamem|ram~5251 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N0
+cyclonev_lcell_comb \datamem|ram~5252 (
+// Equation(s):
+// \datamem|ram~5252_combout = ( \datamem|ram~5250_combout & ( \datamem|ram~5251_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5248_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5249_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~5250_combout & ( \datamem|ram~5251_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~5248_combout ))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~5249_combout )) # (\alu_unit|Mux9~4_combout )))
+// ) ) ) # ( \datamem|ram~5250_combout & ( !\datamem|ram~5251_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~5248_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout &
+// ((\datamem|ram~5249_combout )))) ) ) ) # ( !\datamem|ram~5250_combout & ( !\datamem|ram~5251_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5248_combout )) # (\alu_unit|Mux10~6_combout &
+// ((\datamem|ram~5249_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5248_combout ),
+ .datad(!\datamem|ram~5249_combout ),
+ .datae(!\datamem|ram~5250_combout ),
+ .dataf(!\datamem|ram~5251_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5252_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5252 .extended_lut = "off";
+defparam \datamem|ram~5252 .lut_mask = 64'h084C2A6E195D3B7F;
+defparam \datamem|ram~5252 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y9_N16
+dffeas \datamem|ram~2783 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5681_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2783_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2783 .is_wysiwyg = "true";
+defparam \datamem|ram~2783 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y15_N4
+dffeas \datamem|ram~2799 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5697_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2799_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2799 .is_wysiwyg = "true";
+defparam \datamem|ram~2799 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y9_N16
+dffeas \datamem|ram~2767 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5665_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2767_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2767 .is_wysiwyg = "true";
+defparam \datamem|ram~2767 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y18_N2
+dffeas \datamem|ram~2815 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5710_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2815_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2815 .is_wysiwyg = "true";
+defparam \datamem|ram~2815 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y18_N0
+cyclonev_lcell_comb \datamem|ram~5260 (
+// Equation(s):
+// \datamem|ram~5260_combout = ( \datamem|ram~2815_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2783_q ) ) ) ) # ( !\datamem|ram~2815_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2783_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2815_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2767_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2799_q )) ) ) ) # ( !\datamem|ram~2815_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2767_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2799_q )) ) ) )
+
+ .dataa(!\datamem|ram~2783_q ),
+ .datab(!\datamem|ram~2799_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2767_q ),
+ .datae(!\datamem|ram~2815_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5260_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5260 .extended_lut = "off";
+defparam \datamem|ram~5260 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5260 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y17_N40
+dffeas \datamem|ram~2287 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5695_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2287_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2287 .is_wysiwyg = "true";
+defparam \datamem|ram~2287 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y23_N0
+cyclonev_lcell_comb \datamem|ram~2271feeder (
+// Equation(s):
+// \datamem|ram~2271feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2271feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2271feeder .extended_lut = "off";
+defparam \datamem|ram~2271feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2271feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y23_N1
+dffeas \datamem|ram~2271 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2271feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5679_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2271_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2271 .is_wysiwyg = "true";
+defparam \datamem|ram~2271 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y13_N10
+dffeas \datamem|ram~2255 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5663_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2255_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2255 .is_wysiwyg = "true";
+defparam \datamem|ram~2255 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y18_N44
+dffeas \datamem|ram~2303 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5702_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2303_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2303 .is_wysiwyg = "true";
+defparam \datamem|ram~2303 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y18_N42
+cyclonev_lcell_comb \datamem|ram~5258 (
+// Equation(s):
+// \datamem|ram~5258_combout = ( \datamem|ram~2303_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~2271_q ) ) ) ) # ( !\datamem|ram~2303_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2271_q &
+// !\alu_unit|Mux13~4_combout ) ) ) ) # ( \datamem|ram~2303_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2255_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2287_q )) ) ) ) # ( !\datamem|ram~2303_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & ((\datamem|ram~2255_q ))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~2287_q )) ) ) )
+
+ .dataa(!\datamem|ram~2287_q ),
+ .datab(!\datamem|ram~2271_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2255_q ),
+ .datae(!\datamem|ram~2303_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5258_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5258 .extended_lut = "off";
+defparam \datamem|ram~5258 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5258 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X62_Y21_N22
+dffeas \datamem|ram~2511 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5664_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2511_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2511 .is_wysiwyg = "true";
+defparam \datamem|ram~2511 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y21_N0
+cyclonev_lcell_comb \datamem|ram~2543feeder (
+// Equation(s):
+// \datamem|ram~2543feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2543feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2543feeder .extended_lut = "off";
+defparam \datamem|ram~2543feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2543feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y21_N1
+dffeas \datamem|ram~2543 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2543feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5696_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2543_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2543 .is_wysiwyg = "true";
+defparam \datamem|ram~2543 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y19_N16
+dffeas \datamem|ram~2527 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5680_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2527_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2527 .is_wysiwyg = "true";
+defparam \datamem|ram~2527 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y18_N38
+dffeas \datamem|ram~2559 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5706_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2559_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2559 .is_wysiwyg = "true";
+defparam \datamem|ram~2559 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y18_N36
+cyclonev_lcell_comb \datamem|ram~5259 (
+// Equation(s):
+// \datamem|ram~5259_combout = ( \datamem|ram~2559_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~2527_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~2559_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout &
+// \datamem|ram~2527_q ) ) ) ) # ( \datamem|ram~2559_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2511_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2543_q ))) ) ) ) # ( !\datamem|ram~2559_q & (
+// !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~2511_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~2543_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2511_q ),
+ .datab(!\datamem|ram~2543_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~2527_q ),
+ .datae(!\datamem|ram~2559_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5259_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5259 .extended_lut = "off";
+defparam \datamem|ram~5259 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5259 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N35
+dffeas \datamem|ram~3055 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5698_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3055_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3055 .is_wysiwyg = "true";
+defparam \datamem|ram~3055 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y20_N53
+dffeas \datamem|ram~3023 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5666_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3023_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3023 .is_wysiwyg = "true";
+defparam \datamem|ram~3023 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X71_Y20_N15
+cyclonev_lcell_comb \datamem|ram~3039feeder (
+// Equation(s):
+// \datamem|ram~3039feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~3039feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~3039feeder .extended_lut = "off";
+defparam \datamem|ram~3039feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~3039feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X71_Y20_N16
+dffeas \datamem|ram~3039 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~3039feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5682_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3039_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3039 .is_wysiwyg = "true";
+defparam \datamem|ram~3039 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y20_N8
+dffeas \datamem|ram~3071 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5714_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3071_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3071 .is_wysiwyg = "true";
+defparam \datamem|ram~3071 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y20_N6
+cyclonev_lcell_comb \datamem|ram~5261 (
+// Equation(s):
+// \datamem|ram~5261_combout = ( \datamem|ram~3071_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~3055_q ) ) ) ) # ( !\datamem|ram~3071_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~3055_q &
+// !\alu_unit|Mux14~6_combout ) ) ) ) # ( \datamem|ram~3071_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3023_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3039_q ))) ) ) ) # ( !\datamem|ram~3071_q & (
+// !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~3023_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~3039_q ))) ) ) )
+
+ .dataa(!\datamem|ram~3055_q ),
+ .datab(!\datamem|ram~3023_q ),
+ .datac(!\datamem|ram~3039_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~3071_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5261_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5261 .extended_lut = "off";
+defparam \datamem|ram~5261 .lut_mask = 64'h330F330F550055FF;
+defparam \datamem|ram~5261 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y18_N48
+cyclonev_lcell_comb \datamem|ram~5262 (
+// Equation(s):
+// \datamem|ram~5262_combout = ( \datamem|ram~5259_combout & ( \datamem|ram~5261_combout & ( ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5258_combout ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~5260_combout ))) # (\alu_unit|Mux10~6_combout ) ) ) )
+// # ( !\datamem|ram~5259_combout & ( \datamem|ram~5261_combout & ( (!\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout & ((\datamem|ram~5258_combout )))) # (\alu_unit|Mux9~4_combout & (((\datamem|ram~5260_combout )) # (\alu_unit|Mux10~6_combout
+// ))) ) ) ) # ( \datamem|ram~5259_combout & ( !\datamem|ram~5261_combout & ( (!\alu_unit|Mux9~4_combout & (((\datamem|ram~5258_combout )) # (\alu_unit|Mux10~6_combout ))) # (\alu_unit|Mux9~4_combout & (!\alu_unit|Mux10~6_combout &
+// (\datamem|ram~5260_combout ))) ) ) ) # ( !\datamem|ram~5259_combout & ( !\datamem|ram~5261_combout & ( (!\alu_unit|Mux10~6_combout & ((!\alu_unit|Mux9~4_combout & ((\datamem|ram~5258_combout ))) # (\alu_unit|Mux9~4_combout &
+// (\datamem|ram~5260_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux9~4_combout ),
+ .datab(!\alu_unit|Mux10~6_combout ),
+ .datac(!\datamem|ram~5260_combout ),
+ .datad(!\datamem|ram~5258_combout ),
+ .datae(!\datamem|ram~5259_combout ),
+ .dataf(!\datamem|ram~5261_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5262_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5262 .extended_lut = "off";
+defparam \datamem|ram~5262 .lut_mask = 64'h048C26AE159D37BF;
+defparam \datamem|ram~5262 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N52
+dffeas \datamem|ram~2479 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5692_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2479_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2479 .is_wysiwyg = "true";
+defparam \datamem|ram~2479 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y7_N29
+dffeas \datamem|ram~2223 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5691_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2223_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2223 .is_wysiwyg = "true";
+defparam \datamem|ram~2223 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y14_N46
+dffeas \datamem|ram~2735 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5693_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2735_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2735 .is_wysiwyg = "true";
+defparam \datamem|ram~2735 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y11_N20
+dffeas \datamem|ram~2991 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5694_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2991_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2991 .is_wysiwyg = "true";
+defparam \datamem|ram~2991 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y11_N18
+cyclonev_lcell_comb \datamem|ram~5255 (
+// Equation(s):
+// \datamem|ram~5255_combout = ( \datamem|ram~2991_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2479_q ) ) ) ) # ( !\datamem|ram~2991_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2479_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2991_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2223_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2735_q ))) ) ) ) # ( !\datamem|ram~2991_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2223_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2735_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2479_q ),
+ .datab(!\datamem|ram~2223_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2735_q ),
+ .datae(!\datamem|ram~2991_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5255_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5255 .extended_lut = "off";
+defparam \datamem|ram~5255 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5255 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N25
+dffeas \datamem|ram~2719 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5677_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2719_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2719 .is_wysiwyg = "true";
+defparam \datamem|ram~2719 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y10_N50
+dffeas \datamem|ram~2207 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5675_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2207_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2207 .is_wysiwyg = "true";
+defparam \datamem|ram~2207 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y12_N14
+dffeas \datamem|ram~2463 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5676_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2463_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2463 .is_wysiwyg = "true";
+defparam \datamem|ram~2463 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y11_N8
+dffeas \datamem|ram~2975 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5678_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2975_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2975 .is_wysiwyg = "true";
+defparam \datamem|ram~2975 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y11_N6
+cyclonev_lcell_comb \datamem|ram~5254 (
+// Equation(s):
+// \datamem|ram~5254_combout = ( \datamem|ram~2975_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2463_q ) # (\alu_unit|Mux9~4_combout ) ) ) ) # ( !\datamem|ram~2975_q & ( \alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout &
+// \datamem|ram~2463_q ) ) ) ) # ( \datamem|ram~2975_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2207_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2719_q )) ) ) ) # ( !\datamem|ram~2975_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & ((\datamem|ram~2207_q ))) # (\alu_unit|Mux9~4_combout & (\datamem|ram~2719_q )) ) ) )
+
+ .dataa(!\datamem|ram~2719_q ),
+ .datab(!\datamem|ram~2207_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2463_q ),
+ .datae(!\datamem|ram~2975_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5254_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5254 .extended_lut = "off";
+defparam \datamem|ram~5254 .lut_mask = 64'h3535353500F00FFF;
+defparam \datamem|ram~5254 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X47_Y15_N6
+cyclonev_lcell_comb \datamem|ram~2447feeder (
+// Equation(s):
+// \datamem|ram~2447feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2447feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2447feeder .extended_lut = "off";
+defparam \datamem|ram~2447feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2447feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X47_Y15_N7
+dffeas \datamem|ram~2447 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2447feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5660_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2447_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2447 .is_wysiwyg = "true";
+defparam \datamem|ram~2447 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y11_N56
+dffeas \datamem|ram~2191 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5659_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2191_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2191 .is_wysiwyg = "true";
+defparam \datamem|ram~2191 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y11_N41
+dffeas \datamem|ram~2703 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5661_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2703_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2703 .is_wysiwyg = "true";
+defparam \datamem|ram~2703 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y11_N38
+dffeas \datamem|ram~2959 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5662_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2959_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2959 .is_wysiwyg = "true";
+defparam \datamem|ram~2959 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y11_N36
+cyclonev_lcell_comb \datamem|ram~5253 (
+// Equation(s):
+// \datamem|ram~5253_combout = ( \datamem|ram~2959_q & ( \alu_unit|Mux10~6_combout & ( (\alu_unit|Mux9~4_combout ) # (\datamem|ram~2447_q ) ) ) ) # ( !\datamem|ram~2959_q & ( \alu_unit|Mux10~6_combout & ( (\datamem|ram~2447_q &
+// !\alu_unit|Mux9~4_combout ) ) ) ) # ( \datamem|ram~2959_q & ( !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2191_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2703_q ))) ) ) ) # ( !\datamem|ram~2959_q & (
+// !\alu_unit|Mux10~6_combout & ( (!\alu_unit|Mux9~4_combout & (\datamem|ram~2191_q )) # (\alu_unit|Mux9~4_combout & ((\datamem|ram~2703_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2447_q ),
+ .datab(!\datamem|ram~2191_q ),
+ .datac(!\alu_unit|Mux9~4_combout ),
+ .datad(!\datamem|ram~2703_q ),
+ .datae(!\datamem|ram~2959_q ),
+ .dataf(!\alu_unit|Mux10~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5253_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5253 .extended_lut = "off";
+defparam \datamem|ram~5253 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5253 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N41
+dffeas \datamem|ram~2239 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5701_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2239_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2239 .is_wysiwyg = "true";
+defparam \datamem|ram~2239 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y8_N42
+cyclonev_lcell_comb \datamem|ram~2495feeder (
+// Equation(s):
+// \datamem|ram~2495feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~2495feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~2495feeder .extended_lut = "off";
+defparam \datamem|ram~2495feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~2495feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y8_N44
+dffeas \datamem|ram~2495 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~2495feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5705_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2495_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2495 .is_wysiwyg = "true";
+defparam \datamem|ram~2495 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y9_N58
+dffeas \datamem|ram~2751 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5709_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~2751_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~2751 .is_wysiwyg = "true";
+defparam \datamem|ram~2751 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X55_Y8_N14
+dffeas \datamem|ram~3007 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5713_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~3007_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~3007 .is_wysiwyg = "true";
+defparam \datamem|ram~3007 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X55_Y8_N12
+cyclonev_lcell_comb \datamem|ram~5256 (
+// Equation(s):
+// \datamem|ram~5256_combout = ( \datamem|ram~3007_q & ( \alu_unit|Mux9~4_combout & ( (\alu_unit|Mux10~6_combout ) # (\datamem|ram~2751_q ) ) ) ) # ( !\datamem|ram~3007_q & ( \alu_unit|Mux9~4_combout & ( (\datamem|ram~2751_q &
+// !\alu_unit|Mux10~6_combout ) ) ) ) # ( \datamem|ram~3007_q & ( !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2239_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2495_q ))) ) ) ) # ( !\datamem|ram~3007_q & (
+// !\alu_unit|Mux9~4_combout & ( (!\alu_unit|Mux10~6_combout & (\datamem|ram~2239_q )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~2495_q ))) ) ) )
+
+ .dataa(!\datamem|ram~2239_q ),
+ .datab(!\datamem|ram~2495_q ),
+ .datac(!\datamem|ram~2751_q ),
+ .datad(!\alu_unit|Mux10~6_combout ),
+ .datae(!\datamem|ram~3007_q ),
+ .dataf(!\alu_unit|Mux9~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5256_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5256 .extended_lut = "off";
+defparam \datamem|ram~5256 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5256 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y11_N0
+cyclonev_lcell_comb \datamem|ram~5257 (
+// Equation(s):
+// \datamem|ram~5257_combout = ( \datamem|ram~5253_combout & ( \datamem|ram~5256_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~5254_combout )))) # (\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout )) #
+// (\datamem|ram~5255_combout ))) ) ) ) # ( !\datamem|ram~5253_combout & ( \datamem|ram~5256_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout & \datamem|ram~5254_combout )))) # (\alu_unit|Mux13~4_combout &
+// (((\alu_unit|Mux14~6_combout )) # (\datamem|ram~5255_combout ))) ) ) ) # ( \datamem|ram~5253_combout & ( !\datamem|ram~5256_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout ) # (\datamem|ram~5254_combout )))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~5255_combout & (!\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~5253_combout & ( !\datamem|ram~5256_combout & ( (!\alu_unit|Mux13~4_combout & (((\alu_unit|Mux14~6_combout & \datamem|ram~5254_combout
+// )))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~5255_combout & (!\alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\datamem|ram~5255_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~5254_combout ),
+ .datae(!\datamem|ram~5253_combout ),
+ .dataf(!\datamem|ram~5256_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5257_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5257 .extended_lut = "off";
+defparam \datamem|ram~5257 .lut_mask = 64'h101CD0DC131FD3DF;
+defparam \datamem|ram~5257 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y10_N12
+cyclonev_lcell_comb \datamem|ram~5263 (
+// Equation(s):
+// \datamem|ram~5263_combout = ( \datamem|ram~5262_combout & ( \datamem|ram~5257_combout & ( ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5247_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5252_combout )))) # (\alu_unit|Mux11~4_combout ) ) )
+// ) # ( !\datamem|ram~5262_combout & ( \datamem|ram~5257_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5247_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5252_combout ))))) #
+// (\alu_unit|Mux11~4_combout & (((!\alu_unit|Mux12~2_combout )))) ) ) ) # ( \datamem|ram~5262_combout & ( !\datamem|ram~5257_combout & ( (!\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5247_combout )) #
+// (\alu_unit|Mux12~2_combout & ((\datamem|ram~5252_combout ))))) # (\alu_unit|Mux11~4_combout & (((\alu_unit|Mux12~2_combout )))) ) ) ) # ( !\datamem|ram~5262_combout & ( !\datamem|ram~5257_combout & ( (!\alu_unit|Mux11~4_combout &
+// ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5247_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5252_combout ))))) ) ) )
+
+ .dataa(!\datamem|ram~5247_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5252_combout ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~5262_combout ),
+ .dataf(!\datamem|ram~5257_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5263_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5263 .extended_lut = "off";
+defparam \datamem|ram~5263 .lut_mask = 64'h440C443F770C773F;
+defparam \datamem|ram~5263 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y8_N48
+cyclonev_lcell_comb \datamem|ram~143feeder (
+// Equation(s):
+// \datamem|ram~143feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~143feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~143feeder .extended_lut = "off";
+defparam \datamem|ram~143feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~143feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X73_Y8_N49
+dffeas \datamem|ram~143 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~143feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5522_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~143_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~143 .is_wysiwyg = "true";
+defparam \datamem|ram~143 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y21_N39
+cyclonev_lcell_comb \datamem|ram~175feeder (
+// Equation(s):
+// \datamem|ram~175feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~175feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~175feeder .extended_lut = "off";
+defparam \datamem|ram~175feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~175feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X56_Y21_N40
+dffeas \datamem|ram~175 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~175feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5538_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~175_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~175 .is_wysiwyg = "true";
+defparam \datamem|ram~175 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N40
+dffeas \datamem|ram~159 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5530_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~159_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~159 .is_wysiwyg = "true";
+defparam \datamem|ram~159 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y8_N41
+dffeas \datamem|ram~191 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5546_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~191_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~191 .is_wysiwyg = "true";
+defparam \datamem|ram~191 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y8_N39
+cyclonev_lcell_comb \datamem|ram~5203 (
+// Equation(s):
+// \datamem|ram~5203_combout = ( \datamem|ram~191_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~159_q ) # (\alu_unit|Mux13~4_combout ) ) ) ) # ( !\datamem|ram~191_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & \datamem|ram~159_q
+// ) ) ) ) # ( \datamem|ram~191_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~143_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~175_q ))) ) ) ) # ( !\datamem|ram~191_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~143_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~175_q ))) ) ) )
+
+ .dataa(!\datamem|ram~143_q ),
+ .datab(!\datamem|ram~175_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~159_q ),
+ .datae(!\datamem|ram~191_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5203_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5203 .extended_lut = "off";
+defparam \datamem|ram~5203 .lut_mask = 64'h5353535300F00FFF;
+defparam \datamem|ram~5203 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y21_N43
+dffeas \datamem|ram~223 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5556_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~223_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~223 .is_wysiwyg = "true";
+defparam \datamem|ram~223 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X60_Y17_N25
+dffeas \datamem|ram~239 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5558_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~239_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~239 .is_wysiwyg = "true";
+defparam \datamem|ram~239 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X70_Y8_N6
+cyclonev_lcell_comb \datamem|ram~255feeder (
+// Equation(s):
+// \datamem|ram~255feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~255feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~255feeder .extended_lut = "off";
+defparam \datamem|ram~255feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~255feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y8_N7
+dffeas \datamem|ram~255 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~255feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5560_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~255_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~255 .is_wysiwyg = "true";
+defparam \datamem|ram~255 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N49
+dffeas \datamem|ram~207 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5554_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~207_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~207 .is_wysiwyg = "true";
+defparam \datamem|ram~207 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N45
+cyclonev_lcell_comb \datamem|ram~5204 (
+// Equation(s):
+// \datamem|ram~5204_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~255_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~223_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~239_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~207_q ) ) )
+
+ .dataa(!\datamem|ram~223_q ),
+ .datab(!\datamem|ram~239_q ),
+ .datac(!\datamem|ram~255_q ),
+ .datad(!\datamem|ram~207_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5204_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5204 .extended_lut = "off";
+defparam \datamem|ram~5204 .lut_mask = 64'h00FF333355550F0F;
+defparam \datamem|ram~5204 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X74_Y9_N1
+dffeas \datamem|ram~15 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5458_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~15_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~15 .is_wysiwyg = "true";
+defparam \datamem|ram~15 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N1
+dffeas \datamem|ram~47 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5462_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~47_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~47 .is_wysiwyg = "true";
+defparam \datamem|ram~47 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N26
+dffeas \datamem|ram~63 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5464_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~63_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~63 .is_wysiwyg = "true";
+defparam \datamem|ram~63 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y5_N38
+dffeas \datamem|ram~31 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5460_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~31_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~31 .is_wysiwyg = "true";
+defparam \datamem|ram~31 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y5_N36
+cyclonev_lcell_comb \datamem|ram~5201 (
+// Equation(s):
+// \datamem|ram~5201_combout = ( \datamem|ram~31_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~63_q ) ) ) ) # ( !\datamem|ram~31_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~63_q & \alu_unit|Mux13~4_combout ) )
+// ) ) # ( \datamem|ram~31_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~15_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~47_q ))) ) ) ) # ( !\datamem|ram~31_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~15_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~47_q ))) ) ) )
+
+ .dataa(!\datamem|ram~15_q ),
+ .datab(!\datamem|ram~47_q ),
+ .datac(!\datamem|ram~63_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~31_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5201_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5201 .extended_lut = "off";
+defparam \datamem|ram~5201 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~5201 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X70_Y17_N29
+dffeas \datamem|ram~79 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5490_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~79_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~79 .is_wysiwyg = "true";
+defparam \datamem|ram~79 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N57
+cyclonev_lcell_comb \datamem|ram~111feeder (
+// Equation(s):
+// \datamem|ram~111feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~111feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~111feeder .extended_lut = "off";
+defparam \datamem|ram~111feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~111feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N59
+dffeas \datamem|ram~111 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~111feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5494_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~111_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~111 .is_wysiwyg = "true";
+defparam \datamem|ram~111 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N51
+cyclonev_lcell_comb \datamem|ram~127feeder (
+// Equation(s):
+// \datamem|ram~127feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~127feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~127feeder .extended_lut = "off";
+defparam \datamem|ram~127feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~127feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N53
+dffeas \datamem|ram~127 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~127feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5496_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~127_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~127 .is_wysiwyg = "true";
+defparam \datamem|ram~127 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X66_Y4_N32
+dffeas \datamem|ram~95 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5492_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~95_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~95 .is_wysiwyg = "true";
+defparam \datamem|ram~95 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y4_N30
+cyclonev_lcell_comb \datamem|ram~5202 (
+// Equation(s):
+// \datamem|ram~5202_combout = ( \datamem|ram~95_q & ( \alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout ) # (\datamem|ram~127_q ) ) ) ) # ( !\datamem|ram~95_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~127_q & \alu_unit|Mux13~4_combout )
+// ) ) ) # ( \datamem|ram~95_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~79_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~111_q ))) ) ) ) # ( !\datamem|ram~95_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~79_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~111_q ))) ) ) )
+
+ .dataa(!\datamem|ram~79_q ),
+ .datab(!\datamem|ram~111_q ),
+ .datac(!\datamem|ram~127_q ),
+ .datad(!\alu_unit|Mux13~4_combout ),
+ .datae(!\datamem|ram~95_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5202_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5202 .extended_lut = "off";
+defparam \datamem|ram~5202 .lut_mask = 64'h55335533000FFF0F;
+defparam \datamem|ram~5202 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N30
+cyclonev_lcell_comb \datamem|ram~5205 (
+// Equation(s):
+// \datamem|ram~5205_combout = ( \datamem|ram~5201_combout & ( \datamem|ram~5202_combout & ( (!\alu_unit|Mux11~4_combout ) # ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5203_combout )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~5204_combout )))) ) )
+// ) # ( !\datamem|ram~5201_combout & ( \datamem|ram~5202_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~5203_combout & ((\alu_unit|Mux11~4_combout )))) # (\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout ) # (\datamem|ram~5204_combout
+// )))) ) ) ) # ( \datamem|ram~5201_combout & ( !\datamem|ram~5202_combout & ( (!\alu_unit|Mux12~2_combout & (((!\alu_unit|Mux11~4_combout )) # (\datamem|ram~5203_combout ))) # (\alu_unit|Mux12~2_combout & (((\datamem|ram~5204_combout &
+// \alu_unit|Mux11~4_combout )))) ) ) ) # ( !\datamem|ram~5201_combout & ( !\datamem|ram~5202_combout & ( (\alu_unit|Mux11~4_combout & ((!\alu_unit|Mux12~2_combout & (\datamem|ram~5203_combout )) # (\alu_unit|Mux12~2_combout &
+// ((\datamem|ram~5204_combout ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\datamem|ram~5203_combout ),
+ .datac(!\datamem|ram~5204_combout ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~5201_combout ),
+ .dataf(!\datamem|ram~5202_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5205_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5205 .extended_lut = "off";
+defparam \datamem|ram~5205 .lut_mask = 64'h0027AA275527FF27;
+defparam \datamem|ram~5205 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N22
+dffeas \datamem|ram~415 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5532_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~415_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~415 .is_wysiwyg = "true";
+defparam \datamem|ram~415 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X61_Y6_N47
+dffeas \datamem|ram~287 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5468_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~287_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~287 .is_wysiwyg = "true";
+defparam \datamem|ram~287 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y8_N51
+cyclonev_lcell_comb \datamem|ram~479feeder (
+// Equation(s):
+// \datamem|ram~479feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~479feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~479feeder .extended_lut = "off";
+defparam \datamem|ram~479feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~479feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X68_Y8_N52
+dffeas \datamem|ram~479 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~479feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5564_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~479_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~479 .is_wysiwyg = "true";
+defparam \datamem|ram~479 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y8_N38
+dffeas \datamem|ram~351 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5500_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~351_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~351 .is_wysiwyg = "true";
+defparam \datamem|ram~351 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y8_N36
+cyclonev_lcell_comb \datamem|ram~5207 (
+// Equation(s):
+// \datamem|ram~5207_combout = ( \datamem|ram~351_q & ( \alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout ) # (\datamem|ram~479_q ) ) ) ) # ( !\datamem|ram~351_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~479_q & \alu_unit|Mux11~4_combout
+// ) ) ) ) # ( \datamem|ram~351_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~287_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~415_q )) ) ) ) # ( !\datamem|ram~351_q & ( !\alu_unit|Mux12~2_combout & (
+// (!\alu_unit|Mux11~4_combout & ((\datamem|ram~287_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~415_q )) ) ) )
+
+ .dataa(!\datamem|ram~415_q ),
+ .datab(!\datamem|ram~287_q ),
+ .datac(!\datamem|ram~479_q ),
+ .datad(!\alu_unit|Mux11~4_combout ),
+ .datae(!\datamem|ram~351_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5207_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5207 .extended_lut = "off";
+defparam \datamem|ram~5207 .lut_mask = 64'h33553355000FFF0F;
+defparam \datamem|ram~5207 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y17_N10
+dffeas \datamem|ram~303 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5470_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~303_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~303 .is_wysiwyg = "true";
+defparam \datamem|ram~303 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X57_Y16_N57
+cyclonev_lcell_comb \datamem|ram~431feeder (
+// Equation(s):
+// \datamem|ram~431feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~431feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~431feeder .extended_lut = "off";
+defparam \datamem|ram~431feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~431feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N58
+dffeas \datamem|ram~431 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~431feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5540_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~431_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~431 .is_wysiwyg = "true";
+defparam \datamem|ram~431 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y16_N22
+dffeas \datamem|ram~367 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5502_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~367_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~367 .is_wysiwyg = "true";
+defparam \datamem|ram~367 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y12_N2
+dffeas \datamem|ram~495 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5566_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~495_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~495 .is_wysiwyg = "true";
+defparam \datamem|ram~495 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y12_N0
+cyclonev_lcell_comb \datamem|ram~5208 (
+// Equation(s):
+// \datamem|ram~5208_combout = ( \datamem|ram~495_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~431_q ) ) ) ) # ( !\datamem|ram~495_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~431_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~495_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~303_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~367_q ))) ) ) ) # ( !\datamem|ram~495_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~303_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~367_q ))) ) ) )
+
+ .dataa(!\datamem|ram~303_q ),
+ .datab(!\datamem|ram~431_q ),
+ .datac(!\datamem|ram~367_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~495_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5208_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5208 .extended_lut = "off";
+defparam \datamem|ram~5208 .lut_mask = 64'h550F550F330033FF;
+defparam \datamem|ram~5208 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N11
+dffeas \datamem|ram~447 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5548_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~447_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~447 .is_wysiwyg = "true";
+defparam \datamem|ram~447 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y15_N37
+dffeas \datamem|ram~383 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5504_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~383_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~383 .is_wysiwyg = "true";
+defparam \datamem|ram~383 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N59
+dffeas \datamem|ram~319 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5472_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~319_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~319 .is_wysiwyg = "true";
+defparam \datamem|ram~319 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y7_N2
+dffeas \datamem|ram~511 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5568_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~511_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~511 .is_wysiwyg = "true";
+defparam \datamem|ram~511 .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X59_Y7_N0
+cyclonev_lcell_comb \datamem|ram~5209 (
+// Equation(s):
+// \datamem|ram~5209_combout = ( \datamem|ram~511_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~447_q ) ) ) ) # ( !\datamem|ram~511_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~447_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~511_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & ((\datamem|ram~319_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~383_q )) ) ) ) # ( !\datamem|ram~511_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & ((\datamem|ram~319_q ))) # (\alu_unit|Mux12~2_combout & (\datamem|ram~383_q )) ) ) )
+
+ .dataa(!\datamem|ram~447_q ),
+ .datab(!\datamem|ram~383_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~319_q ),
+ .datae(!\datamem|ram~511_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5209_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5209 .extended_lut = "off";
+defparam \datamem|ram~5209 .lut_mask = 64'h03F303F350505F5F;
+defparam \datamem|ram~5209 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y13_N4
+dffeas \datamem|ram~399 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5524_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~399_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~399 .is_wysiwyg = "true";
+defparam \datamem|ram~399 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X64_Y5_N47
+dffeas \datamem|ram~271 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5466_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~271_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~271 .is_wysiwyg = "true";
+defparam \datamem|ram~271 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N23
+dffeas \datamem|ram~463 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5562_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~463_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~463 .is_wysiwyg = "true";
+defparam \datamem|ram~463 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X56_Y13_N32
+dffeas \datamem|ram~335 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5498_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~335_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~335 .is_wysiwyg = "true";
+defparam \datamem|ram~335 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X56_Y13_N30
+cyclonev_lcell_comb \datamem|ram~5206 (
+// Equation(s):
+// \datamem|ram~5206_combout = ( \datamem|ram~335_q & ( \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~399_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~463_q ))) ) ) ) # ( !\datamem|ram~335_q & (
+// \alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~399_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~463_q ))) ) ) ) # ( \datamem|ram~335_q & ( !\alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) #
+// (\datamem|ram~271_q ) ) ) ) # ( !\datamem|ram~335_q & ( !\alu_unit|Mux11~4_combout & ( (\datamem|ram~271_q & !\alu_unit|Mux12~2_combout ) ) ) )
+
+ .dataa(!\datamem|ram~399_q ),
+ .datab(!\datamem|ram~271_q ),
+ .datac(!\datamem|ram~463_q ),
+ .datad(!\alu_unit|Mux12~2_combout ),
+ .datae(!\datamem|ram~335_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5206_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5206 .extended_lut = "off";
+defparam \datamem|ram~5206 .lut_mask = 64'h330033FF550F550F;
+defparam \datamem|ram~5206 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N24
+cyclonev_lcell_comb \datamem|ram~5210 (
+// Equation(s):
+// \datamem|ram~5210_combout = ( \datamem|ram~5209_combout & ( \datamem|ram~5206_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5208_combout )))) # (\alu_unit|Mux14~6_combout & (((\datamem|ram~5207_combout )) #
+// (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5209_combout & ( \datamem|ram~5206_combout & ( (!\alu_unit|Mux14~6_combout & ((!\alu_unit|Mux13~4_combout ) # ((\datamem|ram~5208_combout )))) # (\alu_unit|Mux14~6_combout &
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~5207_combout ))) ) ) ) # ( \datamem|ram~5209_combout & ( !\datamem|ram~5206_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout & ((\datamem|ram~5208_combout )))) #
+// (\alu_unit|Mux14~6_combout & (((\datamem|ram~5207_combout )) # (\alu_unit|Mux13~4_combout ))) ) ) ) # ( !\datamem|ram~5209_combout & ( !\datamem|ram~5206_combout & ( (!\alu_unit|Mux14~6_combout & (\alu_unit|Mux13~4_combout &
+// ((\datamem|ram~5208_combout )))) # (\alu_unit|Mux14~6_combout & (!\alu_unit|Mux13~4_combout & (\datamem|ram~5207_combout ))) ) ) )
+
+ .dataa(!\alu_unit|Mux14~6_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\datamem|ram~5207_combout ),
+ .datad(!\datamem|ram~5208_combout ),
+ .datae(!\datamem|ram~5209_combout ),
+ .dataf(!\datamem|ram~5206_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5210_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5210 .extended_lut = "off";
+defparam \datamem|ram~5210 .lut_mask = 64'h042615378CAE9DBF;
+defparam \datamem|ram~5210 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N29
+dffeas \datamem|ram~959 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5552_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~959_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~959 .is_wysiwyg = "true";
+defparam \datamem|ram~959 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N59
+dffeas \datamem|ram~895 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5520_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~895_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~895 .is_wysiwyg = "true";
+defparam \datamem|ram~895 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X60_Y5_N15
+cyclonev_lcell_comb \datamem|ram~831feeder (
+// Equation(s):
+// \datamem|ram~831feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~831feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~831feeder .extended_lut = "off";
+defparam \datamem|ram~831feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~831feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y5_N16
+dffeas \datamem|ram~831 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~831feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5488_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~831_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~831 .is_wysiwyg = "true";
+defparam \datamem|ram~831 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X67_Y8_N20
+dffeas \datamem|ram~1023 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5584_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1023_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1023 .is_wysiwyg = "true";
+defparam \datamem|ram~1023 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N18
+cyclonev_lcell_comb \datamem|ram~5219 (
+// Equation(s):
+// \datamem|ram~5219_combout = ( \datamem|ram~1023_q & ( \alu_unit|Mux12~2_combout & ( (\alu_unit|Mux11~4_combout ) # (\datamem|ram~895_q ) ) ) ) # ( !\datamem|ram~1023_q & ( \alu_unit|Mux12~2_combout & ( (\datamem|ram~895_q &
+// !\alu_unit|Mux11~4_combout ) ) ) ) # ( \datamem|ram~1023_q & ( !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~831_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~959_q )) ) ) ) # ( !\datamem|ram~1023_q & (
+// !\alu_unit|Mux12~2_combout & ( (!\alu_unit|Mux11~4_combout & ((\datamem|ram~831_q ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~959_q )) ) ) )
+
+ .dataa(!\datamem|ram~959_q ),
+ .datab(!\datamem|ram~895_q ),
+ .datac(!\alu_unit|Mux11~4_combout ),
+ .datad(!\datamem|ram~831_q ),
+ .datae(!\datamem|ram~1023_q ),
+ .dataf(!\alu_unit|Mux12~2_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5219_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5219 .extended_lut = "off";
+defparam \datamem|ram~5219 .lut_mask = 64'h05F505F530303F3F;
+defparam \datamem|ram~5219 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X60_Y16_N40
+dffeas \datamem|ram~911 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5528_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~911_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~911 .is_wysiwyg = "true";
+defparam \datamem|ram~911 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N27
+cyclonev_lcell_comb \datamem|ram~847feeder (
+// Equation(s):
+// \datamem|ram~847feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~847feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~847feeder .extended_lut = "off";
+defparam \datamem|ram~847feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~847feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N28
+dffeas \datamem|ram~847 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~847feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5514_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~847_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~847 .is_wysiwyg = "true";
+defparam \datamem|ram~847 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y19_N22
+dffeas \datamem|ram~783 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5482_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~783_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~783 .is_wysiwyg = "true";
+defparam \datamem|ram~783 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X57_Y21_N31
+dffeas \datamem|ram~975 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5578_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~975_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~975 .is_wysiwyg = "true";
+defparam \datamem|ram~975 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N0
+cyclonev_lcell_comb \datamem|ram~5216 (
+// Equation(s):
+// \datamem|ram~5216_combout = ( \alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~975_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux11~4_combout & ( \datamem|ram~911_q ) ) ) # ( \alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux11~4_combout & ( \datamem|ram~847_q ) ) ) # ( !\alu_unit|Mux12~2_combout & ( !\alu_unit|Mux11~4_combout & ( \datamem|ram~783_q ) ) )
+
+ .dataa(!\datamem|ram~911_q ),
+ .datab(!\datamem|ram~847_q ),
+ .datac(!\datamem|ram~783_q ),
+ .datad(!\datamem|ram~975_q ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5216_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5216 .extended_lut = "off";
+defparam \datamem|ram~5216 .lut_mask = 64'h0F0F3333555500FF;
+defparam \datamem|ram~5216 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y11_N53
+dffeas \datamem|ram~927 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5536_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~927_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~927 .is_wysiwyg = "true";
+defparam \datamem|ram~927 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y11_N42
+cyclonev_lcell_comb \datamem|ram~799feeder (
+// Equation(s):
+// \datamem|ram~799feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~799feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~799feeder .extended_lut = "off";
+defparam \datamem|ram~799feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~799feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X75_Y11_N44
+dffeas \datamem|ram~799 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~799feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5484_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~799_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~799 .is_wysiwyg = "true";
+defparam \datamem|ram~799 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y16_N9
+cyclonev_lcell_comb \datamem|ram~863feeder (
+// Equation(s):
+// \datamem|ram~863feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~863feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~863feeder .extended_lut = "off";
+defparam \datamem|ram~863feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~863feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y16_N10
+dffeas \datamem|ram~863 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~863feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5516_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~863_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~863 .is_wysiwyg = "true";
+defparam \datamem|ram~863 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X75_Y11_N29
+dffeas \datamem|ram~991 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5580_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~991_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~991 .is_wysiwyg = "true";
+defparam \datamem|ram~991 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y11_N27
+cyclonev_lcell_comb \datamem|ram~5217 (
+// Equation(s):
+// \datamem|ram~5217_combout = ( \datamem|ram~991_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~927_q ) ) ) ) # ( !\datamem|ram~991_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~927_q & !\alu_unit|Mux12~2_combout
+// ) ) ) ) # ( \datamem|ram~991_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~799_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~863_q ))) ) ) ) # ( !\datamem|ram~991_q & ( !\alu_unit|Mux11~4_combout & (
+// (!\alu_unit|Mux12~2_combout & (\datamem|ram~799_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~863_q ))) ) ) )
+
+ .dataa(!\datamem|ram~927_q ),
+ .datab(!\datamem|ram~799_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~863_q ),
+ .datae(!\datamem|ram~991_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5217_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5217 .extended_lut = "off";
+defparam \datamem|ram~5217 .lut_mask = 64'h303F303F50505F5F;
+defparam \datamem|ram~5217 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y8_N48
+cyclonev_lcell_comb \datamem|ram~815feeder (
+// Equation(s):
+// \datamem|ram~815feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~815feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~815feeder .extended_lut = "off";
+defparam \datamem|ram~815feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~815feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N50
+dffeas \datamem|ram~815 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~815feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5486_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~815_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~815 .is_wysiwyg = "true";
+defparam \datamem|ram~815 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y18_N37
+dffeas \datamem|ram~943 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5544_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~943_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~943 .is_wysiwyg = "true";
+defparam \datamem|ram~943 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y8_N18
+cyclonev_lcell_comb \datamem|ram~879feeder (
+// Equation(s):
+// \datamem|ram~879feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~879feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~879feeder .extended_lut = "off";
+defparam \datamem|ram~879feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~879feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N19
+dffeas \datamem|ram~879 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~879feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5518_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~879_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~879 .is_wysiwyg = "true";
+defparam \datamem|ram~879 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y8_N14
+dffeas \datamem|ram~1007 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5582_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~1007_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~1007 .is_wysiwyg = "true";
+defparam \datamem|ram~1007 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X53_Y8_N12
+cyclonev_lcell_comb \datamem|ram~5218 (
+// Equation(s):
+// \datamem|ram~5218_combout = ( \datamem|ram~1007_q & ( \alu_unit|Mux11~4_combout & ( (\alu_unit|Mux12~2_combout ) # (\datamem|ram~943_q ) ) ) ) # ( !\datamem|ram~1007_q & ( \alu_unit|Mux11~4_combout & ( (\datamem|ram~943_q &
+// !\alu_unit|Mux12~2_combout ) ) ) ) # ( \datamem|ram~1007_q & ( !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~815_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~879_q ))) ) ) ) # ( !\datamem|ram~1007_q & (
+// !\alu_unit|Mux11~4_combout & ( (!\alu_unit|Mux12~2_combout & (\datamem|ram~815_q )) # (\alu_unit|Mux12~2_combout & ((\datamem|ram~879_q ))) ) ) )
+
+ .dataa(!\datamem|ram~815_q ),
+ .datab(!\datamem|ram~943_q ),
+ .datac(!\alu_unit|Mux12~2_combout ),
+ .datad(!\datamem|ram~879_q ),
+ .datae(!\datamem|ram~1007_q ),
+ .dataf(!\alu_unit|Mux11~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5218_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5218 .extended_lut = "off";
+defparam \datamem|ram~5218 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5218 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N45
+cyclonev_lcell_comb \datamem|ram~5220 (
+// Equation(s):
+// \datamem|ram~5220_combout = ( \datamem|ram~5217_combout & ( \datamem|ram~5218_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~5216_combout ) # (\alu_unit|Mux14~6_combout )))) # (\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout )) #
+// (\datamem|ram~5219_combout ))) ) ) ) # ( !\datamem|ram~5217_combout & ( \datamem|ram~5218_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~5216_combout )))) # (\alu_unit|Mux13~4_combout &
+// (((!\alu_unit|Mux14~6_combout )) # (\datamem|ram~5219_combout ))) ) ) ) # ( \datamem|ram~5217_combout & ( !\datamem|ram~5218_combout & ( (!\alu_unit|Mux13~4_combout & (((\datamem|ram~5216_combout ) # (\alu_unit|Mux14~6_combout )))) #
+// (\alu_unit|Mux13~4_combout & (\datamem|ram~5219_combout & (\alu_unit|Mux14~6_combout ))) ) ) ) # ( !\datamem|ram~5217_combout & ( !\datamem|ram~5218_combout & ( (!\alu_unit|Mux13~4_combout & (((!\alu_unit|Mux14~6_combout & \datamem|ram~5216_combout
+// )))) # (\alu_unit|Mux13~4_combout & (\datamem|ram~5219_combout & (\alu_unit|Mux14~6_combout ))) ) ) )
+
+ .dataa(!\datamem|ram~5219_combout ),
+ .datab(!\alu_unit|Mux13~4_combout ),
+ .datac(!\alu_unit|Mux14~6_combout ),
+ .datad(!\datamem|ram~5216_combout ),
+ .datae(!\datamem|ram~5217_combout ),
+ .dataf(!\datamem|ram~5218_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5220_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5220 .extended_lut = "off";
+defparam \datamem|ram~5220 .lut_mask = 64'h01C10DCD31F13DFD;
+defparam \datamem|ram~5220 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X55_Y17_N5
+dffeas \datamem|ram~719 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5570_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~719_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~719 .is_wysiwyg = "true";
+defparam \datamem|ram~719 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X59_Y6_N28
+dffeas \datamem|ram~767 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5576_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~767_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~767 .is_wysiwyg = "true";
+defparam \datamem|ram~767 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N16
+dffeas \datamem|ram~735 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5572_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~735_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~735 .is_wysiwyg = "true";
+defparam \datamem|ram~735 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X48_Y13_N51
+cyclonev_lcell_comb \datamem|ram~751feeder (
+// Equation(s):
+// \datamem|ram~751feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~751feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~751feeder .extended_lut = "off";
+defparam \datamem|ram~751feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~751feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X48_Y13_N52
+dffeas \datamem|ram~751 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~751feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5574_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~751_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~751 .is_wysiwyg = "true";
+defparam \datamem|ram~751 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y6_N6
+cyclonev_lcell_comb \datamem|ram~5214 (
+// Equation(s):
+// \datamem|ram~5214_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~767_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~735_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~751_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~719_q ) ) )
+
+ .dataa(!\datamem|ram~719_q ),
+ .datab(!\datamem|ram~767_q ),
+ .datac(!\datamem|ram~735_q ),
+ .datad(!\datamem|ram~751_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5214_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5214 .extended_lut = "off";
+defparam \datamem|ram~5214 .lut_mask = 64'h555500FF0F0F3333;
+defparam \datamem|ram~5214 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X65_Y4_N37
+dffeas \datamem|ram~607 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5508_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~607_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~607 .is_wysiwyg = "true";
+defparam \datamem|ram~607 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N10
+dffeas \datamem|ram~623 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5510_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~623_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~623 .is_wysiwyg = "true";
+defparam \datamem|ram~623 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N58
+dffeas \datamem|ram~591 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5506_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~591_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~591 .is_wysiwyg = "true";
+defparam \datamem|ram~591 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X63_Y5_N5
+dffeas \datamem|ram~639 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5512_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~639_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~639 .is_wysiwyg = "true";
+defparam \datamem|ram~639 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y6_N21
+cyclonev_lcell_comb \datamem|ram~5212 (
+// Equation(s):
+// \datamem|ram~5212_combout = ( \alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~639_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( \alu_unit|Mux14~6_combout & ( \datamem|ram~607_q ) ) ) # ( \alu_unit|Mux13~4_combout & (
+// !\alu_unit|Mux14~6_combout & ( \datamem|ram~623_q ) ) ) # ( !\alu_unit|Mux13~4_combout & ( !\alu_unit|Mux14~6_combout & ( \datamem|ram~591_q ) ) )
+
+ .dataa(!\datamem|ram~607_q ),
+ .datab(!\datamem|ram~623_q ),
+ .datac(!\datamem|ram~591_q ),
+ .datad(!\datamem|ram~639_q ),
+ .datae(!\alu_unit|Mux13~4_combout ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5212_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5212 .extended_lut = "off";
+defparam \datamem|ram~5212 .lut_mask = 64'h0F0F3333555500FF;
+defparam \datamem|ram~5212 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X61_Y11_N25
+dffeas \datamem|ram~527 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5474_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~527_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~527 .is_wysiwyg = "true";
+defparam \datamem|ram~527 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X53_Y17_N4
+dffeas \datamem|ram~543 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5476_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~543_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~543 .is_wysiwyg = "true";
+defparam \datamem|ram~543 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y7_N27
+cyclonev_lcell_comb \datamem|ram~559feeder (
+// Equation(s):
+// \datamem|ram~559feeder_combout = ( \reg_file|reg_read_data_2[15]~15_combout )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_2[15]~15_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~559feeder_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~559feeder .extended_lut = "off";
+defparam \datamem|ram~559feeder .lut_mask = 64'h00000000FFFFFFFF;
+defparam \datamem|ram~559feeder .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y7_N28
+dffeas \datamem|ram~559 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\datamem|ram~559feeder_combout ),
+ .asdata(vcc),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(gnd),
+ .ena(\datamem|ram~5478_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~559_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~559 .is_wysiwyg = "true";
+defparam \datamem|ram~559 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X51_Y8_N8
+dffeas \datamem|ram~575 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5480_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~575_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~575 .is_wysiwyg = "true";
+defparam \datamem|ram~575 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X51_Y8_N6
+cyclonev_lcell_comb \datamem|ram~5211 (
+// Equation(s):
+// \datamem|ram~5211_combout = ( \datamem|ram~575_q & ( \alu_unit|Mux13~4_combout & ( (\alu_unit|Mux14~6_combout ) # (\datamem|ram~559_q ) ) ) ) # ( !\datamem|ram~575_q & ( \alu_unit|Mux13~4_combout & ( (\datamem|ram~559_q & !\alu_unit|Mux14~6_combout
+// ) ) ) ) # ( \datamem|ram~575_q & ( !\alu_unit|Mux13~4_combout & ( (!\alu_unit|Mux14~6_combout & (\datamem|ram~527_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~543_q ))) ) ) ) # ( !\datamem|ram~575_q & ( !\alu_unit|Mux13~4_combout & (
+// (!\alu_unit|Mux14~6_combout & (\datamem|ram~527_q )) # (\alu_unit|Mux14~6_combout & ((\datamem|ram~543_q ))) ) ) )
+
+ .dataa(!\datamem|ram~527_q ),
+ .datab(!\datamem|ram~543_q ),
+ .datac(!\datamem|ram~559_q ),
+ .datad(!\alu_unit|Mux14~6_combout ),
+ .datae(!\datamem|ram~575_q ),
+ .dataf(!\alu_unit|Mux13~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5211_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5211 .extended_lut = "off";
+defparam \datamem|ram~5211 .lut_mask = 64'h553355330F000FFF;
+defparam \datamem|ram~5211 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X50_Y9_N7
+dffeas \datamem|ram~655 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5526_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~655_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~655 .is_wysiwyg = "true";
+defparam \datamem|ram~655 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y9_N44
+dffeas \datamem|ram~671 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5534_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~671_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~671 .is_wysiwyg = "true";
+defparam \datamem|ram~671 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X52_Y18_N50
+dffeas \datamem|ram~687 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5542_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~687_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~687 .is_wysiwyg = "true";
+defparam \datamem|ram~687 .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X50_Y9_N29
+dffeas \datamem|ram~703 (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_file|reg_read_data_2[15]~15_combout ),
+ .clrn(vcc),
+ .aload(gnd),
+ .sclr(\reg_file|Equal1~0_combout ),
+ .sload(vcc),
+ .ena(\datamem|ram~5550_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\datamem|ram~703_q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \datamem|ram~703 .is_wysiwyg = "true";
+defparam \datamem|ram~703 .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X50_Y9_N27
+cyclonev_lcell_comb \datamem|ram~5213 (
+// Equation(s):
+// \datamem|ram~5213_combout = ( \datamem|ram~703_q & ( \alu_unit|Mux14~6_combout & ( (\alu_unit|Mux13~4_combout ) # (\datamem|ram~671_q ) ) ) ) # ( !\datamem|ram~703_q & ( \alu_unit|Mux14~6_combout & ( (\datamem|ram~671_q & !\alu_unit|Mux13~4_combout
+// ) ) ) ) # ( \datamem|ram~703_q & ( !\alu_unit|Mux14~6_combout & ( (!\alu_unit|Mux13~4_combout & (\datamem|ram~655_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~687_q ))) ) ) ) # ( !\datamem|ram~703_q & ( !\alu_unit|Mux14~6_combout & (
+// (!\alu_unit|Mux13~4_combout & (\datamem|ram~655_q )) # (\alu_unit|Mux13~4_combout & ((\datamem|ram~687_q ))) ) ) )
+
+ .dataa(!\datamem|ram~655_q ),
+ .datab(!\datamem|ram~671_q ),
+ .datac(!\alu_unit|Mux13~4_combout ),
+ .datad(!\datamem|ram~687_q ),
+ .datae(!\datamem|ram~703_q ),
+ .dataf(!\alu_unit|Mux14~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5213_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5213 .extended_lut = "off";
+defparam \datamem|ram~5213 .lut_mask = 64'h505F505F30303F3F;
+defparam \datamem|ram~5213 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N12
+cyclonev_lcell_comb \datamem|ram~5215 (
+// Equation(s):
+// \datamem|ram~5215_combout = ( \datamem|ram~5211_combout & ( \datamem|ram~5213_combout & ( (!\alu_unit|Mux12~2_combout ) # ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5212_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5214_combout ))) ) )
+// ) # ( !\datamem|ram~5211_combout & ( \datamem|ram~5213_combout & ( (!\alu_unit|Mux12~2_combout & (\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout & ((\datamem|ram~5212_combout ))) # (\alu_unit|Mux11~4_combout
+// & (\datamem|ram~5214_combout )))) ) ) ) # ( \datamem|ram~5211_combout & ( !\datamem|ram~5213_combout & ( (!\alu_unit|Mux12~2_combout & (!\alu_unit|Mux11~4_combout )) # (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~5212_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5214_combout )))) ) ) ) # ( !\datamem|ram~5211_combout & ( !\datamem|ram~5213_combout & ( (\alu_unit|Mux12~2_combout & ((!\alu_unit|Mux11~4_combout &
+// ((\datamem|ram~5212_combout ))) # (\alu_unit|Mux11~4_combout & (\datamem|ram~5214_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux12~2_combout ),
+ .datab(!\alu_unit|Mux11~4_combout ),
+ .datac(!\datamem|ram~5214_combout ),
+ .datad(!\datamem|ram~5212_combout ),
+ .datae(!\datamem|ram~5211_combout ),
+ .dataf(!\datamem|ram~5213_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5215_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5215 .extended_lut = "off";
+defparam \datamem|ram~5215 .lut_mask = 64'h014589CD2367ABEF;
+defparam \datamem|ram~5215 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X67_Y8_N9
+cyclonev_lcell_comb \datamem|ram~5221 (
+// Equation(s):
+// \datamem|ram~5221_combout = ( \datamem|ram~5220_combout & ( \datamem|ram~5215_combout & ( ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5205_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5210_combout )))) # (\alu_unit|Mux9~4_combout ) ) ) )
+// # ( !\datamem|ram~5220_combout & ( \datamem|ram~5215_combout & ( (!\alu_unit|Mux10~6_combout & (((\datamem|ram~5205_combout )) # (\alu_unit|Mux9~4_combout ))) # (\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & ((\datamem|ram~5210_combout
+// )))) ) ) ) # ( \datamem|ram~5220_combout & ( !\datamem|ram~5215_combout & ( (!\alu_unit|Mux10~6_combout & (!\alu_unit|Mux9~4_combout & (\datamem|ram~5205_combout ))) # (\alu_unit|Mux10~6_combout & (((\datamem|ram~5210_combout )) #
+// (\alu_unit|Mux9~4_combout ))) ) ) ) # ( !\datamem|ram~5220_combout & ( !\datamem|ram~5215_combout & ( (!\alu_unit|Mux9~4_combout & ((!\alu_unit|Mux10~6_combout & (\datamem|ram~5205_combout )) # (\alu_unit|Mux10~6_combout & ((\datamem|ram~5210_combout
+// ))))) ) ) )
+
+ .dataa(!\alu_unit|Mux10~6_combout ),
+ .datab(!\alu_unit|Mux9~4_combout ),
+ .datac(!\datamem|ram~5205_combout ),
+ .datad(!\datamem|ram~5210_combout ),
+ .datae(!\datamem|ram~5220_combout ),
+ .dataf(!\datamem|ram~5215_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5221_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5221 .extended_lut = "off";
+defparam \datamem|ram~5221 .lut_mask = 64'h084C195D2A6E3B7F;
+defparam \datamem|ram~5221 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y12_N0
+cyclonev_lcell_comb \datamem|ram~5285 (
+// Equation(s):
+// \datamem|ram~5285_combout = ( \datamem|ram~5263_combout & ( \datamem|ram~5221_combout & ( (!\alu_unit|Mux8~2_combout ) # ((!\alu_unit|Mux7~2_combout & ((\datamem|ram~5242_combout ))) # (\alu_unit|Mux7~2_combout & (\datamem|ram~5284_combout ))) ) ) )
+// # ( !\datamem|ram~5263_combout & ( \datamem|ram~5221_combout & ( (!\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout ) # (\datamem|ram~5242_combout )))) # (\alu_unit|Mux7~2_combout & (\datamem|ram~5284_combout & (\alu_unit|Mux8~2_combout ))) )
+// ) ) # ( \datamem|ram~5263_combout & ( !\datamem|ram~5221_combout & ( (!\alu_unit|Mux7~2_combout & (((\alu_unit|Mux8~2_combout & \datamem|ram~5242_combout )))) # (\alu_unit|Mux7~2_combout & (((!\alu_unit|Mux8~2_combout )) # (\datamem|ram~5284_combout
+// ))) ) ) ) # ( !\datamem|ram~5263_combout & ( !\datamem|ram~5221_combout & ( (\alu_unit|Mux8~2_combout & ((!\alu_unit|Mux7~2_combout & ((\datamem|ram~5242_combout ))) # (\alu_unit|Mux7~2_combout & (\datamem|ram~5284_combout )))) ) ) )
+
+ .dataa(!\alu_unit|Mux7~2_combout ),
+ .datab(!\datamem|ram~5284_combout ),
+ .datac(!\alu_unit|Mux8~2_combout ),
+ .datad(!\datamem|ram~5242_combout ),
+ .datae(!\datamem|ram~5263_combout ),
+ .dataf(!\datamem|ram~5221_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\datamem|ram~5285_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \datamem|ram~5285 .extended_lut = "off";
+defparam \datamem|ram~5285 .lut_mask = 64'h010B515BA1ABF1FB;
+defparam \datamem|ram~5285 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N27
+cyclonev_lcell_comb \reg_write_data[15]~15 (
+// Equation(s):
+// \reg_write_data[15]~15_combout = ( \datamem|ram~5285_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux0~6_combout )))) # (\reg_write_data[1]~0_combout & (((\Add0~57_sumout )) # (\reg_write_data[1]~1_combout ))) ) ) # (
+// !\datamem|ram~5285_combout & ( (!\reg_write_data[1]~0_combout & (((\alu_unit|Mux0~6_combout )))) # (\reg_write_data[1]~0_combout & (!\reg_write_data[1]~1_combout & ((\Add0~57_sumout )))) ) )
+
+ .dataa(!\reg_write_data[1]~0_combout ),
+ .datab(!\reg_write_data[1]~1_combout ),
+ .datac(!\alu_unit|Mux0~6_combout ),
+ .datad(!\Add0~57_sumout ),
+ .datae(gnd),
+ .dataf(!\datamem|ram~5285_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_write_data[15]~15_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_write_data[15]~15 .extended_lut = "off";
+defparam \reg_write_data[15]~15 .lut_mask = 64'h0A4E0A4E1B5F1B5F;
+defparam \reg_write_data[15]~15 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X66_Y12_N58
+dffeas \reg_file|reg_array[7][15] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[15]~15_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~1_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[7][15]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[7][15] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[7][15] .power_up = "low";
+// synopsys translate_on
+
+// Location: FF_X65_Y12_N59
+dffeas \reg_file|reg_array[4][15] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(gnd),
+ .asdata(\reg_write_data[15]~15_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(vcc),
+ .ena(\reg_file|Decoder0~2_combout ),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(\reg_file|reg_array[4][15]~q ),
+ .prn(vcc));
+// synopsys translate_off
+defparam \reg_file|reg_array[4][15] .is_wysiwyg = "true";
+defparam \reg_file|reg_array[4][15] .power_up = "low";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N21
+cyclonev_lcell_comb \reg_file|reg_read_data_1[15]~24 (
+// Equation(s):
+// \reg_file|reg_read_data_1[15]~24_combout = ( \instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & ( \reg_file|reg_array[7][15]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( \instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[4][15]~q ) ) ) # ( \instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & ( \reg_file|reg_array[3][15]~q ) ) ) # ( !\instrucion_memory|rom~11_combout & ( !\instrucion_memory|rom~12_combout & (
+// \reg_file|reg_array[0][15]~q ) ) )
+
+ .dataa(!\reg_file|reg_array[7][15]~q ),
+ .datab(!\reg_file|reg_array[0][15]~q ),
+ .datac(!\reg_file|reg_array[4][15]~q ),
+ .datad(!\reg_file|reg_array[3][15]~q ),
+ .datae(!\instrucion_memory|rom~11_combout ),
+ .dataf(!\instrucion_memory|rom~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[15]~24_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[15]~24 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[15]~24 .lut_mask = 64'h333300FF0F0F5555;
+defparam \reg_file|reg_read_data_1[15]~24 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y12_N12
+cyclonev_lcell_comb \reg_file|reg_read_data_1[15]~25 (
+// Equation(s):
+// \reg_file|reg_read_data_1[15]~25_combout = (\reg_file|Equal0~0_combout & \reg_file|reg_read_data_1[15]~24_combout )
+
+ .dataa(!\reg_file|Equal0~0_combout ),
+ .datab(gnd),
+ .datac(!\reg_file|reg_read_data_1[15]~24_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[15]~25_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[15]~25 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[15]~25 .lut_mask = 64'h0505050505050505;
+defparam \reg_file|reg_read_data_1[15]~25 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N48
+cyclonev_lcell_comb \Add3~53 (
+// Equation(s):
+// \Add3~53_sumout = SUM(( \Add0~53_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~50 ))
+// \Add3~54 = CARRY(( \Add0~53_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~50 ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|Decoder0~0_combout ),
+ .datac(!\reset~input_o ),
+ .datad(!\Add0~53_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(\Add3~50 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~53_sumout ),
+ .cout(\Add3~54 ),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~53 .extended_lut = "off";
+defparam \Add3~53 .lut_mask = 64'h0000BAFF000000FF;
+defparam \Add3~53 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X75_Y9_N51
+cyclonev_lcell_comb \Add3~57 (
+// Equation(s):
+// \Add3~57_sumout = SUM(( \Add0~57_sumout ) + ( (\instrucion_memory|rom~25_combout & (!\instrucion_memory|LessThan0~2_combout & ((!\control_unit|Decoder0~0_combout ) # (\reset~input_o )))) ) + ( \Add3~54 ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|Decoder0~0_combout ),
+ .datac(!\reset~input_o ),
+ .datad(!\Add0~57_sumout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~2_combout ),
+ .datag(gnd),
+ .cin(\Add3~54 ),
+ .sharein(gnd),
+ .combout(),
+ .sumout(\Add3~57_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \Add3~57 .extended_lut = "off";
+defparam \Add3~57 .lut_mask = 64'h0000BAFF000000FF;
+defparam \Add3~57 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N42
+cyclonev_lcell_comb \Add2~57 (
+// Equation(s):
+// \Add2~57_sumout = SUM(( !\Add0~53_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~55 ) + ( \Add2~54 ))
+// \Add2~58 = CARRY(( !\Add0~53_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~55 ) + ( \Add2~54 ))
+// \Add2~59 = SHARE(((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout ))) # (\Add0~53_sumout ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|sign_or_zero~0_combout ),
+ .datac(!\Add0~53_sumout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~54 ),
+ .sharein(\Add2~55 ),
+ .combout(),
+ .sumout(\Add2~57_sumout ),
+ .cout(\Add2~58 ),
+ .shareout(\Add2~59 ));
+// synopsys translate_off
+defparam \Add2~57 .extended_lut = "off";
+defparam \Add2~57 .lut_mask = 64'h00001F0F0000E1F0;
+defparam \Add2~57 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y10_N45
+cyclonev_lcell_comb \Add2~61 (
+// Equation(s):
+// \Add2~61_sumout = SUM(( !\Add0~57_sumout $ (((\instrucion_memory|rom~25_combout & (\control_unit|sign_or_zero~0_combout & !\instrucion_memory|LessThan0~2_combout )))) ) + ( \Add2~59 ) + ( \Add2~58 ))
+
+ .dataa(!\instrucion_memory|rom~25_combout ),
+ .datab(!\control_unit|sign_or_zero~0_combout ),
+ .datac(!\Add0~57_sumout ),
+ .datad(!\instrucion_memory|LessThan0~2_combout ),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(\Add2~58 ),
+ .sharein(\Add2~59 ),
+ .combout(),
+ .sumout(\Add2~61_sumout ),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \Add2~61 .extended_lut = "off";
+defparam \Add2~61 .lut_mask = 64'h000000000000E1F0;
+defparam \Add2~61 .shared_arith = "on";
+// synopsys translate_on
+
+// Location: LABCELL_X73_Y10_N30
+cyclonev_lcell_comb \pc_next[15]~14 (
+// Equation(s):
+// \pc_next[15]~14_combout = ( \Add3~57_sumout & ( \Add2~61_sumout ) ) # ( !\Add3~57_sumout & ( \Add2~61_sumout & ( !\imm_ext[15]~0_combout ) ) ) # ( \Add3~57_sumout & ( !\Add2~61_sumout & ( \imm_ext[15]~0_combout ) ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\imm_ext[15]~0_combout ),
+ .datad(gnd),
+ .datae(!\Add3~57_sumout ),
+ .dataf(!\Add2~61_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[15]~14_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[15]~14 .extended_lut = "off";
+defparam \pc_next[15]~14 .lut_mask = 64'h00000F0FF0F0FFFF;
+defparam \pc_next[15]~14 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N24
+cyclonev_lcell_comb \pc_next[15]~15 (
+// Equation(s):
+// \pc_next[15]~15_combout = ( \beq_control~11_combout & ( \beq_control~10_combout & ( (!\JRControl_unit|Equal0~0_combout & ((\pc_next[15]~14_combout ))) # (\JRControl_unit|Equal0~0_combout & (\reg_file|reg_read_data_1[15]~25_combout )) ) ) ) # (
+// !\beq_control~11_combout & ( \beq_control~10_combout & ( (!\JRControl_unit|Equal0~0_combout & ((\Add0~57_sumout ))) # (\JRControl_unit|Equal0~0_combout & (\reg_file|reg_read_data_1[15]~25_combout )) ) ) ) # ( \beq_control~11_combout & (
+// !\beq_control~10_combout & ( (!\JRControl_unit|Equal0~0_combout & ((\Add0~57_sumout ))) # (\JRControl_unit|Equal0~0_combout & (\reg_file|reg_read_data_1[15]~25_combout )) ) ) ) # ( !\beq_control~11_combout & ( !\beq_control~10_combout & (
+// (!\JRControl_unit|Equal0~0_combout & ((\Add0~57_sumout ))) # (\JRControl_unit|Equal0~0_combout & (\reg_file|reg_read_data_1[15]~25_combout )) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[15]~25_combout ),
+ .datab(!\pc_next[15]~14_combout ),
+ .datac(!\Add0~57_sumout ),
+ .datad(!\JRControl_unit|Equal0~0_combout ),
+ .datae(!\beq_control~11_combout ),
+ .dataf(!\beq_control~10_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[15]~15_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[15]~15 .extended_lut = "off";
+defparam \pc_next[15]~15 .lut_mask = 64'h0F550F550F553355;
+defparam \pc_next[15]~15 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y13_N26
+dffeas \pc_current[15] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[15]~15_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[15]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[15] .is_wysiwyg = "true";
+defparam \pc_current[15] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N30
+cyclonev_lcell_comb \instrucion_memory|rom~20 (
+// Equation(s):
+// \instrucion_memory|rom~20_combout = ( \instrucion_memory|rom~19_combout & ( (!pc_current[15] & (\instrucion_memory|LessThan0~1_combout & (!pc_current[14] & \instrucion_memory|LessThan0~0_combout ))) ) )
+
+ .dataa(!pc_current[15]),
+ .datab(!\instrucion_memory|LessThan0~1_combout ),
+ .datac(!pc_current[14]),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~19_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~20_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~20 .extended_lut = "off";
+defparam \instrucion_memory|rom~20 .lut_mask = 64'h0000000000200020;
+defparam \instrucion_memory|rom~20 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N42
+cyclonev_lcell_comb \pc_next[1]~0 (
+// Equation(s):
+// \pc_next[1]~0_combout = ( \Add3~1_sumout & ( \pc_current[5]~0_combout & ( (\beq_control~combout ) # (\Add0~1_sumout ) ) ) ) # ( !\Add3~1_sumout & ( \pc_current[5]~0_combout & ( (\Add0~1_sumout & !\beq_control~combout ) ) ) ) # ( \Add3~1_sumout & (
+// !\pc_current[5]~0_combout & ( (!\beq_control~combout & (\instrucion_memory|rom~20_combout )) # (\beq_control~combout & ((\Add2~5_sumout ))) ) ) ) # ( !\Add3~1_sumout & ( !\pc_current[5]~0_combout & ( (!\beq_control~combout &
+// (\instrucion_memory|rom~20_combout )) # (\beq_control~combout & ((\Add2~5_sumout ))) ) ) )
+
+ .dataa(!\Add0~1_sumout ),
+ .datab(!\instrucion_memory|rom~20_combout ),
+ .datac(!\Add2~5_sumout ),
+ .datad(!\beq_control~combout ),
+ .datae(!\Add3~1_sumout ),
+ .dataf(!\pc_current[5]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[1]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[1]~0 .extended_lut = "off";
+defparam \pc_next[1]~0 .lut_mask = 64'h330F330F550055FF;
+defparam \pc_next[1]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y11_N44
+dffeas \pc_current[1] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[1]~0_combout ),
+ .asdata(\reg_file|reg_read_data_1[1]~1_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[1]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[1] .is_wysiwyg = "true";
+defparam \pc_current[1] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N24
+cyclonev_lcell_comb \instrucion_memory|rom~7 (
+// Equation(s):
+// \instrucion_memory|rom~7_combout = ( pc_current[3] & ( (!pc_current[4] & (pc_current[1] & !pc_current[2])) ) ) # ( !pc_current[3] & ( (!pc_current[4] & (pc_current[1] & pc_current[2])) ) )
+
+ .dataa(!pc_current[4]),
+ .datab(gnd),
+ .datac(!pc_current[1]),
+ .datad(!pc_current[2]),
+ .datae(gnd),
+ .dataf(!pc_current[3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~7 .extended_lut = "off";
+defparam \instrucion_memory|rom~7 .lut_mask = 64'h000A000A0A000A00;
+defparam \instrucion_memory|rom~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y11_N0
+cyclonev_lcell_comb \pc_next[4]~3 (
+// Equation(s):
+// \pc_next[4]~3_combout = ( \beq_control~combout & ( \pc_current[5]~0_combout & ( \Add3~13_sumout ) ) ) # ( !\beq_control~combout & ( \pc_current[5]~0_combout & ( \Add0~13_sumout ) ) ) # ( \beq_control~combout & ( !\pc_current[5]~0_combout & (
+// \Add2~17_sumout ) ) ) # ( !\beq_control~combout & ( !\pc_current[5]~0_combout & ( \instrucion_memory|rom~8_combout ) ) )
+
+ .dataa(!\Add2~17_sumout ),
+ .datab(!\Add3~13_sumout ),
+ .datac(!\instrucion_memory|rom~8_combout ),
+ .datad(!\Add0~13_sumout ),
+ .datae(!\beq_control~combout ),
+ .dataf(!\pc_current[5]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[4]~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[4]~3 .extended_lut = "off";
+defparam \pc_next[4]~3 .lut_mask = 64'h0F0F555500FF3333;
+defparam \pc_next[4]~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y11_N2
+dffeas \pc_current[4] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[4]~3_combout ),
+ .asdata(\reg_file|reg_read_data_1[4]~7_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[4]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[4] .is_wysiwyg = "true";
+defparam \pc_current[4] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N27
+cyclonev_lcell_comb \instrucion_memory|rom~10 (
+// Equation(s):
+// \instrucion_memory|rom~10_combout = ( pc_current[3] & ( (!pc_current[4] & (!pc_current[2] & !pc_current[1])) ) ) # ( !pc_current[3] & ( (!pc_current[4] & ((pc_current[1]) # (pc_current[2]))) ) )
+
+ .dataa(!pc_current[4]),
+ .datab(gnd),
+ .datac(!pc_current[2]),
+ .datad(!pc_current[1]),
+ .datae(gnd),
+ .dataf(!pc_current[3]),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~10_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~10 .extended_lut = "off";
+defparam \instrucion_memory|rom~10 .lut_mask = 64'h0AAA0AAAA000A000;
+defparam \instrucion_memory|rom~10 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X63_Y12_N48
+cyclonev_lcell_comb \reg_file|Equal0~0 (
+// Equation(s):
+// \reg_file|Equal0~0_combout = ( \instrucion_memory|LessThan0~0_combout & ( \instrucion_memory|LessThan0~1_combout & ( (!pc_current[15] & (!pc_current[14] & ((\instrucion_memory|rom~9_combout ) # (\instrucion_memory|rom~10_combout )))) ) ) )
+
+ .dataa(!\instrucion_memory|rom~10_combout ),
+ .datab(!\instrucion_memory|rom~9_combout ),
+ .datac(!pc_current[15]),
+ .datad(!pc_current[14]),
+ .datae(!\instrucion_memory|LessThan0~0_combout ),
+ .dataf(!\instrucion_memory|LessThan0~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|Equal0~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|Equal0~0 .extended_lut = "off";
+defparam \reg_file|Equal0~0 .lut_mask = 64'h0000000000007000;
+defparam \reg_file|Equal0~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y12_N24
+cyclonev_lcell_comb \reg_file|reg_read_data_1[11]~13 (
+// Equation(s):
+// \reg_file|reg_read_data_1[11]~13_combout = ( \reg_file|reg_read_data_1[11]~12_combout & ( \reg_file|Equal0~0_combout ) )
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(!\reg_file|Equal0~0_combout ),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(!\reg_file|reg_read_data_1[11]~12_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\reg_file|reg_read_data_1[11]~13_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \reg_file|reg_read_data_1[11]~13 .extended_lut = "off";
+defparam \reg_file|reg_read_data_1[11]~13 .lut_mask = 64'h000000000F0F0F0F;
+defparam \reg_file|reg_read_data_1[11]~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N54
+cyclonev_lcell_comb \alu_unit|shifter_left|st2[11]~12 (
+// Equation(s):
+// \alu_unit|shifter_left|st2[11]~12_combout = ( \read_data2[0]~1_combout & ( \reg_file|reg_read_data_1[10]~11_combout & ( (!\read_data2[1]~0_combout ) # (\reg_file|reg_read_data_1[8]~23_combout ) ) ) ) # ( !\read_data2[0]~1_combout & (
+// \reg_file|reg_read_data_1[10]~11_combout & ( (!\read_data2[1]~0_combout & (\reg_file|reg_read_data_1[11]~13_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[9]~9_combout ))) ) ) ) # ( \read_data2[0]~1_combout & (
+// !\reg_file|reg_read_data_1[10]~11_combout & ( (\read_data2[1]~0_combout & \reg_file|reg_read_data_1[8]~23_combout ) ) ) ) # ( !\read_data2[0]~1_combout & ( !\reg_file|reg_read_data_1[10]~11_combout & ( (!\read_data2[1]~0_combout &
+// (\reg_file|reg_read_data_1[11]~13_combout )) # (\read_data2[1]~0_combout & ((\reg_file|reg_read_data_1[9]~9_combout ))) ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[11]~13_combout ),
+ .datab(!\read_data2[1]~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[8]~23_combout ),
+ .datad(!\reg_file|reg_read_data_1[9]~9_combout ),
+ .datae(!\read_data2[0]~1_combout ),
+ .dataf(!\reg_file|reg_read_data_1[10]~11_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|shifter_left|st2[11]~12_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|shifter_left|st2[11]~12 .extended_lut = "off";
+defparam \alu_unit|shifter_left|st2[11]~12 .lut_mask = 64'h447703034477CFCF;
+defparam \alu_unit|shifter_left|st2[11]~12 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N12
+cyclonev_lcell_comb \alu_unit|Mux0~3 (
+// Equation(s):
+// \alu_unit|Mux0~3_combout = ( \read_data2[0]~1_combout & ( \read_data2[1]~0_combout & ( \reg_file|reg_read_data_1[12]~15_combout ) ) ) # ( !\read_data2[0]~1_combout & ( \read_data2[1]~0_combout & ( \reg_file|reg_read_data_1[13]~27_combout ) ) ) # (
+// \read_data2[0]~1_combout & ( !\read_data2[1]~0_combout & ( \reg_file|reg_read_data_1[14]~29_combout ) ) ) # ( !\read_data2[0]~1_combout & ( !\read_data2[1]~0_combout & ( \reg_file|reg_read_data_1[15]~25_combout ) ) )
+
+ .dataa(!\reg_file|reg_read_data_1[12]~15_combout ),
+ .datab(!\reg_file|reg_read_data_1[14]~29_combout ),
+ .datac(!\reg_file|reg_read_data_1[15]~25_combout ),
+ .datad(!\reg_file|reg_read_data_1[13]~27_combout ),
+ .datae(!\read_data2[0]~1_combout ),
+ .dataf(!\read_data2[1]~0_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux0~3_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux0~3 .extended_lut = "off";
+defparam \alu_unit|Mux0~3 .lut_mask = 64'h0F0F333300FF5555;
+defparam \alu_unit|Mux0~3 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X61_Y13_N36
+cyclonev_lcell_comb \alu_unit|Mux0~4 (
+// Equation(s):
+// \alu_unit|Mux0~4_combout = ( \read_data2[3]~2_combout & ( \alu_unit|Mux0~3_combout & ( (!\read_data2[2]~3_combout & ((\alu_unit|shifter_left|st2[7]~8_combout ))) # (\read_data2[2]~3_combout & (\alu_unit|shifter_left|st2[3]~3_combout )) ) ) ) # (
+// !\read_data2[3]~2_combout & ( \alu_unit|Mux0~3_combout & ( (!\read_data2[2]~3_combout ) # (\alu_unit|shifter_left|st2[11]~12_combout ) ) ) ) # ( \read_data2[3]~2_combout & ( !\alu_unit|Mux0~3_combout & ( (!\read_data2[2]~3_combout &
+// ((\alu_unit|shifter_left|st2[7]~8_combout ))) # (\read_data2[2]~3_combout & (\alu_unit|shifter_left|st2[3]~3_combout )) ) ) ) # ( !\read_data2[3]~2_combout & ( !\alu_unit|Mux0~3_combout & ( (\alu_unit|shifter_left|st2[11]~12_combout &
+// \read_data2[2]~3_combout ) ) ) )
+
+ .dataa(!\alu_unit|shifter_left|st2[11]~12_combout ),
+ .datab(!\alu_unit|shifter_left|st2[3]~3_combout ),
+ .datac(!\read_data2[2]~3_combout ),
+ .datad(!\alu_unit|shifter_left|st2[7]~8_combout ),
+ .datae(!\read_data2[3]~2_combout ),
+ .dataf(!\alu_unit|Mux0~3_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux0~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux0~4 .extended_lut = "off";
+defparam \alu_unit|Mux0~4 .lut_mask = 64'h050503F3F5F503F3;
+defparam \alu_unit|Mux0~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N51
+cyclonev_lcell_comb \alu_unit|Mux0~5 (
+// Equation(s):
+// \alu_unit|Mux0~5_combout = ( \alu_unit|Mult0~23 & ( (!\alu_unit|Mux0~0_combout & (((!\alu_unit|Mux0~1_combout )) # (\alu_unit|Mux0~4_combout ))) # (\alu_unit|Mux0~0_combout & (((\alu_unit|shifter_right|st2[0]~10_combout & !\alu_unit|Mux0~1_combout
+// )))) ) ) # ( !\alu_unit|Mult0~23 & ( (!\alu_unit|Mux0~0_combout & (\alu_unit|Mux0~4_combout & ((\alu_unit|Mux0~1_combout )))) # (\alu_unit|Mux0~0_combout & (((\alu_unit|shifter_right|st2[0]~10_combout & !\alu_unit|Mux0~1_combout )))) ) )
+
+ .dataa(!\alu_unit|Mux0~4_combout ),
+ .datab(!\alu_unit|Mux0~0_combout ),
+ .datac(!\alu_unit|shifter_right|st2[0]~10_combout ),
+ .datad(!\alu_unit|Mux0~1_combout ),
+ .datae(!\alu_unit|Mult0~23 ),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\alu_unit|Mux0~5_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \alu_unit|Mux0~5 .extended_lut = "off";
+defparam \alu_unit|Mux0~5 .lut_mask = 64'h0344CF440344CF44;
+defparam \alu_unit|Mux0~5 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X66_Y12_N39
+cyclonev_lcell_comb \beq_control~1 (
+// Equation(s):
+// \beq_control~1_combout = ( !\alu_unit|Mux12~2_combout & ( \alu_unit|Mux5~4_combout & ( (!\alu_unit|Mux0~2_combout & (!\alu_unit|Mux5~0_combout & !\ALU_Control_unit|WideOr0~0_combout )) ) ) ) # ( !\alu_unit|Mux12~2_combout & (
+// !\alu_unit|Mux5~4_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & (((!\alu_unit|Mux0~2_combout & !\alu_unit|Mux5~0_combout )))) # (\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux0~5_combout )) ) ) )
+
+ .dataa(!\alu_unit|Mux0~5_combout ),
+ .datab(!\alu_unit|Mux0~2_combout ),
+ .datac(!\alu_unit|Mux5~0_combout ),
+ .datad(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datae(!\alu_unit|Mux12~2_combout ),
+ .dataf(!\alu_unit|Mux5~4_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~1_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~1 .extended_lut = "off";
+defparam \beq_control~1 .lut_mask = 64'hC0AA0000C0000000;
+defparam \beq_control~1 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X68_Y11_N45
+cyclonev_lcell_comb \beq_control~7 (
+// Equation(s):
+// \beq_control~7_combout = ( !\alu_unit|Mux15~0_combout & ( !\alu_unit|Mux6~8_combout & ( (!\ALU_Control_unit|WideOr0~0_combout & (!\alu_unit|Mux6~2_combout & (!\alu_unit|Mux8~0_combout ))) # (\ALU_Control_unit|WideOr0~0_combout &
+// (((!\alu_unit|Mux8~3_combout )))) ) ) )
+
+ .dataa(!\ALU_Control_unit|WideOr0~0_combout ),
+ .datab(!\alu_unit|Mux6~2_combout ),
+ .datac(!\alu_unit|Mux8~0_combout ),
+ .datad(!\alu_unit|Mux8~3_combout ),
+ .datae(!\alu_unit|Mux15~0_combout ),
+ .dataf(!\alu_unit|Mux6~8_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~7_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \beq_control~7 .extended_lut = "off";
+defparam \beq_control~7 .lut_mask = 64'hD580000000000000;
+defparam \beq_control~7 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y11_N42
+cyclonev_lcell_comb beq_control(
+// Equation(s):
+// \beq_control~combout = ( \beq_control~2_combout & ( \beq_control~6_combout & ( (\beq_control~1_combout & (\beq_control~0_combout & (\beq_control~5_combout & \beq_control~7_combout ))) ) ) )
+
+ .dataa(!\beq_control~1_combout ),
+ .datab(!\beq_control~0_combout ),
+ .datac(!\beq_control~5_combout ),
+ .datad(!\beq_control~7_combout ),
+ .datae(!\beq_control~2_combout ),
+ .dataf(!\beq_control~6_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\beq_control~combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam beq_control.extended_lut = "off";
+defparam beq_control.lut_mask = 64'h0000000000000001;
+defparam beq_control.shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N18
+cyclonev_lcell_comb \pc_next[14]~13 (
+// Equation(s):
+// \pc_next[14]~13_combout = ( \pc_current[5]~0_combout & ( \Add3~53_sumout & ( (\Add0~53_sumout ) # (\beq_control~combout ) ) ) ) # ( !\pc_current[5]~0_combout & ( \Add3~53_sumout & ( (!\beq_control~combout & ((\instrucion_memory|rom~1_combout ))) #
+// (\beq_control~combout & (\Add2~57_sumout )) ) ) ) # ( \pc_current[5]~0_combout & ( !\Add3~53_sumout & ( (!\beq_control~combout & \Add0~53_sumout ) ) ) ) # ( !\pc_current[5]~0_combout & ( !\Add3~53_sumout & ( (!\beq_control~combout &
+// ((\instrucion_memory|rom~1_combout ))) # (\beq_control~combout & (\Add2~57_sumout )) ) ) )
+
+ .dataa(!\beq_control~combout ),
+ .datab(!\Add2~57_sumout ),
+ .datac(!\instrucion_memory|rom~1_combout ),
+ .datad(!\Add0~53_sumout ),
+ .datae(!\pc_current[5]~0_combout ),
+ .dataf(!\Add3~53_sumout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[14]~13_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[14]~13 .extended_lut = "off";
+defparam \pc_next[14]~13 .lut_mask = 64'h1B1B00AA1B1B55FF;
+defparam \pc_next[14]~13 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y13_N20
+dffeas \pc_current[14] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[14]~13_combout ),
+ .asdata(\reg_file|reg_read_data_1[14]~29_combout ),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(\JRControl_unit|Equal0~0_combout ),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[14]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[14] .is_wysiwyg = "true";
+defparam \pc_current[14] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y13_N57
+cyclonev_lcell_comb \instrucion_memory|rom~4 (
+// Equation(s):
+// \instrucion_memory|rom~4_combout = ( \instrucion_memory|LessThan0~1_combout & ( (!pc_current[14] & (!pc_current[15] & (\instrucion_memory|rom~3_combout & \instrucion_memory|LessThan0~0_combout ))) ) )
+
+ .dataa(!pc_current[14]),
+ .datab(!pc_current[15]),
+ .datac(!\instrucion_memory|rom~3_combout ),
+ .datad(!\instrucion_memory|LessThan0~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|LessThan0~1_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\instrucion_memory|rom~4_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \instrucion_memory|rom~4 .extended_lut = "off";
+defparam \instrucion_memory|rom~4 .lut_mask = 64'h0000000000080008;
+defparam \instrucion_memory|rom~4 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: MLABCELL_X65_Y13_N9
+cyclonev_lcell_comb \imm_ext[15]~0 (
+// Equation(s):
+// \imm_ext[15]~0_combout = ( \instrucion_memory|rom~26_combout & ( (!\reset~input_o & (!\instrucion_memory|rom~4_combout & (\instrucion_memory|rom~1_combout & !\instrucion_memory|instruction[14]~0_combout ))) ) ) # ( !\instrucion_memory|rom~26_combout
+// )
+
+ .dataa(!\reset~input_o ),
+ .datab(!\instrucion_memory|rom~4_combout ),
+ .datac(!\instrucion_memory|rom~1_combout ),
+ .datad(!\instrucion_memory|instruction[14]~0_combout ),
+ .datae(gnd),
+ .dataf(!\instrucion_memory|rom~26_combout ),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\imm_ext[15]~0_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \imm_ext[15]~0 .extended_lut = "off";
+defparam \imm_ext[15]~0 .lut_mask = 64'hFFFFFFFF08000800;
+defparam \imm_ext[15]~0 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: LABCELL_X64_Y14_N24
+cyclonev_lcell_comb \pc_next[0]~20 (
+// Equation(s):
+// \pc_next[0]~20_combout = ( !\JRControl_unit|Equal0~0_combout & ( (!\control_unit|mem_to_reg[1]~0_combout & ((!\imm_ext[15]~0_combout & ((!\beq_control~combout & (pc_current[0])) # (\beq_control~combout & ((\Add2~1_sumout ))))) #
+// (\imm_ext[15]~0_combout & (pc_current[0])))) ) ) # ( \JRControl_unit|Equal0~0_combout & ( (((\reg_file|reg_read_data_1[0]~31_combout ))) ) )
+
+ .dataa(!pc_current[0]),
+ .datab(!\imm_ext[15]~0_combout ),
+ .datac(!\reg_file|reg_read_data_1[0]~31_combout ),
+ .datad(!\control_unit|mem_to_reg[1]~0_combout ),
+ .datae(!\JRControl_unit|Equal0~0_combout ),
+ .dataf(!\Add2~1_sumout ),
+ .datag(!\beq_control~combout ),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\pc_next[0]~20_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \pc_next[0]~20 .extended_lut = "on";
+defparam \pc_next[0]~20 .lut_mask = 64'h51000F0F5D000F0F;
+defparam \pc_next[0]~20 .shared_arith = "off";
+// synopsys translate_on
+
+// Location: FF_X64_Y14_N26
+dffeas \pc_current[0] (
+ .clk(\clk~inputCLKENA0_outclk ),
+ .d(\pc_next[0]~20_combout ),
+ .asdata(vcc),
+ .clrn(!\reset~input_o ),
+ .aload(gnd),
+ .sclr(gnd),
+ .sload(gnd),
+ .ena(vcc),
+ .devclrn(devclrn),
+ .devpor(devpor),
+ .q(pc_current[0]),
+ .prn(vcc));
+// synopsys translate_off
+defparam \pc_current[0] .is_wysiwyg = "true";
+defparam \pc_current[0] .power_up = "low";
+// synopsys translate_on
+
+// Location: LABCELL_X18_Y27_N0
+cyclonev_lcell_comb \~QUARTUS_CREATED_GND~I (
+// Equation(s):
+
+ .dataa(gnd),
+ .datab(gnd),
+ .datac(gnd),
+ .datad(gnd),
+ .datae(gnd),
+ .dataf(gnd),
+ .datag(gnd),
+ .cin(gnd),
+ .sharein(gnd),
+ .combout(\~QUARTUS_CREATED_GND~I_combout ),
+ .sumout(),
+ .cout(),
+ .shareout());
+// synopsys translate_off
+defparam \~QUARTUS_CREATED_GND~I .extended_lut = "off";
+defparam \~QUARTUS_CREATED_GND~I .lut_mask = 64'h0000000000000000;
+defparam \~QUARTUS_CREATED_GND~I .shared_arith = "off";
+// synopsys translate_on
+
+endmodule
diff --git a/simulation/modelsim/mips_modelsim.xrf b/simulation/modelsim/mips_modelsim.xrf
new file mode 100644
index 0000000..33ddbf3
--- /dev/null
+++ b/simulation/modelsim/mips_modelsim.xrf
@@ -0,0 +1,7767 @@
+vendor_name = ModelSim
+source_file = 1, D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v
+source_file = 1, D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/db/mips.cbx.xml
+design_name = mips_16
+instance = comp, \pc_out[0]~output , pc_out[0]~output, mips_16, 1
+instance = comp, \pc_out[1]~output , pc_out[1]~output, mips_16, 1
+instance = comp, \pc_out[2]~output , pc_out[2]~output, mips_16, 1
+instance = comp, \pc_out[3]~output , pc_out[3]~output, mips_16, 1
+instance = comp, \pc_out[4]~output , pc_out[4]~output, mips_16, 1
+instance = comp, \pc_out[5]~output , pc_out[5]~output, mips_16, 1
+instance = comp, \pc_out[6]~output , pc_out[6]~output, mips_16, 1
+instance = comp, \pc_out[7]~output , pc_out[7]~output, mips_16, 1
+instance = comp, \pc_out[8]~output , pc_out[8]~output, mips_16, 1
+instance = comp, \pc_out[9]~output , pc_out[9]~output, mips_16, 1
+instance = comp, \pc_out[10]~output , pc_out[10]~output, mips_16, 1
+instance = comp, \pc_out[11]~output , pc_out[11]~output, mips_16, 1
+instance = comp, \pc_out[12]~output , pc_out[12]~output, mips_16, 1
+instance = comp, \pc_out[13]~output , pc_out[13]~output, mips_16, 1
+instance = comp, \pc_out[14]~output , pc_out[14]~output, mips_16, 1
+instance = comp, \pc_out[15]~output , pc_out[15]~output, mips_16, 1
+instance = comp, \alu_result[0]~output , alu_result[0]~output, mips_16, 1
+instance = comp, \alu_result[1]~output , alu_result[1]~output, mips_16, 1
+instance = comp, \alu_result[2]~output , alu_result[2]~output, mips_16, 1
+instance = comp, \alu_result[3]~output , alu_result[3]~output, mips_16, 1
+instance = comp, \alu_result[4]~output , alu_result[4]~output, mips_16, 1
+instance = comp, \alu_result[5]~output , alu_result[5]~output, mips_16, 1
+instance = comp, \alu_result[6]~output , alu_result[6]~output, mips_16, 1
+instance = comp, \alu_result[7]~output , alu_result[7]~output, mips_16, 1
+instance = comp, \alu_result[8]~output , alu_result[8]~output, mips_16, 1
+instance = comp, \alu_result[9]~output , alu_result[9]~output, mips_16, 1
+instance = comp, \alu_result[10]~output , alu_result[10]~output, mips_16, 1
+instance = comp, \alu_result[11]~output , alu_result[11]~output, mips_16, 1
+instance = comp, \alu_result[12]~output , alu_result[12]~output, mips_16, 1
+instance = comp, \alu_result[13]~output , alu_result[13]~output, mips_16, 1
+instance = comp, \alu_result[14]~output , alu_result[14]~output, mips_16, 1
+instance = comp, \alu_result[15]~output , alu_result[15]~output, mips_16, 1
+instance = comp, \clk~input , clk~input, mips_16, 1
+instance = comp, \clk~inputCLKENA0 , clk~inputCLKENA0, mips_16, 1
+instance = comp, \reset~input , reset~input, mips_16, 1
+instance = comp, \Add0~1 , Add0~1, mips_16, 1
+instance = comp, \instrucion_memory|rom~11 , instrucion_memory|rom~11, mips_16, 1
+instance = comp, \instrucion_memory|rom~15 , instrucion_memory|rom~15, mips_16, 1
+instance = comp, \Add0~5 , Add0~5, mips_16, 1
+instance = comp, \instrucion_memory|rom~19 , instrucion_memory|rom~19, mips_16, 1
+instance = comp, \Add2~1 , Add2~1, mips_16, 1
+instance = comp, \Add2~5 , Add2~5, mips_16, 1
+instance = comp, \Add2~9 , Add2~9, mips_16, 1
+instance = comp, \Add3~62 , Add3~62, mips_16, 1
+instance = comp, \Add3~1 , Add3~1, mips_16, 1
+instance = comp, \Add3~5 , Add3~5, mips_16, 1
+instance = comp, \instrucion_memory|rom~16 , instrucion_memory|rom~16, mips_16, 1
+instance = comp, \instrucion_memory|rom~2 , instrucion_memory|rom~2, mips_16, 1
+instance = comp, \instrucion_memory|instruction[14]~0 , instrucion_memory|instruction[14]~0, mips_16, 1
+instance = comp, \control_unit|mem_to_reg[1]~0 , control_unit|mem_to_reg[1]~0, mips_16, 1
+instance = comp, \instrucion_memory|rom~1 , instrucion_memory|rom~1, mips_16, 1
+instance = comp, \instrucion_memory|rom~3 , instrucion_memory|rom~3, mips_16, 1
+instance = comp, \control_unit|mem_write~0 , control_unit|mem_write~0, mips_16, 1
+instance = comp, \reg_write_data[1]~1 , reg_write_data[1]~1, mips_16, 1
+instance = comp, \instrucion_memory|rom~25 , instrucion_memory|rom~25, mips_16, 1
+instance = comp, \control_unit|sign_or_zero~0 , control_unit|sign_or_zero~0, mips_16, 1
+instance = comp, \instrucion_memory|LessThan0~2 , instrucion_memory|LessThan0~2, mips_16, 1
+instance = comp, \control_unit|Decoder0~0 , control_unit|Decoder0~0, mips_16, 1
+instance = comp, \Add0~9 , Add0~9, mips_16, 1
+instance = comp, \Add0~13 , Add0~13, mips_16, 1
+instance = comp, \Add0~17 , Add0~17, mips_16, 1
+instance = comp, \instrucion_memory|rom~21 , instrucion_memory|rom~21, mips_16, 1
+instance = comp, \instrucion_memory|rom~5 , instrucion_memory|rom~5, mips_16, 1
+instance = comp, \Add3~9 , Add3~9, mips_16, 1
+instance = comp, \Add3~13 , Add3~13, mips_16, 1
+instance = comp, \Add3~17 , Add3~17, mips_16, 1
+instance = comp, \instrucion_memory|rom~22 , instrucion_memory|rom~22, mips_16, 1
+instance = comp, \instrucion_memory|rom~6 , instrucion_memory|rom~6, mips_16, 1
+instance = comp, \Add2~13 , Add2~13, mips_16, 1
+instance = comp, \Add2~17 , Add2~17, mips_16, 1
+instance = comp, \Add2~21 , Add2~21, mips_16, 1
+instance = comp, \pc_next[5]~4 , pc_next[5]~4, mips_16, 1
+instance = comp, \instrucion_memory|rom~8 , instrucion_memory|rom~8, mips_16, 1
+instance = comp, \control_unit|WideOr0~0 , control_unit|WideOr0~0, mips_16, 1
+instance = comp, \alu_unit|Mux10~1 , alu_unit|Mux10~1, mips_16, 1
+instance = comp, \ALU_Control_unit|WideOr0~0 , ALU_Control_unit|WideOr0~0, mips_16, 1
+instance = comp, \ALU_Control_unit|WideOr2~0 , ALU_Control_unit|WideOr2~0, mips_16, 1
+instance = comp, \instrucion_memory|rom~23 , instrucion_memory|rom~23, mips_16, 1
+instance = comp, \instrucion_memory|rom~24 , instrucion_memory|rom~24, mips_16, 1
+instance = comp, \instrucion_memory|rom~13 , instrucion_memory|rom~13, mips_16, 1
+instance = comp, \reg_file|Equal1~0 , reg_file|Equal1~0, mips_16, 1
+instance = comp, \reg_file|reg_array[3][5]~feeder , reg_file|reg_array[3][5]~feeder, mips_16, 1
+instance = comp, \control_unit|mem_to_reg[1]~1 , control_unit|mem_to_reg[1]~1, mips_16, 1
+instance = comp, \reg_file|Decoder0~3 , reg_file|Decoder0~3, mips_16, 1
+instance = comp, \reg_write_dest[0]~0 , reg_write_dest[0]~0, mips_16, 1
+instance = comp, \reg_write_dest[1]~1 , reg_write_dest[1]~1, mips_16, 1
+instance = comp, \reg_file|Decoder0~4 , reg_file|Decoder0~4, mips_16, 1
+instance = comp, \reg_file|reg_array[3][5] , reg_file|reg_array[3][5], mips_16, 1
+instance = comp, \reg_file|Decoder0~6 , reg_file|Decoder0~6, mips_16, 1
+instance = comp, \reg_file|reg_array[2][5] , reg_file|reg_array[2][5], mips_16, 1
+instance = comp, \reg_file|Decoder0~7 , reg_file|Decoder0~7, mips_16, 1
+instance = comp, \reg_file|reg_array[1][5] , reg_file|reg_array[1][5], mips_16, 1
+instance = comp, \instrucion_memory|rom~17 , instrucion_memory|rom~17, mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[5]~5 , reg_file|reg_read_data_2[5]~5, mips_16, 1
+instance = comp, \read_data2[5]~5 , read_data2[5]~5, mips_16, 1
+instance = comp, \ALU_Control_unit|WideOr1~0 , ALU_Control_unit|WideOr1~0, mips_16, 1
+instance = comp, \instrucion_memory|rom~9 , instrucion_memory|rom~9, mips_16, 1
+instance = comp, \reg_file|Equal0~1 , reg_file|Equal0~1, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[4]~7 , reg_file|reg_read_data_1[4]~7, mips_16, 1
+instance = comp, \reg_file|reg_array[2][4] , reg_file|reg_array[2][4], mips_16, 1
+instance = comp, \reg_file|reg_array[1][4] , reg_file|reg_array[1][4], mips_16, 1
+instance = comp, \reg_file|reg_array[3][4] , reg_file|reg_array[3][4], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[4]~4 , reg_file|reg_read_data_2[4]~4, mips_16, 1
+instance = comp, \read_data2[4]~4 , read_data2[4]~4, mips_16, 1
+instance = comp, \alu_unit|Mux11~8 , alu_unit|Mux11~8, mips_16, 1
+instance = comp, \alu_unit|Mux13~0 , alu_unit|Mux13~0, mips_16, 1
+instance = comp, \reg_file|Decoder0~0 , reg_file|Decoder0~0, mips_16, 1
+instance = comp, \reg_file|Decoder0~1 , reg_file|Decoder0~1, mips_16, 1
+instance = comp, \reg_file|reg_array[7][3] , reg_file|reg_array[7][3], mips_16, 1
+instance = comp, \reg_file|Decoder0~2 , reg_file|Decoder0~2, mips_16, 1
+instance = comp, \reg_file|reg_array[4][3] , reg_file|reg_array[4][3], mips_16, 1
+instance = comp, \reg_file|Decoder0~5 , reg_file|Decoder0~5, mips_16, 1
+instance = comp, \reg_file|reg_array[0][3] , reg_file|reg_array[0][3], mips_16, 1
+instance = comp, \instrucion_memory|rom~12 , instrucion_memory|rom~12, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[3]~4 , reg_file|reg_read_data_1[3]~4, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[3]~5 , reg_file|reg_read_data_1[3]~5, mips_16, 1
+instance = comp, \alu_unit|Mux14~4 , alu_unit|Mux14~4, mips_16, 1
+instance = comp, \reg_file|reg_array[3][2] , reg_file|reg_array[3][2], mips_16, 1
+instance = comp, \reg_file|reg_array[7][2]~feeder , reg_file|reg_array[7][2]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[7][2] , reg_file|reg_array[7][2], mips_16, 1
+instance = comp, \reg_file|reg_array[4][2] , reg_file|reg_array[4][2], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[2]~2 , reg_file|reg_read_data_1[2]~2, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[2]~3 , reg_file|reg_read_data_1[2]~3, mips_16, 1
+instance = comp, \alu_unit|Mux14~3 , alu_unit|Mux14~3, mips_16, 1
+instance = comp, \Add0~21 , Add0~21, mips_16, 1
+instance = comp, \alu_unit|Mux11~1 , alu_unit|Mux11~1, mips_16, 1
+instance = comp, \alu_unit|Mux11~3 , alu_unit|Mux11~3, mips_16, 1
+instance = comp, \reg_file|reg_array[2][0]~feeder , reg_file|reg_array[2][0]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[2][0] , reg_file|reg_array[2][0], mips_16, 1
+instance = comp, \reg_file|reg_array[1][0] , reg_file|reg_array[1][0], mips_16, 1
+instance = comp, \reg_file|reg_array[0][0]~feeder , reg_file|reg_array[0][0]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[0][0] , reg_file|reg_array[0][0], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[0]~1 , reg_file|reg_read_data_2[0]~1, mips_16, 1
+instance = comp, \read_data2[0]~1 , read_data2[0]~1, mips_16, 1
+instance = comp, \reg_file|reg_array[4][1] , reg_file|reg_array[4][1], mips_16, 1
+instance = comp, \reg_file|reg_array[7][1] , reg_file|reg_array[7][1], mips_16, 1
+instance = comp, \reg_file|reg_array[3][1] , reg_file|reg_array[3][1], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[1]~0 , reg_file|reg_read_data_1[1]~0, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[1]~1 , reg_file|reg_read_data_1[1]~1, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[2]~2 , alu_unit|shifter_left|st2[2]~2, mips_16, 1
+instance = comp, \alu_unit|Mux10~0 , alu_unit|Mux10~0, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[6]~7 , alu_unit|shifter_left|st2[6]~7, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[1]~0 , alu_unit|shifter_left|st2[1]~0, mips_16, 1
+instance = comp, \Add0~41 , Add0~41, mips_16, 1
+instance = comp, \Add0~45 , Add0~45, mips_16, 1
+instance = comp, \Add0~49 , Add0~49, mips_16, 1
+instance = comp, \Add3~21 , Add3~21, mips_16, 1
+instance = comp, \Add3~25 , Add3~25, mips_16, 1
+instance = comp, \Add3~29 , Add3~29, mips_16, 1
+instance = comp, \Add3~33 , Add3~33, mips_16, 1
+instance = comp, \Add3~37 , Add3~37, mips_16, 1
+instance = comp, \Add3~41 , Add3~41, mips_16, 1
+instance = comp, \Add3~45 , Add3~45, mips_16, 1
+instance = comp, \Add3~49 , Add3~49, mips_16, 1
+instance = comp, \instrucion_memory|rom~26 , instrucion_memory|rom~26, mips_16, 1
+instance = comp, \Add2~25 , Add2~25, mips_16, 1
+instance = comp, \Add2~29 , Add2~29, mips_16, 1
+instance = comp, \Add2~33 , Add2~33, mips_16, 1
+instance = comp, \Add2~37 , Add2~37, mips_16, 1
+instance = comp, \Add2~41 , Add2~41, mips_16, 1
+instance = comp, \Add2~45 , Add2~45, mips_16, 1
+instance = comp, \Add2~49 , Add2~49, mips_16, 1
+instance = comp, \Add2~53 , Add2~53, mips_16, 1
+instance = comp, \pc_next[13]~12 , pc_next[13]~12, mips_16, 1
+instance = comp, \reg_file|reg_array[3][13] , reg_file|reg_array[3][13], mips_16, 1
+instance = comp, \reg_file|reg_array[1][13] , reg_file|reg_array[1][13], mips_16, 1
+instance = comp, \reg_file|reg_array[0][13] , reg_file|reg_array[0][13], mips_16, 1
+instance = comp, \reg_file|reg_array[2][13] , reg_file|reg_array[2][13], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[13]~13 , reg_file|reg_read_data_2[13]~13, mips_16, 1
+instance = comp, \read_data2[13]~13 , read_data2[13]~13, mips_16, 1
+instance = comp, \reg_file|reg_array[3][12]~feeder , reg_file|reg_array[3][12]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[3][12] , reg_file|reg_array[3][12], mips_16, 1
+instance = comp, \reg_file|reg_array[1][12] , reg_file|reg_array[1][12], mips_16, 1
+instance = comp, \reg_file|reg_array[2][12] , reg_file|reg_array[2][12], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[12]~12 , reg_file|reg_read_data_2[12]~12, mips_16, 1
+instance = comp, \read_data2[12]~12 , read_data2[12]~12, mips_16, 1
+instance = comp, \reg_file|reg_array[3][11]~feeder , reg_file|reg_array[3][11]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[3][11] , reg_file|reg_array[3][11], mips_16, 1
+instance = comp, \reg_file|reg_array[7][11] , reg_file|reg_array[7][11], mips_16, 1
+instance = comp, \reg_file|reg_array[4][11]~feeder , reg_file|reg_array[4][11]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[4][11] , reg_file|reg_array[4][11], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[11]~12 , reg_file|reg_read_data_1[11]~12, mips_16, 1
+instance = comp, \reg_file|reg_array[3][10]~feeder , reg_file|reg_array[3][10]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[3][10] , reg_file|reg_array[3][10], mips_16, 1
+instance = comp, \reg_file|reg_array[7][10]~feeder , reg_file|reg_array[7][10]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[7][10] , reg_file|reg_array[7][10], mips_16, 1
+instance = comp, \reg_file|reg_array[4][10] , reg_file|reg_array[4][10], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[10]~10 , reg_file|reg_read_data_1[10]~10, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[10]~11 , reg_file|reg_read_data_1[10]~11, mips_16, 1
+instance = comp, \alu_unit|Mux6~0 , alu_unit|Mux6~0, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[9]~9 , reg_file|reg_read_data_1[9]~9, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[6]~0 , alu_unit|shifter_right|st2[6]~0, mips_16, 1
+instance = comp, \alu_unit|Mux6~3 , alu_unit|Mux6~3, mips_16, 1
+instance = comp, \reg_file|reg_array[0][9] , reg_file|reg_array[0][9], mips_16, 1
+instance = comp, \reg_file|reg_array[1][9] , reg_file|reg_array[1][9], mips_16, 1
+instance = comp, \reg_file|reg_array[2][9] , reg_file|reg_array[2][9], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[9]~9 , reg_file|reg_read_data_2[9]~9, mips_16, 1
+instance = comp, \read_data2[9]~9 , read_data2[9]~9, mips_16, 1
+instance = comp, \reg_file|reg_array[4][8] , reg_file|reg_array[4][8], mips_16, 1
+instance = comp, \reg_file|reg_array[3][8] , reg_file|reg_array[3][8], mips_16, 1
+instance = comp, \reg_file|reg_array[7][8] , reg_file|reg_array[7][8], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[8]~22 , reg_file|reg_read_data_1[8]~22, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[8]~23 , reg_file|reg_read_data_1[8]~23, mips_16, 1
+instance = comp, \reg_file|reg_array[3][7] , reg_file|reg_array[3][7], mips_16, 1
+instance = comp, \reg_file|reg_array[2][7] , reg_file|reg_array[2][7], mips_16, 1
+instance = comp, \reg_file|reg_array[1][7] , reg_file|reg_array[1][7], mips_16, 1
+instance = comp, \reg_file|reg_array[0][7] , reg_file|reg_array[0][7], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[7]~7 , reg_file|reg_read_data_2[7]~7, mips_16, 1
+instance = comp, \read_data2[7]~7 , read_data2[7]~7, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[7]~21 , reg_file|reg_read_data_1[7]~21, mips_16, 1
+instance = comp, \reg_file|reg_array[0][6] , reg_file|reg_array[0][6], mips_16, 1
+instance = comp, \reg_file|reg_array[2][6] , reg_file|reg_array[2][6], mips_16, 1
+instance = comp, \reg_file|reg_array[1][6] , reg_file|reg_array[1][6], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[6]~6 , reg_file|reg_read_data_2[6]~6, mips_16, 1
+instance = comp, \read_data2[6]~6 , read_data2[6]~6, mips_16, 1
+instance = comp, \alu_unit|Add0~17 , alu_unit|Add0~17, mips_16, 1
+instance = comp, \alu_unit|Add0~21 , alu_unit|Add0~21, mips_16, 1
+instance = comp, \alu_unit|Add0~25 , alu_unit|Add0~25, mips_16, 1
+instance = comp, \alu_unit|Add1~66 , alu_unit|Add1~66, mips_16, 1
+instance = comp, \alu_unit|Add1~61 , alu_unit|Add1~61, mips_16, 1
+instance = comp, \alu_unit|Add1~1 , alu_unit|Add1~1, mips_16, 1
+instance = comp, \alu_unit|Add1~5 , alu_unit|Add1~5, mips_16, 1
+instance = comp, \alu_unit|Add1~9 , alu_unit|Add1~9, mips_16, 1
+instance = comp, \alu_unit|Add1~13 , alu_unit|Add1~13, mips_16, 1
+instance = comp, \alu_unit|Add1~17 , alu_unit|Add1~17, mips_16, 1
+instance = comp, \alu_unit|Add1~21 , alu_unit|Add1~21, mips_16, 1
+instance = comp, \alu_unit|Add1~25 , alu_unit|Add1~25, mips_16, 1
+instance = comp, \alu_unit|Mux8~0 , alu_unit|Mux8~0, mips_16, 1
+instance = comp, \alu_unit|Mux10~7 , alu_unit|Mux10~7, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[8]~8 , alu_unit|shifter_right|st2[8]~8, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[0]~9 , alu_unit|shifter_right|st2[0]~9, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[0]~10 , alu_unit|shifter_right|st2[0]~10, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[14]~29 , reg_file|reg_read_data_1[14]~29, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[4]~7 , alu_unit|shifter_right|st2[4]~7, mips_16, 1
+instance = comp, \alu_unit|Mux8~1 , alu_unit|Mux8~1, mips_16, 1
+instance = comp, \alu_unit|Mult0~8 , alu_unit|Mult0~8, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[7]~8 , alu_unit|shifter_left|st2[7]~8, mips_16, 1
+instance = comp, \alu_unit|Mux8~3 , alu_unit|Mux8~3, mips_16, 1
+instance = comp, \alu_unit|Mux8~2 , alu_unit|Mux8~2, mips_16, 1
+instance = comp, \datamem|ram~1319feeder , datamem|ram~1319feeder, mips_16, 1
+instance = comp, \control_unit|mem_write~1 , control_unit|mem_write~1, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[0]~5 , alu_unit|shifter_left|st2[0]~5, mips_16, 1
+instance = comp, \alu_unit|Mux6~1 , alu_unit|Mux6~1, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[8]~9 , alu_unit|shifter_left|st2[8]~9, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[4]~4 , alu_unit|shifter_left|st2[4]~4, mips_16, 1
+instance = comp, \alu_unit|Mux7~1 , alu_unit|Mux7~1, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[3]~13 , alu_unit|shifter_right|st2[3]~13, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[7]~12 , alu_unit|shifter_right|st2[7]~12, mips_16, 1
+instance = comp, \alu_unit|Mux7~3 , alu_unit|Mux7~3, mips_16, 1
+instance = comp, \datamem|ram~5585 , datamem|ram~5585, mips_16, 1
+instance = comp, \datamem|ram~5469 , datamem|ram~5469, mips_16, 1
+instance = comp, \datamem|ram~5610 , datamem|ram~5610, mips_16, 1
+instance = comp, \datamem|ram~1319 , datamem|ram~1319, mips_16, 1
+instance = comp, \datamem|ram~5501 , datamem|ram~5501, mips_16, 1
+instance = comp, \datamem|ram~5611 , datamem|ram~5611, mips_16, 1
+instance = comp, \datamem|ram~1383 , datamem|ram~1383, mips_16, 1
+instance = comp, \datamem|ram~1447feeder , datamem|ram~1447feeder, mips_16, 1
+instance = comp, \datamem|ram~5539 , datamem|ram~5539, mips_16, 1
+instance = comp, \datamem|ram~5612 , datamem|ram~5612, mips_16, 1
+instance = comp, \datamem|ram~1447 , datamem|ram~1447, mips_16, 1
+instance = comp, \datamem|ram~5565 , datamem|ram~5565, mips_16, 1
+instance = comp, \datamem|ram~5613 , datamem|ram~5613, mips_16, 1
+instance = comp, \datamem|ram~1511 , datamem|ram~1511, mips_16, 1
+instance = comp, \datamem|ram~5059 , datamem|ram~5059, mips_16, 1
+instance = comp, \datamem|ram~5563 , datamem|ram~5563, mips_16, 1
+instance = comp, \datamem|ram~5609 , datamem|ram~5609, mips_16, 1
+instance = comp, \datamem|ram~1495 , datamem|ram~1495, mips_16, 1
+instance = comp, \datamem|ram~5531 , datamem|ram~5531, mips_16, 1
+instance = comp, \datamem|ram~5608 , datamem|ram~5608, mips_16, 1
+instance = comp, \datamem|ram~1431 , datamem|ram~1431, mips_16, 1
+instance = comp, \datamem|ram~5467 , datamem|ram~5467, mips_16, 1
+instance = comp, \datamem|ram~5606 , datamem|ram~5606, mips_16, 1
+instance = comp, \datamem|ram~1303 , datamem|ram~1303, mips_16, 1
+instance = comp, \datamem|ram~5499 , datamem|ram~5499, mips_16, 1
+instance = comp, \datamem|ram~5607 , datamem|ram~5607, mips_16, 1
+instance = comp, \datamem|ram~1367 , datamem|ram~1367, mips_16, 1
+instance = comp, \datamem|ram~5058 , datamem|ram~5058, mips_16, 1
+instance = comp, \datamem|ram~1463feeder , datamem|ram~1463feeder, mips_16, 1
+instance = comp, \datamem|ram~5547 , datamem|ram~5547, mips_16, 1
+instance = comp, \datamem|ram~5616 , datamem|ram~5616, mips_16, 1
+instance = comp, \datamem|ram~1463 , datamem|ram~1463, mips_16, 1
+instance = comp, \datamem|ram~1399feeder , datamem|ram~1399feeder, mips_16, 1
+instance = comp, \datamem|ram~5503 , datamem|ram~5503, mips_16, 1
+instance = comp, \datamem|ram~5615 , datamem|ram~5615, mips_16, 1
+instance = comp, \datamem|ram~1399 , datamem|ram~1399, mips_16, 1
+instance = comp, \datamem|ram~5471 , datamem|ram~5471, mips_16, 1
+instance = comp, \datamem|ram~5614 , datamem|ram~5614, mips_16, 1
+instance = comp, \datamem|ram~1335 , datamem|ram~1335, mips_16, 1
+instance = comp, \datamem|ram~5567 , datamem|ram~5567, mips_16, 1
+instance = comp, \datamem|ram~5617 , datamem|ram~5617, mips_16, 1
+instance = comp, \datamem|ram~1527 , datamem|ram~1527, mips_16, 1
+instance = comp, \datamem|ram~5060 , datamem|ram~5060, mips_16, 1
+instance = comp, \datamem|ram~5523 , datamem|ram~5523, mips_16, 1
+instance = comp, \datamem|ram~5604 , datamem|ram~5604, mips_16, 1
+instance = comp, \datamem|ram~1415 , datamem|ram~1415, mips_16, 1
+instance = comp, \datamem|ram~5465 , datamem|ram~5465, mips_16, 1
+instance = comp, \datamem|ram~5602 , datamem|ram~5602, mips_16, 1
+instance = comp, \datamem|ram~1287 , datamem|ram~1287, mips_16, 1
+instance = comp, \datamem|ram~5561 , datamem|ram~5561, mips_16, 1
+instance = comp, \datamem|ram~5605 , datamem|ram~5605, mips_16, 1
+instance = comp, \datamem|ram~1479 , datamem|ram~1479, mips_16, 1
+instance = comp, \datamem|ram~5497 , datamem|ram~5497, mips_16, 1
+instance = comp, \datamem|ram~5603 , datamem|ram~5603, mips_16, 1
+instance = comp, \datamem|ram~1351 , datamem|ram~1351, mips_16, 1
+instance = comp, \datamem|ram~5057 , datamem|ram~5057, mips_16, 1
+instance = comp, \datamem|ram~5061 , datamem|ram~5061, mips_16, 1
+instance = comp, \datamem|ram~5459 , datamem|ram~5459, mips_16, 1
+instance = comp, \datamem|ram~5590 , datamem|ram~5590, mips_16, 1
+instance = comp, \datamem|ram~1047 , datamem|ram~1047, mips_16, 1
+instance = comp, \datamem|ram~1175feeder , datamem|ram~1175feeder, mips_16, 1
+instance = comp, \datamem|ram~5529 , datamem|ram~5529, mips_16, 1
+instance = comp, \datamem|ram~5592 , datamem|ram~5592, mips_16, 1
+instance = comp, \datamem|ram~1175 , datamem|ram~1175, mips_16, 1
+instance = comp, \datamem|ram~5555 , datamem|ram~5555, mips_16, 1
+instance = comp, \datamem|ram~5593 , datamem|ram~5593, mips_16, 1
+instance = comp, \datamem|ram~1239 , datamem|ram~1239, mips_16, 1
+instance = comp, \datamem|ram~5491 , datamem|ram~5491, mips_16, 1
+instance = comp, \datamem|ram~5591 , datamem|ram~5591, mips_16, 1
+instance = comp, \datamem|ram~1111 , datamem|ram~1111, mips_16, 1
+instance = comp, \datamem|ram~5053 , datamem|ram~5053, mips_16, 1
+instance = comp, \datamem|ram~5521 , datamem|ram~5521, mips_16, 1
+instance = comp, \datamem|ram~5588 , datamem|ram~5588, mips_16, 1
+instance = comp, \datamem|ram~1159 , datamem|ram~1159, mips_16, 1
+instance = comp, \datamem|ram~5553 , datamem|ram~5553, mips_16, 1
+instance = comp, \datamem|ram~5589 , datamem|ram~5589, mips_16, 1
+instance = comp, \datamem|ram~1223 , datamem|ram~1223, mips_16, 1
+instance = comp, \datamem|ram~5456 , datamem|ram~5456, mips_16, 1
+instance = comp, \datamem|ram~5586 , datamem|ram~5586, mips_16, 1
+instance = comp, \datamem|ram~1031 , datamem|ram~1031, mips_16, 1
+instance = comp, \datamem|ram~5489 , datamem|ram~5489, mips_16, 1
+instance = comp, \datamem|ram~5587 , datamem|ram~5587, mips_16, 1
+instance = comp, \datamem|ram~1095 , datamem|ram~1095, mips_16, 1
+instance = comp, \datamem|ram~5052 , datamem|ram~5052, mips_16, 1
+instance = comp, \datamem|ram~1127feeder , datamem|ram~1127feeder, mips_16, 1
+instance = comp, \datamem|ram~5493 , datamem|ram~5493, mips_16, 1
+instance = comp, \datamem|ram~5595 , datamem|ram~5595, mips_16, 1
+instance = comp, \datamem|ram~1127 , datamem|ram~1127, mips_16, 1
+instance = comp, \datamem|ram~1063feeder , datamem|ram~1063feeder, mips_16, 1
+instance = comp, \datamem|ram~5461 , datamem|ram~5461, mips_16, 1
+instance = comp, \datamem|ram~5594 , datamem|ram~5594, mips_16, 1
+instance = comp, \datamem|ram~1063 , datamem|ram~1063, mips_16, 1
+instance = comp, \datamem|ram~5537 , datamem|ram~5537, mips_16, 1
+instance = comp, \datamem|ram~5596 , datamem|ram~5596, mips_16, 1
+instance = comp, \datamem|ram~1191 , datamem|ram~1191, mips_16, 1
+instance = comp, \datamem|ram~5557 , datamem|ram~5557, mips_16, 1
+instance = comp, \datamem|ram~5597 , datamem|ram~5597, mips_16, 1
+instance = comp, \datamem|ram~1255 , datamem|ram~1255, mips_16, 1
+instance = comp, \datamem|ram~5054 , datamem|ram~5054, mips_16, 1
+instance = comp, \datamem|ram~1079feeder , datamem|ram~1079feeder, mips_16, 1
+instance = comp, \datamem|ram~5463 , datamem|ram~5463, mips_16, 1
+instance = comp, \datamem|ram~5598 , datamem|ram~5598, mips_16, 1
+instance = comp, \datamem|ram~1079 , datamem|ram~1079, mips_16, 1
+instance = comp, \datamem|ram~1207feeder , datamem|ram~1207feeder, mips_16, 1
+instance = comp, \datamem|ram~5545 , datamem|ram~5545, mips_16, 1
+instance = comp, \datamem|ram~5600 , datamem|ram~5600, mips_16, 1
+instance = comp, \datamem|ram~1207 , datamem|ram~1207, mips_16, 1
+instance = comp, \datamem|ram~1143feeder , datamem|ram~1143feeder, mips_16, 1
+instance = comp, \datamem|ram~5495 , datamem|ram~5495, mips_16, 1
+instance = comp, \datamem|ram~5599 , datamem|ram~5599, mips_16, 1
+instance = comp, \datamem|ram~1143 , datamem|ram~1143, mips_16, 1
+instance = comp, \datamem|ram~5559 , datamem|ram~5559, mips_16, 1
+instance = comp, \datamem|ram~5601 , datamem|ram~5601, mips_16, 1
+instance = comp, \datamem|ram~1271 , datamem|ram~1271, mips_16, 1
+instance = comp, \datamem|ram~5055 , datamem|ram~5055, mips_16, 1
+instance = comp, \datamem|ram~5056 , datamem|ram~5056, mips_16, 1
+instance = comp, \datamem|ram~5479 , datamem|ram~5479, mips_16, 1
+instance = comp, \datamem|ram~5630 , datamem|ram~5630, mips_16, 1
+instance = comp, \datamem|ram~1591 , datamem|ram~1591, mips_16, 1
+instance = comp, \datamem|ram~1655feeder , datamem|ram~1655feeder, mips_16, 1
+instance = comp, \datamem|ram~5511 , datamem|ram~5511, mips_16, 1
+instance = comp, \datamem|ram~5631 , datamem|ram~5631, mips_16, 1
+instance = comp, \datamem|ram~1655 , datamem|ram~1655, mips_16, 1
+instance = comp, \datamem|ram~1719feeder , datamem|ram~1719feeder, mips_16, 1
+instance = comp, \datamem|ram~5549 , datamem|ram~5549, mips_16, 1
+instance = comp, \datamem|ram~5632 , datamem|ram~5632, mips_16, 1
+instance = comp, \datamem|ram~1719 , datamem|ram~1719, mips_16, 1
+instance = comp, \datamem|ram~5575 , datamem|ram~5575, mips_16, 1
+instance = comp, \datamem|ram~5633 , datamem|ram~5633, mips_16, 1
+instance = comp, \datamem|ram~1783 , datamem|ram~1783, mips_16, 1
+instance = comp, \datamem|ram~5065 , datamem|ram~5065, mips_16, 1
+instance = comp, \datamem|ram~5573 , datamem|ram~5573, mips_16, 1
+instance = comp, \datamem|ram~5629 , datamem|ram~5629, mips_16, 1
+instance = comp, \datamem|ram~1767 , datamem|ram~1767, mips_16, 1
+instance = comp, \datamem|ram~1703feeder , datamem|ram~1703feeder, mips_16, 1
+instance = comp, \datamem|ram~5541 , datamem|ram~5541, mips_16, 1
+instance = comp, \datamem|ram~5628 , datamem|ram~5628, mips_16, 1
+instance = comp, \datamem|ram~1703 , datamem|ram~1703, mips_16, 1
+instance = comp, \datamem|ram~5477 , datamem|ram~5477, mips_16, 1
+instance = comp, \datamem|ram~5626 , datamem|ram~5626, mips_16, 1
+instance = comp, \datamem|ram~1575 , datamem|ram~1575, mips_16, 1
+instance = comp, \datamem|ram~1639feeder , datamem|ram~1639feeder, mips_16, 1
+instance = comp, \datamem|ram~5509 , datamem|ram~5509, mips_16, 1
+instance = comp, \datamem|ram~5627 , datamem|ram~5627, mips_16, 1
+instance = comp, \datamem|ram~1639 , datamem|ram~1639, mips_16, 1
+instance = comp, \datamem|ram~5064 , datamem|ram~5064, mips_16, 1
+instance = comp, \datamem|ram~1543feeder , datamem|ram~1543feeder, mips_16, 1
+instance = comp, \datamem|ram~5473 , datamem|ram~5473, mips_16, 1
+instance = comp, \datamem|ram~5618 , datamem|ram~5618, mips_16, 1
+instance = comp, \datamem|ram~1543 , datamem|ram~1543, mips_16, 1
+instance = comp, \datamem|ram~1607feeder , datamem|ram~1607feeder, mips_16, 1
+instance = comp, \datamem|ram~5505 , datamem|ram~5505, mips_16, 1
+instance = comp, \datamem|ram~5619 , datamem|ram~5619, mips_16, 1
+instance = comp, \datamem|ram~1607 , datamem|ram~1607, mips_16, 1
+instance = comp, \datamem|ram~5525 , datamem|ram~5525, mips_16, 1
+instance = comp, \datamem|ram~5620 , datamem|ram~5620, mips_16, 1
+instance = comp, \datamem|ram~1671 , datamem|ram~1671, mips_16, 1
+instance = comp, \datamem|ram~5569 , datamem|ram~5569, mips_16, 1
+instance = comp, \datamem|ram~5621 , datamem|ram~5621, mips_16, 1
+instance = comp, \datamem|ram~1735 , datamem|ram~1735, mips_16, 1
+instance = comp, \datamem|ram~5062 , datamem|ram~5062, mips_16, 1
+instance = comp, \datamem|ram~1623feeder , datamem|ram~1623feeder, mips_16, 1
+instance = comp, \datamem|ram~5507 , datamem|ram~5507, mips_16, 1
+instance = comp, \datamem|ram~5623 , datamem|ram~5623, mips_16, 1
+instance = comp, \datamem|ram~1623 , datamem|ram~1623, mips_16, 1
+instance = comp, \datamem|ram~5475 , datamem|ram~5475, mips_16, 1
+instance = comp, \datamem|ram~5622 , datamem|ram~5622, mips_16, 1
+instance = comp, \datamem|ram~1559 , datamem|ram~1559, mips_16, 1
+instance = comp, \datamem|ram~1687feeder , datamem|ram~1687feeder, mips_16, 1
+instance = comp, \datamem|ram~5533 , datamem|ram~5533, mips_16, 1
+instance = comp, \datamem|ram~5624 , datamem|ram~5624, mips_16, 1
+instance = comp, \datamem|ram~1687 , datamem|ram~1687, mips_16, 1
+instance = comp, \datamem|ram~5571 , datamem|ram~5571, mips_16, 1
+instance = comp, \datamem|ram~5625 , datamem|ram~5625, mips_16, 1
+instance = comp, \datamem|ram~1751 , datamem|ram~1751, mips_16, 1
+instance = comp, \datamem|ram~5063 , datamem|ram~5063, mips_16, 1
+instance = comp, \datamem|ram~5066 , datamem|ram~5066, mips_16, 1
+instance = comp, \datamem|ram~5583 , datamem|ram~5583, mips_16, 1
+instance = comp, \datamem|ram~5649 , datamem|ram~5649, mips_16, 1
+instance = comp, \datamem|ram~2039 , datamem|ram~2039, mips_16, 1
+instance = comp, \datamem|ram~5577 , datamem|ram~5577, mips_16, 1
+instance = comp, \datamem|ram~5646 , datamem|ram~5646, mips_16, 1
+instance = comp, \datamem|ram~1991 , datamem|ram~1991, mips_16, 1
+instance = comp, \datamem|ram~5579 , datamem|ram~5579, mips_16, 1
+instance = comp, \datamem|ram~5647 , datamem|ram~5647, mips_16, 1
+instance = comp, \datamem|ram~2007 , datamem|ram~2007, mips_16, 1
+instance = comp, \datamem|ram~5581 , datamem|ram~5581, mips_16, 1
+instance = comp, \datamem|ram~5648 , datamem|ram~5648, mips_16, 1
+instance = comp, \datamem|ram~2023 , datamem|ram~2023, mips_16, 1
+instance = comp, \datamem|ram~5070 , datamem|ram~5070, mips_16, 1
+instance = comp, \datamem|ram~1799feeder , datamem|ram~1799feeder, mips_16, 1
+instance = comp, \datamem|ram~5481 , datamem|ram~5481, mips_16, 1
+instance = comp, \datamem|ram~5634 , datamem|ram~5634, mips_16, 1
+instance = comp, \datamem|ram~1799 , datamem|ram~1799, mips_16, 1
+instance = comp, \datamem|ram~1815feeder , datamem|ram~1815feeder, mips_16, 1
+instance = comp, \datamem|ram~5483 , datamem|ram~5483, mips_16, 1
+instance = comp, \datamem|ram~5635 , datamem|ram~5635, mips_16, 1
+instance = comp, \datamem|ram~1815 , datamem|ram~1815, mips_16, 1
+instance = comp, \datamem|ram~1831feeder , datamem|ram~1831feeder, mips_16, 1
+instance = comp, \datamem|ram~5485 , datamem|ram~5485, mips_16, 1
+instance = comp, \datamem|ram~5636 , datamem|ram~5636, mips_16, 1
+instance = comp, \datamem|ram~1831 , datamem|ram~1831, mips_16, 1
+instance = comp, \datamem|ram~5487 , datamem|ram~5487, mips_16, 1
+instance = comp, \datamem|ram~5637 , datamem|ram~5637, mips_16, 1
+instance = comp, \datamem|ram~1847 , datamem|ram~1847, mips_16, 1
+instance = comp, \datamem|ram~5067 , datamem|ram~5067, mips_16, 1
+instance = comp, \datamem|ram~5543 , datamem|ram~5543, mips_16, 1
+instance = comp, \datamem|ram~5644 , datamem|ram~5644, mips_16, 1
+instance = comp, \datamem|ram~1959 , datamem|ram~1959, mips_16, 1
+instance = comp, \datamem|ram~1927feeder , datamem|ram~1927feeder, mips_16, 1
+instance = comp, \datamem|ram~5527 , datamem|ram~5527, mips_16, 1
+instance = comp, \datamem|ram~5642 , datamem|ram~5642, mips_16, 1
+instance = comp, \datamem|ram~1927 , datamem|ram~1927, mips_16, 1
+instance = comp, \datamem|ram~5535 , datamem|ram~5535, mips_16, 1
+instance = comp, \datamem|ram~5643 , datamem|ram~5643, mips_16, 1
+instance = comp, \datamem|ram~1943 , datamem|ram~1943, mips_16, 1
+instance = comp, \datamem|ram~5551 , datamem|ram~5551, mips_16, 1
+instance = comp, \datamem|ram~5645 , datamem|ram~5645, mips_16, 1
+instance = comp, \datamem|ram~1975 , datamem|ram~1975, mips_16, 1
+instance = comp, \datamem|ram~5069 , datamem|ram~5069, mips_16, 1
+instance = comp, \datamem|ram~1879feeder , datamem|ram~1879feeder, mips_16, 1
+instance = comp, \datamem|ram~5515 , datamem|ram~5515, mips_16, 1
+instance = comp, \datamem|ram~5639 , datamem|ram~5639, mips_16, 1
+instance = comp, \datamem|ram~1879 , datamem|ram~1879, mips_16, 1
+instance = comp, \datamem|ram~1863feeder , datamem|ram~1863feeder, mips_16, 1
+instance = comp, \datamem|ram~5513 , datamem|ram~5513, mips_16, 1
+instance = comp, \datamem|ram~5638 , datamem|ram~5638, mips_16, 1
+instance = comp, \datamem|ram~1863 , datamem|ram~1863, mips_16, 1
+instance = comp, \datamem|ram~1895feeder , datamem|ram~1895feeder, mips_16, 1
+instance = comp, \datamem|ram~5517 , datamem|ram~5517, mips_16, 1
+instance = comp, \datamem|ram~5640 , datamem|ram~5640, mips_16, 1
+instance = comp, \datamem|ram~1895 , datamem|ram~1895, mips_16, 1
+instance = comp, \datamem|ram~5519 , datamem|ram~5519, mips_16, 1
+instance = comp, \datamem|ram~5641 , datamem|ram~5641, mips_16, 1
+instance = comp, \datamem|ram~1911 , datamem|ram~1911, mips_16, 1
+instance = comp, \datamem|ram~5068 , datamem|ram~5068, mips_16, 1
+instance = comp, \datamem|ram~5071 , datamem|ram~5071, mips_16, 1
+instance = comp, \datamem|ram~5072 , datamem|ram~5072, mips_16, 1
+instance = comp, \datamem|ram~5457 , datamem|ram~5457, mips_16, 1
+instance = comp, \datamem|ram~5554 , datamem|ram~5554, mips_16, 1
+instance = comp, \datamem|ram~199 , datamem|ram~199, mips_16, 1
+instance = comp, \datamem|ram~5556 , datamem|ram~5556, mips_16, 1
+instance = comp, \datamem|ram~215 , datamem|ram~215, mips_16, 1
+instance = comp, \datamem|ram~247feeder , datamem|ram~247feeder, mips_16, 1
+instance = comp, \datamem|ram~5560 , datamem|ram~5560, mips_16, 1
+instance = comp, \datamem|ram~247 , datamem|ram~247, mips_16, 1
+instance = comp, \datamem|ram~231feeder , datamem|ram~231feeder, mips_16, 1
+instance = comp, \datamem|ram~5558 , datamem|ram~5558, mips_16, 1
+instance = comp, \datamem|ram~231 , datamem|ram~231, mips_16, 1
+instance = comp, \datamem|ram~5046 , datamem|ram~5046, mips_16, 1
+instance = comp, \datamem|ram~487feeder , datamem|ram~487feeder, mips_16, 1
+instance = comp, \datamem|ram~5566 , datamem|ram~5566, mips_16, 1
+instance = comp, \datamem|ram~487 , datamem|ram~487, mips_16, 1
+instance = comp, \datamem|ram~5562 , datamem|ram~5562, mips_16, 1
+instance = comp, \datamem|ram~455 , datamem|ram~455, mips_16, 1
+instance = comp, \datamem|ram~471feeder , datamem|ram~471feeder, mips_16, 1
+instance = comp, \datamem|ram~5564 , datamem|ram~5564, mips_16, 1
+instance = comp, \datamem|ram~471 , datamem|ram~471, mips_16, 1
+instance = comp, \datamem|ram~5568 , datamem|ram~5568, mips_16, 1
+instance = comp, \datamem|ram~503 , datamem|ram~503, mips_16, 1
+instance = comp, \datamem|ram~5047 , datamem|ram~5047, mips_16, 1
+instance = comp, \datamem|ram~743feeder , datamem|ram~743feeder, mips_16, 1
+instance = comp, \datamem|ram~5574 , datamem|ram~5574, mips_16, 1
+instance = comp, \datamem|ram~743 , datamem|ram~743, mips_16, 1
+instance = comp, \datamem|ram~759feeder , datamem|ram~759feeder, mips_16, 1
+instance = comp, \datamem|ram~5576 , datamem|ram~5576, mips_16, 1
+instance = comp, \datamem|ram~759 , datamem|ram~759, mips_16, 1
+instance = comp, \datamem|ram~5570 , datamem|ram~5570, mips_16, 1
+instance = comp, \datamem|ram~711 , datamem|ram~711, mips_16, 1
+instance = comp, \datamem|ram~5572 , datamem|ram~5572, mips_16, 1
+instance = comp, \datamem|ram~727 , datamem|ram~727, mips_16, 1
+instance = comp, \datamem|ram~5048 , datamem|ram~5048, mips_16, 1
+instance = comp, \datamem|ram~999feeder , datamem|ram~999feeder, mips_16, 1
+instance = comp, \datamem|ram~5582 , datamem|ram~5582, mips_16, 1
+instance = comp, \datamem|ram~999 , datamem|ram~999, mips_16, 1
+instance = comp, \datamem|ram~5584 , datamem|ram~5584, mips_16, 1
+instance = comp, \datamem|ram~1015 , datamem|ram~1015, mips_16, 1
+instance = comp, \datamem|ram~5580 , datamem|ram~5580, mips_16, 1
+instance = comp, \datamem|ram~983 , datamem|ram~983, mips_16, 1
+instance = comp, \datamem|ram~5578 , datamem|ram~5578, mips_16, 1
+instance = comp, \datamem|ram~967 , datamem|ram~967, mips_16, 1
+instance = comp, \datamem|ram~5049 , datamem|ram~5049, mips_16, 1
+instance = comp, \datamem|ram~5050 , datamem|ram~5050, mips_16, 1
+instance = comp, \datamem|ram~5522 , datamem|ram~5522, mips_16, 1
+instance = comp, \datamem|ram~135 , datamem|ram~135, mips_16, 1
+instance = comp, \datamem|ram~5526 , datamem|ram~5526, mips_16, 1
+instance = comp, \datamem|ram~647 , datamem|ram~647, mips_16, 1
+instance = comp, \datamem|ram~5524 , datamem|ram~5524, mips_16, 1
+instance = comp, \datamem|ram~391 , datamem|ram~391, mips_16, 1
+instance = comp, \datamem|ram~5528 , datamem|ram~5528, mips_16, 1
+instance = comp, \datamem|ram~903 , datamem|ram~903, mips_16, 1
+instance = comp, \datamem|ram~5041 , datamem|ram~5041, mips_16, 1
+instance = comp, \datamem|ram~663feeder , datamem|ram~663feeder, mips_16, 1
+instance = comp, \datamem|ram~5534 , datamem|ram~5534, mips_16, 1
+instance = comp, \datamem|ram~663 , datamem|ram~663, mips_16, 1
+instance = comp, \datamem|ram~5530 , datamem|ram~5530, mips_16, 1
+instance = comp, \datamem|ram~151 , datamem|ram~151, mips_16, 1
+instance = comp, \datamem|ram~5532 , datamem|ram~5532, mips_16, 1
+instance = comp, \datamem|ram~407 , datamem|ram~407, mips_16, 1
+instance = comp, \datamem|ram~5536 , datamem|ram~5536, mips_16, 1
+instance = comp, \datamem|ram~919 , datamem|ram~919, mips_16, 1
+instance = comp, \datamem|ram~5042 , datamem|ram~5042, mips_16, 1
+instance = comp, \datamem|ram~167feeder , datamem|ram~167feeder, mips_16, 1
+instance = comp, \datamem|ram~5538 , datamem|ram~5538, mips_16, 1
+instance = comp, \datamem|ram~167 , datamem|ram~167, mips_16, 1
+instance = comp, \datamem|ram~5540 , datamem|ram~5540, mips_16, 1
+instance = comp, \datamem|ram~423 , datamem|ram~423, mips_16, 1
+instance = comp, \datamem|ram~5542 , datamem|ram~5542, mips_16, 1
+instance = comp, \datamem|ram~679 , datamem|ram~679, mips_16, 1
+instance = comp, \datamem|ram~935feeder , datamem|ram~935feeder, mips_16, 1
+instance = comp, \datamem|ram~5544 , datamem|ram~5544, mips_16, 1
+instance = comp, \datamem|ram~935 , datamem|ram~935, mips_16, 1
+instance = comp, \datamem|ram~5043 , datamem|ram~5043, mips_16, 1
+instance = comp, \datamem|ram~439feeder , datamem|ram~439feeder, mips_16, 1
+instance = comp, \datamem|ram~5548 , datamem|ram~5548, mips_16, 1
+instance = comp, \datamem|ram~439 , datamem|ram~439, mips_16, 1
+instance = comp, \datamem|ram~183feeder , datamem|ram~183feeder, mips_16, 1
+instance = comp, \datamem|ram~5546 , datamem|ram~5546, mips_16, 1
+instance = comp, \datamem|ram~183 , datamem|ram~183, mips_16, 1
+instance = comp, \datamem|ram~5550 , datamem|ram~5550, mips_16, 1
+instance = comp, \datamem|ram~695 , datamem|ram~695, mips_16, 1
+instance = comp, \datamem|ram~5552 , datamem|ram~5552, mips_16, 1
+instance = comp, \datamem|ram~951 , datamem|ram~951, mips_16, 1
+instance = comp, \datamem|ram~5044 , datamem|ram~5044, mips_16, 1
+instance = comp, \datamem|ram~5045 , datamem|ram~5045, mips_16, 1
+instance = comp, \datamem|ram~5490 , datamem|ram~5490, mips_16, 1
+instance = comp, \datamem|ram~71 , datamem|ram~71, mips_16, 1
+instance = comp, \datamem|ram~103feeder , datamem|ram~103feeder, mips_16, 1
+instance = comp, \datamem|ram~5494 , datamem|ram~5494, mips_16, 1
+instance = comp, \datamem|ram~103 , datamem|ram~103, mips_16, 1
+instance = comp, \datamem|ram~119feeder , datamem|ram~119feeder, mips_16, 1
+instance = comp, \datamem|ram~5496 , datamem|ram~5496, mips_16, 1
+instance = comp, \datamem|ram~119 , datamem|ram~119, mips_16, 1
+instance = comp, \datamem|ram~5492 , datamem|ram~5492, mips_16, 1
+instance = comp, \datamem|ram~87 , datamem|ram~87, mips_16, 1
+instance = comp, \datamem|ram~5036 , datamem|ram~5036, mips_16, 1
+instance = comp, \datamem|ram~839feeder , datamem|ram~839feeder, mips_16, 1
+instance = comp, \datamem|ram~5514 , datamem|ram~5514, mips_16, 1
+instance = comp, \datamem|ram~839 , datamem|ram~839, mips_16, 1
+instance = comp, \datamem|ram~855feeder , datamem|ram~855feeder, mips_16, 1
+instance = comp, \datamem|ram~5516 , datamem|ram~5516, mips_16, 1
+instance = comp, \datamem|ram~855 , datamem|ram~855, mips_16, 1
+instance = comp, \datamem|ram~5518 , datamem|ram~5518, mips_16, 1
+instance = comp, \datamem|ram~871 , datamem|ram~871, mips_16, 1
+instance = comp, \datamem|ram~5520 , datamem|ram~5520, mips_16, 1
+instance = comp, \datamem|ram~887 , datamem|ram~887, mips_16, 1
+instance = comp, \datamem|ram~5039 , datamem|ram~5039, mips_16, 1
+instance = comp, \datamem|ram~5498 , datamem|ram~5498, mips_16, 1
+instance = comp, \datamem|ram~327 , datamem|ram~327, mips_16, 1
+instance = comp, \datamem|ram~375feeder , datamem|ram~375feeder, mips_16, 1
+instance = comp, \datamem|ram~5504 , datamem|ram~5504, mips_16, 1
+instance = comp, \datamem|ram~375 , datamem|ram~375, mips_16, 1
+instance = comp, \datamem|ram~5502 , datamem|ram~5502, mips_16, 1
+instance = comp, \datamem|ram~359 , datamem|ram~359, mips_16, 1
+instance = comp, \datamem|ram~5500 , datamem|ram~5500, mips_16, 1
+instance = comp, \datamem|ram~343 , datamem|ram~343, mips_16, 1
+instance = comp, \datamem|ram~5037 , datamem|ram~5037, mips_16, 1
+instance = comp, \datamem|ram~5508 , datamem|ram~5508, mips_16, 1
+instance = comp, \datamem|ram~599 , datamem|ram~599, mips_16, 1
+instance = comp, \datamem|ram~615feeder , datamem|ram~615feeder, mips_16, 1
+instance = comp, \datamem|ram~5510 , datamem|ram~5510, mips_16, 1
+instance = comp, \datamem|ram~615 , datamem|ram~615, mips_16, 1
+instance = comp, \datamem|ram~583feeder , datamem|ram~583feeder, mips_16, 1
+instance = comp, \datamem|ram~5506 , datamem|ram~5506, mips_16, 1
+instance = comp, \datamem|ram~583 , datamem|ram~583, mips_16, 1
+instance = comp, \datamem|ram~5512 , datamem|ram~5512, mips_16, 1
+instance = comp, \datamem|ram~631 , datamem|ram~631, mips_16, 1
+instance = comp, \datamem|ram~5038 , datamem|ram~5038, mips_16, 1
+instance = comp, \datamem|ram~5040 , datamem|ram~5040, mips_16, 1
+instance = comp, \datamem|ram~39feeder , datamem|ram~39feeder, mips_16, 1
+instance = comp, \datamem|ram~5462 , datamem|ram~5462, mips_16, 1
+instance = comp, \datamem|ram~39 , datamem|ram~39, mips_16, 1
+instance = comp, \datamem|ram~5458 , datamem|ram~5458, mips_16, 1
+instance = comp, \datamem|ram~7 , datamem|ram~7, mips_16, 1
+instance = comp, \datamem|ram~55feeder , datamem|ram~55feeder, mips_16, 1
+instance = comp, \datamem|ram~5464 , datamem|ram~5464, mips_16, 1
+instance = comp, \datamem|ram~55 , datamem|ram~55, mips_16, 1
+instance = comp, \datamem|ram~5460 , datamem|ram~5460, mips_16, 1
+instance = comp, \datamem|ram~23 , datamem|ram~23, mips_16, 1
+instance = comp, \datamem|ram~5031 , datamem|ram~5031, mips_16, 1
+instance = comp, \datamem|ram~5478 , datamem|ram~5478, mips_16, 1
+instance = comp, \datamem|ram~551 , datamem|ram~551, mips_16, 1
+instance = comp, \datamem|ram~5476 , datamem|ram~5476, mips_16, 1
+instance = comp, \datamem|ram~535 , datamem|ram~535, mips_16, 1
+instance = comp, \datamem|ram~5474 , datamem|ram~5474, mips_16, 1
+instance = comp, \datamem|ram~519 , datamem|ram~519, mips_16, 1
+instance = comp, \datamem|ram~5480 , datamem|ram~5480, mips_16, 1
+instance = comp, \datamem|ram~567 , datamem|ram~567, mips_16, 1
+instance = comp, \datamem|ram~5033 , datamem|ram~5033, mips_16, 1
+instance = comp, \datamem|ram~5470 , datamem|ram~5470, mips_16, 1
+instance = comp, \datamem|ram~295 , datamem|ram~295, mips_16, 1
+instance = comp, \datamem|ram~5472 , datamem|ram~5472, mips_16, 1
+instance = comp, \datamem|ram~311 , datamem|ram~311, mips_16, 1
+instance = comp, \datamem|ram~5466 , datamem|ram~5466, mips_16, 1
+instance = comp, \datamem|ram~263 , datamem|ram~263, mips_16, 1
+instance = comp, \datamem|ram~5468 , datamem|ram~5468, mips_16, 1
+instance = comp, \datamem|ram~279 , datamem|ram~279, mips_16, 1
+instance = comp, \datamem|ram~5032 , datamem|ram~5032, mips_16, 1
+instance = comp, \datamem|ram~791feeder , datamem|ram~791feeder, mips_16, 1
+instance = comp, \datamem|ram~5484 , datamem|ram~5484, mips_16, 1
+instance = comp, \datamem|ram~791 , datamem|ram~791, mips_16, 1
+instance = comp, \datamem|ram~775feeder , datamem|ram~775feeder, mips_16, 1
+instance = comp, \datamem|ram~5482 , datamem|ram~5482, mips_16, 1
+instance = comp, \datamem|ram~775 , datamem|ram~775, mips_16, 1
+instance = comp, \datamem|ram~807feeder , datamem|ram~807feeder, mips_16, 1
+instance = comp, \datamem|ram~5486 , datamem|ram~5486, mips_16, 1
+instance = comp, \datamem|ram~807 , datamem|ram~807, mips_16, 1
+instance = comp, \datamem|ram~5488 , datamem|ram~5488, mips_16, 1
+instance = comp, \datamem|ram~823 , datamem|ram~823, mips_16, 1
+instance = comp, \datamem|ram~5034 , datamem|ram~5034, mips_16, 1
+instance = comp, \datamem|ram~5035 , datamem|ram~5035, mips_16, 1
+instance = comp, \datamem|ram~5051 , datamem|ram~5051, mips_16, 1
+instance = comp, \datamem|ram~5715 , datamem|ram~5715, mips_16, 1
+instance = comp, \datamem|ram~5773 , datamem|ram~5773, mips_16, 1
+instance = comp, \datamem|ram~3799 , datamem|ram~3799, mips_16, 1
+instance = comp, \datamem|ram~3783feeder , datamem|ram~3783feeder, mips_16, 1
+instance = comp, \datamem|ram~5772 , datamem|ram~5772, mips_16, 1
+instance = comp, \datamem|ram~3783 , datamem|ram~3783, mips_16, 1
+instance = comp, \datamem|ram~3815feeder , datamem|ram~3815feeder, mips_16, 1
+instance = comp, \datamem|ram~5774 , datamem|ram~5774, mips_16, 1
+instance = comp, \datamem|ram~3815 , datamem|ram~3815, mips_16, 1
+instance = comp, \datamem|ram~5775 , datamem|ram~5775, mips_16, 1
+instance = comp, \datamem|ram~3831 , datamem|ram~3831, mips_16, 1
+instance = comp, \datamem|ram~5111 , datamem|ram~5111, mips_16, 1
+instance = comp, \datamem|ram~5767 , datamem|ram~5767, mips_16, 1
+instance = comp, \datamem|ram~3319 , datamem|ram~3319, mips_16, 1
+instance = comp, \datamem|ram~5765 , datamem|ram~5765, mips_16, 1
+instance = comp, \datamem|ram~3287 , datamem|ram~3287, mips_16, 1
+instance = comp, \datamem|ram~5764 , datamem|ram~5764, mips_16, 1
+instance = comp, \datamem|ram~3271 , datamem|ram~3271, mips_16, 1
+instance = comp, \datamem|ram~5766 , datamem|ram~5766, mips_16, 1
+instance = comp, \datamem|ram~3303 , datamem|ram~3303, mips_16, 1
+instance = comp, \datamem|ram~5109 , datamem|ram~5109, mips_16, 1
+instance = comp, \datamem|ram~3527feeder , datamem|ram~3527feeder, mips_16, 1
+instance = comp, \datamem|ram~5768 , datamem|ram~5768, mips_16, 1
+instance = comp, \datamem|ram~3527 , datamem|ram~3527, mips_16, 1
+instance = comp, \datamem|ram~3543feeder , datamem|ram~3543feeder, mips_16, 1
+instance = comp, \datamem|ram~5769 , datamem|ram~5769, mips_16, 1
+instance = comp, \datamem|ram~3543 , datamem|ram~3543, mips_16, 1
+instance = comp, \datamem|ram~5770 , datamem|ram~5770, mips_16, 1
+instance = comp, \datamem|ram~3559 , datamem|ram~3559, mips_16, 1
+instance = comp, \datamem|ram~5771 , datamem|ram~5771, mips_16, 1
+instance = comp, \datamem|ram~3575 , datamem|ram~3575, mips_16, 1
+instance = comp, \datamem|ram~5110 , datamem|ram~5110, mips_16, 1
+instance = comp, \datamem|ram~4039feeder , datamem|ram~4039feeder, mips_16, 1
+instance = comp, \datamem|ram~5776 , datamem|ram~5776, mips_16, 1
+instance = comp, \datamem|ram~4039 , datamem|ram~4039, mips_16, 1
+instance = comp, \datamem|ram~5778 , datamem|ram~5778, mips_16, 1
+instance = comp, \datamem|ram~4071 , datamem|ram~4071, mips_16, 1
+instance = comp, \datamem|ram~5777 , datamem|ram~5777, mips_16, 1
+instance = comp, \datamem|ram~4055 , datamem|ram~4055, mips_16, 1
+instance = comp, \datamem|ram~5779 , datamem|ram~5779, mips_16, 1
+instance = comp, \datamem|ram~4087 , datamem|ram~4087, mips_16, 1
+instance = comp, \datamem|ram~5112 , datamem|ram~5112, mips_16, 1
+instance = comp, \datamem|ram~5113 , datamem|ram~5113, mips_16, 1
+instance = comp, \datamem|ram~5732 , datamem|ram~5732, mips_16, 1
+instance = comp, \datamem|ram~3143 , datamem|ram~3143, mips_16, 1
+instance = comp, \datamem|ram~5733 , datamem|ram~5733, mips_16, 1
+instance = comp, \datamem|ram~3159 , datamem|ram~3159, mips_16, 1
+instance = comp, \datamem|ram~3175feeder , datamem|ram~3175feeder, mips_16, 1
+instance = comp, \datamem|ram~5734 , datamem|ram~5734, mips_16, 1
+instance = comp, \datamem|ram~3175 , datamem|ram~3175, mips_16, 1
+instance = comp, \datamem|ram~3191feeder , datamem|ram~3191feeder, mips_16, 1
+instance = comp, \datamem|ram~5735 , datamem|ram~5735, mips_16, 1
+instance = comp, \datamem|ram~3191 , datamem|ram~3191, mips_16, 1
+instance = comp, \datamem|ram~5099 , datamem|ram~5099, mips_16, 1
+instance = comp, \datamem|ram~3431feeder , datamem|ram~3431feeder, mips_16, 1
+instance = comp, \datamem|ram~5738 , datamem|ram~5738, mips_16, 1
+instance = comp, \datamem|ram~3431 , datamem|ram~3431, mips_16, 1
+instance = comp, \datamem|ram~5737 , datamem|ram~5737, mips_16, 1
+instance = comp, \datamem|ram~3415 , datamem|ram~3415, mips_16, 1
+instance = comp, \datamem|ram~3399feeder , datamem|ram~3399feeder, mips_16, 1
+instance = comp, \datamem|ram~5736 , datamem|ram~5736, mips_16, 1
+instance = comp, \datamem|ram~3399 , datamem|ram~3399, mips_16, 1
+instance = comp, \datamem|ram~5739 , datamem|ram~5739, mips_16, 1
+instance = comp, \datamem|ram~3447 , datamem|ram~3447, mips_16, 1
+instance = comp, \datamem|ram~5100 , datamem|ram~5100, mips_16, 1
+instance = comp, \datamem|ram~3703feeder , datamem|ram~3703feeder, mips_16, 1
+instance = comp, \datamem|ram~5743 , datamem|ram~5743, mips_16, 1
+instance = comp, \datamem|ram~3703 , datamem|ram~3703, mips_16, 1
+instance = comp, \datamem|ram~5741 , datamem|ram~5741, mips_16, 1
+instance = comp, \datamem|ram~3671 , datamem|ram~3671, mips_16, 1
+instance = comp, \datamem|ram~3687feeder , datamem|ram~3687feeder, mips_16, 1
+instance = comp, \datamem|ram~5742 , datamem|ram~5742, mips_16, 1
+instance = comp, \datamem|ram~3687 , datamem|ram~3687, mips_16, 1
+instance = comp, \datamem|ram~3655feeder , datamem|ram~3655feeder, mips_16, 1
+instance = comp, \datamem|ram~5740 , datamem|ram~5740, mips_16, 1
+instance = comp, \datamem|ram~3655 , datamem|ram~3655, mips_16, 1
+instance = comp, \datamem|ram~5101 , datamem|ram~5101, mips_16, 1
+instance = comp, \datamem|ram~3927feeder , datamem|ram~3927feeder, mips_16, 1
+instance = comp, \datamem|ram~5745 , datamem|ram~5745, mips_16, 1
+instance = comp, \datamem|ram~3927 , datamem|ram~3927, mips_16, 1
+instance = comp, \datamem|ram~5746 , datamem|ram~5746, mips_16, 1
+instance = comp, \datamem|ram~3943 , datamem|ram~3943, mips_16, 1
+instance = comp, \datamem|ram~5744 , datamem|ram~5744, mips_16, 1
+instance = comp, \datamem|ram~3911 , datamem|ram~3911, mips_16, 1
+instance = comp, \datamem|ram~5747 , datamem|ram~5747, mips_16, 1
+instance = comp, \datamem|ram~3959 , datamem|ram~3959, mips_16, 1
+instance = comp, \datamem|ram~5102 , datamem|ram~5102, mips_16, 1
+instance = comp, \datamem|ram~5103 , datamem|ram~5103, mips_16, 1
+instance = comp, \datamem|ram~3239feeder , datamem|ram~3239feeder, mips_16, 1
+instance = comp, \datamem|ram~5756 , datamem|ram~5756, mips_16, 1
+instance = comp, \datamem|ram~3239 , datamem|ram~3239, mips_16, 1
+instance = comp, \datamem|ram~5758 , datamem|ram~5758, mips_16, 1
+instance = comp, \datamem|ram~3751 , datamem|ram~3751, mips_16, 1
+instance = comp, \datamem|ram~3495feeder , datamem|ram~3495feeder, mips_16, 1
+instance = comp, \datamem|ram~5757 , datamem|ram~5757, mips_16, 1
+instance = comp, \datamem|ram~3495 , datamem|ram~3495, mips_16, 1
+instance = comp, \datamem|ram~5759 , datamem|ram~5759, mips_16, 1
+instance = comp, \datamem|ram~4007 , datamem|ram~4007, mips_16, 1
+instance = comp, \datamem|ram~5106 , datamem|ram~5106, mips_16, 1
+instance = comp, \datamem|ram~3479feeder , datamem|ram~3479feeder, mips_16, 1
+instance = comp, \datamem|ram~5753 , datamem|ram~5753, mips_16, 1
+instance = comp, \datamem|ram~3479 , datamem|ram~3479, mips_16, 1
+instance = comp, \datamem|ram~3223feeder , datamem|ram~3223feeder, mips_16, 1
+instance = comp, \datamem|ram~5752 , datamem|ram~5752, mips_16, 1
+instance = comp, \datamem|ram~3223 , datamem|ram~3223, mips_16, 1
+instance = comp, \datamem|ram~3735feeder , datamem|ram~3735feeder, mips_16, 1
+instance = comp, \datamem|ram~5754 , datamem|ram~5754, mips_16, 1
+instance = comp, \datamem|ram~3735 , datamem|ram~3735, mips_16, 1
+instance = comp, \datamem|ram~5755 , datamem|ram~5755, mips_16, 1
+instance = comp, \datamem|ram~3991 , datamem|ram~3991, mips_16, 1
+instance = comp, \datamem|ram~5105 , datamem|ram~5105, mips_16, 1
+instance = comp, \datamem|ram~5760 , datamem|ram~5760, mips_16, 1
+instance = comp, \datamem|ram~3255 , datamem|ram~3255, mips_16, 1
+instance = comp, \datamem|ram~3767feeder , datamem|ram~3767feeder, mips_16, 1
+instance = comp, \datamem|ram~5762 , datamem|ram~5762, mips_16, 1
+instance = comp, \datamem|ram~3767 , datamem|ram~3767, mips_16, 1
+instance = comp, \datamem|ram~5761 , datamem|ram~5761, mips_16, 1
+instance = comp, \datamem|ram~3511 , datamem|ram~3511, mips_16, 1
+instance = comp, \datamem|ram~5763 , datamem|ram~5763, mips_16, 1
+instance = comp, \datamem|ram~4023 , datamem|ram~4023, mips_16, 1
+instance = comp, \datamem|ram~5107 , datamem|ram~5107, mips_16, 1
+instance = comp, \datamem|ram~3463feeder , datamem|ram~3463feeder, mips_16, 1
+instance = comp, \datamem|ram~5749 , datamem|ram~5749, mips_16, 1
+instance = comp, \datamem|ram~3463 , datamem|ram~3463, mips_16, 1
+instance = comp, \datamem|ram~5748 , datamem|ram~5748, mips_16, 1
+instance = comp, \datamem|ram~3207 , datamem|ram~3207, mips_16, 1
+instance = comp, \datamem|ram~5750 , datamem|ram~5750, mips_16, 1
+instance = comp, \datamem|ram~3719 , datamem|ram~3719, mips_16, 1
+instance = comp, \datamem|ram~5751 , datamem|ram~5751, mips_16, 1
+instance = comp, \datamem|ram~3975 , datamem|ram~3975, mips_16, 1
+instance = comp, \datamem|ram~5104 , datamem|ram~5104, mips_16, 1
+instance = comp, \datamem|ram~5108 , datamem|ram~5108, mips_16, 1
+instance = comp, \datamem|ram~3863feeder , datamem|ram~3863feeder, mips_16, 1
+instance = comp, \datamem|ram~5729 , datamem|ram~5729, mips_16, 1
+instance = comp, \datamem|ram~3863 , datamem|ram~3863, mips_16, 1
+instance = comp, \datamem|ram~5730 , datamem|ram~5730, mips_16, 1
+instance = comp, \datamem|ram~3879 , datamem|ram~3879, mips_16, 1
+instance = comp, \datamem|ram~5728 , datamem|ram~5728, mips_16, 1
+instance = comp, \datamem|ram~3847 , datamem|ram~3847, mips_16, 1
+instance = comp, \datamem|ram~5731 , datamem|ram~5731, mips_16, 1
+instance = comp, \datamem|ram~3895 , datamem|ram~3895, mips_16, 1
+instance = comp, \datamem|ram~5097 , datamem|ram~5097, mips_16, 1
+instance = comp, \datamem|ram~3367feeder , datamem|ram~3367feeder, mips_16, 1
+instance = comp, \datamem|ram~5722 , datamem|ram~5722, mips_16, 1
+instance = comp, \datamem|ram~3367 , datamem|ram~3367, mips_16, 1
+instance = comp, \datamem|ram~5721 , datamem|ram~5721, mips_16, 1
+instance = comp, \datamem|ram~3351 , datamem|ram~3351, mips_16, 1
+instance = comp, \datamem|ram~5720 , datamem|ram~5720, mips_16, 1
+instance = comp, \datamem|ram~3335 , datamem|ram~3335, mips_16, 1
+instance = comp, \datamem|ram~5723 , datamem|ram~5723, mips_16, 1
+instance = comp, \datamem|ram~3383 , datamem|ram~3383, mips_16, 1
+instance = comp, \datamem|ram~5095 , datamem|ram~5095, mips_16, 1
+instance = comp, \datamem|ram~3623feeder , datamem|ram~3623feeder, mips_16, 1
+instance = comp, \datamem|ram~5726 , datamem|ram~5726, mips_16, 1
+instance = comp, \datamem|ram~3623 , datamem|ram~3623, mips_16, 1
+instance = comp, \datamem|ram~3591feeder , datamem|ram~3591feeder, mips_16, 1
+instance = comp, \datamem|ram~5724 , datamem|ram~5724, mips_16, 1
+instance = comp, \datamem|ram~3591 , datamem|ram~3591, mips_16, 1
+instance = comp, \datamem|ram~3607feeder , datamem|ram~3607feeder, mips_16, 1
+instance = comp, \datamem|ram~5725 , datamem|ram~5725, mips_16, 1
+instance = comp, \datamem|ram~3607 , datamem|ram~3607, mips_16, 1
+instance = comp, \datamem|ram~5727 , datamem|ram~5727, mips_16, 1
+instance = comp, \datamem|ram~3639 , datamem|ram~3639, mips_16, 1
+instance = comp, \datamem|ram~5096 , datamem|ram~5096, mips_16, 1
+instance = comp, \datamem|ram~3111feeder , datamem|ram~3111feeder, mips_16, 1
+instance = comp, \datamem|ram~5718 , datamem|ram~5718, mips_16, 1
+instance = comp, \datamem|ram~3111 , datamem|ram~3111, mips_16, 1
+instance = comp, \datamem|ram~3079feeder , datamem|ram~3079feeder, mips_16, 1
+instance = comp, \datamem|ram~5716 , datamem|ram~5716, mips_16, 1
+instance = comp, \datamem|ram~3079 , datamem|ram~3079, mips_16, 1
+instance = comp, \datamem|ram~5717 , datamem|ram~5717, mips_16, 1
+instance = comp, \datamem|ram~3095 , datamem|ram~3095, mips_16, 1
+instance = comp, \datamem|ram~5719 , datamem|ram~5719, mips_16, 1
+instance = comp, \datamem|ram~3127 , datamem|ram~3127, mips_16, 1
+instance = comp, \datamem|ram~5094 , datamem|ram~5094, mips_16, 1
+instance = comp, \datamem|ram~5098 , datamem|ram~5098, mips_16, 1
+instance = comp, \datamem|ram~5114 , datamem|ram~5114, mips_16, 1
+instance = comp, \datamem|ram~5650 , datamem|ram~5650, mips_16, 1
+instance = comp, \datamem|ram~5681 , datamem|ram~5681, mips_16, 1
+instance = comp, \datamem|ram~2775 , datamem|ram~2775, mips_16, 1
+instance = comp, \datamem|ram~5682 , datamem|ram~5682, mips_16, 1
+instance = comp, \datamem|ram~3031 , datamem|ram~3031, mips_16, 1
+instance = comp, \datamem|ram~5680 , datamem|ram~5680, mips_16, 1
+instance = comp, \datamem|ram~2519 , datamem|ram~2519, mips_16, 1
+instance = comp, \datamem|ram~5679 , datamem|ram~5679, mips_16, 1
+instance = comp, \datamem|ram~2263 , datamem|ram~2263, mips_16, 1
+instance = comp, \datamem|ram~5081 , datamem|ram~5081, mips_16, 1
+instance = comp, \datamem|ram~5672 , datamem|ram~5672, mips_16, 1
+instance = comp, \datamem|ram~2391 , datamem|ram~2391, mips_16, 1
+instance = comp, \datamem|ram~2903feeder , datamem|ram~2903feeder, mips_16, 1
+instance = comp, \datamem|ram~5674 , datamem|ram~5674, mips_16, 1
+instance = comp, \datamem|ram~2903 , datamem|ram~2903, mips_16, 1
+instance = comp, \datamem|ram~5671 , datamem|ram~5671, mips_16, 1
+instance = comp, \datamem|ram~2135 , datamem|ram~2135, mips_16, 1
+instance = comp, \datamem|ram~2647feeder , datamem|ram~2647feeder, mips_16, 1
+instance = comp, \datamem|ram~5673 , datamem|ram~5673, mips_16, 1
+instance = comp, \datamem|ram~2647 , datamem|ram~2647, mips_16, 1
+instance = comp, \datamem|ram~5079 , datamem|ram~5079, mips_16, 1
+instance = comp, \datamem|ram~2071feeder , datamem|ram~2071feeder, mips_16, 1
+instance = comp, \datamem|ram~5667 , datamem|ram~5667, mips_16, 1
+instance = comp, \datamem|ram~2071 , datamem|ram~2071, mips_16, 1
+instance = comp, \datamem|ram~5668 , datamem|ram~5668, mips_16, 1
+instance = comp, \datamem|ram~2327 , datamem|ram~2327, mips_16, 1
+instance = comp, \datamem|ram~2583feeder , datamem|ram~2583feeder, mips_16, 1
+instance = comp, \datamem|ram~5669 , datamem|ram~5669, mips_16, 1
+instance = comp, \datamem|ram~2583 , datamem|ram~2583, mips_16, 1
+instance = comp, \datamem|ram~5670 , datamem|ram~5670, mips_16, 1
+instance = comp, \datamem|ram~2839 , datamem|ram~2839, mips_16, 1
+instance = comp, \datamem|ram~5078 , datamem|ram~5078, mips_16, 1
+instance = comp, \datamem|ram~2711feeder , datamem|ram~2711feeder, mips_16, 1
+instance = comp, \datamem|ram~5677 , datamem|ram~5677, mips_16, 1
+instance = comp, \datamem|ram~2711 , datamem|ram~2711, mips_16, 1
+instance = comp, \datamem|ram~2455feeder , datamem|ram~2455feeder, mips_16, 1
+instance = comp, \datamem|ram~5676 , datamem|ram~5676, mips_16, 1
+instance = comp, \datamem|ram~2455 , datamem|ram~2455, mips_16, 1
+instance = comp, \datamem|ram~2199feeder , datamem|ram~2199feeder, mips_16, 1
+instance = comp, \datamem|ram~5675 , datamem|ram~5675, mips_16, 1
+instance = comp, \datamem|ram~2199 , datamem|ram~2199, mips_16, 1
+instance = comp, \datamem|ram~5678 , datamem|ram~5678, mips_16, 1
+instance = comp, \datamem|ram~2967 , datamem|ram~2967, mips_16, 1
+instance = comp, \datamem|ram~5080 , datamem|ram~5080, mips_16, 1
+instance = comp, \datamem|ram~5082 , datamem|ram~5082, mips_16, 1
+instance = comp, \datamem|ram~2743feeder , datamem|ram~2743feeder, mips_16, 1
+instance = comp, \datamem|ram~5709 , datamem|ram~5709, mips_16, 1
+instance = comp, \datamem|ram~2743 , datamem|ram~2743, mips_16, 1
+instance = comp, \datamem|ram~5708 , datamem|ram~5708, mips_16, 1
+instance = comp, \datamem|ram~2679 , datamem|ram~2679, mips_16, 1
+instance = comp, \datamem|ram~2615feeder , datamem|ram~2615feeder, mips_16, 1
+instance = comp, \datamem|ram~5707 , datamem|ram~5707, mips_16, 1
+instance = comp, \datamem|ram~2615 , datamem|ram~2615, mips_16, 1
+instance = comp, \datamem|ram~5710 , datamem|ram~5710, mips_16, 1
+instance = comp, \datamem|ram~2807 , datamem|ram~2807, mips_16, 1
+instance = comp, \datamem|ram~5090 , datamem|ram~5090, mips_16, 1
+instance = comp, \datamem|ram~5699 , datamem|ram~5699, mips_16, 1
+instance = comp, \datamem|ram~2103 , datamem|ram~2103, mips_16, 1
+instance = comp, \datamem|ram~5700 , datamem|ram~5700, mips_16, 1
+instance = comp, \datamem|ram~2167 , datamem|ram~2167, mips_16, 1
+instance = comp, \datamem|ram~2231feeder , datamem|ram~2231feeder, mips_16, 1
+instance = comp, \datamem|ram~5701 , datamem|ram~5701, mips_16, 1
+instance = comp, \datamem|ram~2231 , datamem|ram~2231, mips_16, 1
+instance = comp, \datamem|ram~5702 , datamem|ram~5702, mips_16, 1
+instance = comp, \datamem|ram~2295 , datamem|ram~2295, mips_16, 1
+instance = comp, \datamem|ram~5088 , datamem|ram~5088, mips_16, 1
+instance = comp, \datamem|ram~2359feeder , datamem|ram~2359feeder, mips_16, 1
+instance = comp, \datamem|ram~5703 , datamem|ram~5703, mips_16, 1
+instance = comp, \datamem|ram~2359 , datamem|ram~2359, mips_16, 1
+instance = comp, \datamem|ram~5704 , datamem|ram~5704, mips_16, 1
+instance = comp, \datamem|ram~2423 , datamem|ram~2423, mips_16, 1
+instance = comp, \datamem|ram~2487feeder , datamem|ram~2487feeder, mips_16, 1
+instance = comp, \datamem|ram~5705 , datamem|ram~5705, mips_16, 1
+instance = comp, \datamem|ram~2487 , datamem|ram~2487, mips_16, 1
+instance = comp, \datamem|ram~5706 , datamem|ram~5706, mips_16, 1
+instance = comp, \datamem|ram~2551 , datamem|ram~2551, mips_16, 1
+instance = comp, \datamem|ram~5089 , datamem|ram~5089, mips_16, 1
+instance = comp, \datamem|ram~2999feeder , datamem|ram~2999feeder, mips_16, 1
+instance = comp, \datamem|ram~5713 , datamem|ram~5713, mips_16, 1
+instance = comp, \datamem|ram~2999 , datamem|ram~2999, mips_16, 1
+instance = comp, \datamem|ram~2871feeder , datamem|ram~2871feeder, mips_16, 1
+instance = comp, \datamem|ram~5711 , datamem|ram~5711, mips_16, 1
+instance = comp, \datamem|ram~2871 , datamem|ram~2871, mips_16, 1
+instance = comp, \datamem|ram~5712 , datamem|ram~5712, mips_16, 1
+instance = comp, \datamem|ram~2935 , datamem|ram~2935, mips_16, 1
+instance = comp, \datamem|ram~5714 , datamem|ram~5714, mips_16, 1
+instance = comp, \datamem|ram~3063 , datamem|ram~3063, mips_16, 1
+instance = comp, \datamem|ram~5091 , datamem|ram~5091, mips_16, 1
+instance = comp, \datamem|ram~5092 , datamem|ram~5092, mips_16, 1
+instance = comp, \datamem|ram~2663feeder , datamem|ram~2663feeder, mips_16, 1
+instance = comp, \datamem|ram~5689 , datamem|ram~5689, mips_16, 1
+instance = comp, \datamem|ram~2663 , datamem|ram~2663, mips_16, 1
+instance = comp, \datamem|ram~2151feeder , datamem|ram~2151feeder, mips_16, 1
+instance = comp, \datamem|ram~5687 , datamem|ram~5687, mips_16, 1
+instance = comp, \datamem|ram~2151 , datamem|ram~2151, mips_16, 1
+instance = comp, \datamem|ram~2407feeder , datamem|ram~2407feeder, mips_16, 1
+instance = comp, \datamem|ram~5688 , datamem|ram~5688, mips_16, 1
+instance = comp, \datamem|ram~2407 , datamem|ram~2407, mips_16, 1
+instance = comp, \datamem|ram~5690 , datamem|ram~5690, mips_16, 1
+instance = comp, \datamem|ram~2919 , datamem|ram~2919, mips_16, 1
+instance = comp, \datamem|ram~5084 , datamem|ram~5084, mips_16, 1
+instance = comp, \datamem|ram~5692 , datamem|ram~5692, mips_16, 1
+instance = comp, \datamem|ram~2471 , datamem|ram~2471, mips_16, 1
+instance = comp, \datamem|ram~5693 , datamem|ram~5693, mips_16, 1
+instance = comp, \datamem|ram~2727 , datamem|ram~2727, mips_16, 1
+instance = comp, \datamem|ram~2215feeder , datamem|ram~2215feeder, mips_16, 1
+instance = comp, \datamem|ram~5691 , datamem|ram~5691, mips_16, 1
+instance = comp, \datamem|ram~2215 , datamem|ram~2215, mips_16, 1
+instance = comp, \datamem|ram~5694 , datamem|ram~5694, mips_16, 1
+instance = comp, \datamem|ram~2983 , datamem|ram~2983, mips_16, 1
+instance = comp, \datamem|ram~5085 , datamem|ram~5085, mips_16, 1
+instance = comp, \datamem|ram~2599feeder , datamem|ram~2599feeder, mips_16, 1
+instance = comp, \datamem|ram~5685 , datamem|ram~5685, mips_16, 1
+instance = comp, \datamem|ram~2599 , datamem|ram~2599, mips_16, 1
+instance = comp, \datamem|ram~5684 , datamem|ram~5684, mips_16, 1
+instance = comp, \datamem|ram~2343 , datamem|ram~2343, mips_16, 1
+instance = comp, \datamem|ram~2087feeder , datamem|ram~2087feeder, mips_16, 1
+instance = comp, \datamem|ram~5683 , datamem|ram~5683, mips_16, 1
+instance = comp, \datamem|ram~2087 , datamem|ram~2087, mips_16, 1
+instance = comp, \datamem|ram~5686 , datamem|ram~5686, mips_16, 1
+instance = comp, \datamem|ram~2855 , datamem|ram~2855, mips_16, 1
+instance = comp, \datamem|ram~5083 , datamem|ram~5083, mips_16, 1
+instance = comp, \datamem|ram~2791feeder , datamem|ram~2791feeder, mips_16, 1
+instance = comp, \datamem|ram~5697 , datamem|ram~5697, mips_16, 1
+instance = comp, \datamem|ram~2791 , datamem|ram~2791, mips_16, 1
+instance = comp, \datamem|ram~5695 , datamem|ram~5695, mips_16, 1
+instance = comp, \datamem|ram~2279 , datamem|ram~2279, mips_16, 1
+instance = comp, \datamem|ram~5696 , datamem|ram~5696, mips_16, 1
+instance = comp, \datamem|ram~2535 , datamem|ram~2535, mips_16, 1
+instance = comp, \datamem|ram~5698 , datamem|ram~5698, mips_16, 1
+instance = comp, \datamem|ram~3047 , datamem|ram~3047, mips_16, 1
+instance = comp, \datamem|ram~5086 , datamem|ram~5086, mips_16, 1
+instance = comp, \datamem|ram~5087 , datamem|ram~5087, mips_16, 1
+instance = comp, \datamem|ram~2439feeder , datamem|ram~2439feeder, mips_16, 1
+instance = comp, \datamem|ram~5660 , datamem|ram~5660, mips_16, 1
+instance = comp, \datamem|ram~2439 , datamem|ram~2439, mips_16, 1
+instance = comp, \datamem|ram~2695feeder , datamem|ram~2695feeder, mips_16, 1
+instance = comp, \datamem|ram~5661 , datamem|ram~5661, mips_16, 1
+instance = comp, \datamem|ram~2695 , datamem|ram~2695, mips_16, 1
+instance = comp, \datamem|ram~2951feeder , datamem|ram~2951feeder, mips_16, 1
+instance = comp, \datamem|ram~5662 , datamem|ram~5662, mips_16, 1
+instance = comp, \datamem|ram~2951 , datamem|ram~2951, mips_16, 1
+instance = comp, \datamem|ram~2183feeder , datamem|ram~2183feeder, mips_16, 1
+instance = comp, \datamem|ram~5659 , datamem|ram~5659, mips_16, 1
+instance = comp, \datamem|ram~2183 , datamem|ram~2183, mips_16, 1
+instance = comp, \datamem|ram~5075 , datamem|ram~5075, mips_16, 1
+instance = comp, \datamem|ram~2055feeder , datamem|ram~2055feeder, mips_16, 1
+instance = comp, \datamem|ram~5651 , datamem|ram~5651, mips_16, 1
+instance = comp, \datamem|ram~2055 , datamem|ram~2055, mips_16, 1
+instance = comp, \datamem|ram~5654 , datamem|ram~5654, mips_16, 1
+instance = comp, \datamem|ram~2823 , datamem|ram~2823, mips_16, 1
+instance = comp, \datamem|ram~5652 , datamem|ram~5652, mips_16, 1
+instance = comp, \datamem|ram~2311 , datamem|ram~2311, mips_16, 1
+instance = comp, \datamem|ram~2567feeder , datamem|ram~2567feeder, mips_16, 1
+instance = comp, \datamem|ram~5653 , datamem|ram~5653, mips_16, 1
+instance = comp, \datamem|ram~2567 , datamem|ram~2567, mips_16, 1
+instance = comp, \datamem|ram~5073 , datamem|ram~5073, mips_16, 1
+instance = comp, \datamem|ram~5663 , datamem|ram~5663, mips_16, 1
+instance = comp, \datamem|ram~2247 , datamem|ram~2247, mips_16, 1
+instance = comp, \datamem|ram~2759feeder , datamem|ram~2759feeder, mips_16, 1
+instance = comp, \datamem|ram~5665 , datamem|ram~5665, mips_16, 1
+instance = comp, \datamem|ram~2759 , datamem|ram~2759, mips_16, 1
+instance = comp, \datamem|ram~5666 , datamem|ram~5666, mips_16, 1
+instance = comp, \datamem|ram~3015 , datamem|ram~3015, mips_16, 1
+instance = comp, \datamem|ram~5664 , datamem|ram~5664, mips_16, 1
+instance = comp, \datamem|ram~2503 , datamem|ram~2503, mips_16, 1
+instance = comp, \datamem|ram~5076 , datamem|ram~5076, mips_16, 1
+instance = comp, \datamem|ram~2375feeder , datamem|ram~2375feeder, mips_16, 1
+instance = comp, \datamem|ram~5656 , datamem|ram~5656, mips_16, 1
+instance = comp, \datamem|ram~2375 , datamem|ram~2375, mips_16, 1
+instance = comp, \datamem|ram~2119feeder , datamem|ram~2119feeder, mips_16, 1
+instance = comp, \datamem|ram~5655 , datamem|ram~5655, mips_16, 1
+instance = comp, \datamem|ram~2119 , datamem|ram~2119, mips_16, 1
+instance = comp, \datamem|ram~2631feeder , datamem|ram~2631feeder, mips_16, 1
+instance = comp, \datamem|ram~5657 , datamem|ram~5657, mips_16, 1
+instance = comp, \datamem|ram~2631 , datamem|ram~2631, mips_16, 1
+instance = comp, \datamem|ram~5658 , datamem|ram~5658, mips_16, 1
+instance = comp, \datamem|ram~2887 , datamem|ram~2887, mips_16, 1
+instance = comp, \datamem|ram~5074 , datamem|ram~5074, mips_16, 1
+instance = comp, \datamem|ram~5077 , datamem|ram~5077, mips_16, 1
+instance = comp, \datamem|ram~5093 , datamem|ram~5093, mips_16, 1
+instance = comp, \datamem|ram~5115 , datamem|ram~5115, mips_16, 1
+instance = comp, \reg_write_data[7]~13 , reg_write_data[7]~13, mips_16, 1
+instance = comp, \reg_file|reg_array[4][7] , reg_file|reg_array[4][7], mips_16, 1
+instance = comp, \reg_file|reg_array[7][7] , reg_file|reg_array[7][7], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[7]~20 , reg_file|reg_read_data_1[7]~20, mips_16, 1
+instance = comp, \alu_unit|Add0~29 , alu_unit|Add0~29, mips_16, 1
+instance = comp, \alu_unit|Add1~29 , alu_unit|Add1~29, mips_16, 1
+instance = comp, \alu_unit|Mux7~0 , alu_unit|Mux7~0, mips_16, 1
+instance = comp, \alu_unit|Mux7~2 , alu_unit|Mux7~2, mips_16, 1
+instance = comp, \datamem|ram~2632feeder , datamem|ram~2632feeder, mips_16, 1
+instance = comp, \datamem|ram~2632 , datamem|ram~2632, mips_16, 1
+instance = comp, \datamem|ram~2760 , datamem|ram~2760, mips_16, 1
+instance = comp, \datamem|ram~2568feeder , datamem|ram~2568feeder, mips_16, 1
+instance = comp, \datamem|ram~2568 , datamem|ram~2568, mips_16, 1
+instance = comp, \datamem|ram~2696feeder , datamem|ram~2696feeder, mips_16, 1
+instance = comp, \datamem|ram~2696 , datamem|ram~2696, mips_16, 1
+instance = comp, \datamem|ram~5168 , datamem|ram~5168, mips_16, 1
+instance = comp, \datamem|ram~2616 , datamem|ram~2616, mips_16, 1
+instance = comp, \datamem|ram~2680 , datamem|ram~2680, mips_16, 1
+instance = comp, \datamem|ram~2744 , datamem|ram~2744, mips_16, 1
+instance = comp, \datamem|ram~2808 , datamem|ram~2808, mips_16, 1
+instance = comp, \datamem|ram~5171 , datamem|ram~5171, mips_16, 1
+instance = comp, \datamem|ram~2648feeder , datamem|ram~2648feeder, mips_16, 1
+instance = comp, \datamem|ram~2648 , datamem|ram~2648, mips_16, 1
+instance = comp, \datamem|ram~2584feeder , datamem|ram~2584feeder, mips_16, 1
+instance = comp, \datamem|ram~2584 , datamem|ram~2584, mips_16, 1
+instance = comp, \datamem|ram~2712 , datamem|ram~2712, mips_16, 1
+instance = comp, \datamem|ram~2776 , datamem|ram~2776, mips_16, 1
+instance = comp, \datamem|ram~5169 , datamem|ram~5169, mips_16, 1
+instance = comp, \datamem|ram~2600 , datamem|ram~2600, mips_16, 1
+instance = comp, \datamem|ram~2728 , datamem|ram~2728, mips_16, 1
+instance = comp, \datamem|ram~2664feeder , datamem|ram~2664feeder, mips_16, 1
+instance = comp, \datamem|ram~2664 , datamem|ram~2664, mips_16, 1
+instance = comp, \datamem|ram~2792 , datamem|ram~2792, mips_16, 1
+instance = comp, \datamem|ram~5170 , datamem|ram~5170, mips_16, 1
+instance = comp, \datamem|ram~5172 , datamem|ram~5172, mips_16, 1
+instance = comp, \datamem|ram~2328 , datamem|ram~2328, mips_16, 1
+instance = comp, \datamem|ram~2456feeder , datamem|ram~2456feeder, mips_16, 1
+instance = comp, \datamem|ram~2456 , datamem|ram~2456, mips_16, 1
+instance = comp, \datamem|ram~2392feeder , datamem|ram~2392feeder, mips_16, 1
+instance = comp, \datamem|ram~2392 , datamem|ram~2392, mips_16, 1
+instance = comp, \datamem|ram~2520 , datamem|ram~2520, mips_16, 1
+instance = comp, \datamem|ram~5164 , datamem|ram~5164, mips_16, 1
+instance = comp, \datamem|ram~2472feeder , datamem|ram~2472feeder, mips_16, 1
+instance = comp, \datamem|ram~2472 , datamem|ram~2472, mips_16, 1
+instance = comp, \datamem|ram~2344 , datamem|ram~2344, mips_16, 1
+instance = comp, \datamem|ram~2408 , datamem|ram~2408, mips_16, 1
+instance = comp, \datamem|ram~2536 , datamem|ram~2536, mips_16, 1
+instance = comp, \datamem|ram~5165 , datamem|ram~5165, mips_16, 1
+instance = comp, \datamem|ram~2312 , datamem|ram~2312, mips_16, 1
+instance = comp, \datamem|ram~2376 , datamem|ram~2376, mips_16, 1
+instance = comp, \datamem|ram~2440 , datamem|ram~2440, mips_16, 1
+instance = comp, \datamem|ram~2504 , datamem|ram~2504, mips_16, 1
+instance = comp, \datamem|ram~5163 , datamem|ram~5163, mips_16, 1
+instance = comp, \datamem|ram~2488 , datamem|ram~2488, mips_16, 1
+instance = comp, \datamem|ram~2360feeder , datamem|ram~2360feeder, mips_16, 1
+instance = comp, \datamem|ram~2360 , datamem|ram~2360, mips_16, 1
+instance = comp, \datamem|ram~2424 , datamem|ram~2424, mips_16, 1
+instance = comp, \datamem|ram~2552 , datamem|ram~2552, mips_16, 1
+instance = comp, \datamem|ram~5166 , datamem|ram~5166, mips_16, 1
+instance = comp, \datamem|ram~5167 , datamem|ram~5167, mips_16, 1
+instance = comp, \datamem|ram~2824 , datamem|ram~2824, mips_16, 1
+instance = comp, \datamem|ram~2840 , datamem|ram~2840, mips_16, 1
+instance = comp, \datamem|ram~2856 , datamem|ram~2856, mips_16, 1
+instance = comp, \datamem|ram~2872 , datamem|ram~2872, mips_16, 1
+instance = comp, \datamem|ram~5173 , datamem|ram~5173, mips_16, 1
+instance = comp, \datamem|ram~2904 , datamem|ram~2904, mips_16, 1
+instance = comp, \datamem|ram~2888 , datamem|ram~2888, mips_16, 1
+instance = comp, \datamem|ram~2920feeder , datamem|ram~2920feeder, mips_16, 1
+instance = comp, \datamem|ram~2920 , datamem|ram~2920, mips_16, 1
+instance = comp, \datamem|ram~2936 , datamem|ram~2936, mips_16, 1
+instance = comp, \datamem|ram~5174 , datamem|ram~5174, mips_16, 1
+instance = comp, \datamem|ram~3032 , datamem|ram~3032, mips_16, 1
+instance = comp, \datamem|ram~3016 , datamem|ram~3016, mips_16, 1
+instance = comp, \datamem|ram~3048feeder , datamem|ram~3048feeder, mips_16, 1
+instance = comp, \datamem|ram~3048 , datamem|ram~3048, mips_16, 1
+instance = comp, \datamem|ram~3064 , datamem|ram~3064, mips_16, 1
+instance = comp, \datamem|ram~5176 , datamem|ram~5176, mips_16, 1
+instance = comp, \datamem|ram~2984 , datamem|ram~2984, mips_16, 1
+instance = comp, \datamem|ram~2952 , datamem|ram~2952, mips_16, 1
+instance = comp, \datamem|ram~2968feeder , datamem|ram~2968feeder, mips_16, 1
+instance = comp, \datamem|ram~2968 , datamem|ram~2968, mips_16, 1
+instance = comp, \datamem|ram~3000 , datamem|ram~3000, mips_16, 1
+instance = comp, \datamem|ram~5175 , datamem|ram~5175, mips_16, 1
+instance = comp, \datamem|ram~5177 , datamem|ram~5177, mips_16, 1
+instance = comp, \datamem|ram~2200feeder , datamem|ram~2200feeder, mips_16, 1
+instance = comp, \datamem|ram~2200 , datamem|ram~2200, mips_16, 1
+instance = comp, \datamem|ram~2136 , datamem|ram~2136, mips_16, 1
+instance = comp, \datamem|ram~2072 , datamem|ram~2072, mips_16, 1
+instance = comp, \datamem|ram~2264 , datamem|ram~2264, mips_16, 1
+instance = comp, \datamem|ram~5159 , datamem|ram~5159, mips_16, 1
+instance = comp, \datamem|ram~2104 , datamem|ram~2104, mips_16, 1
+instance = comp, \datamem|ram~2168 , datamem|ram~2168, mips_16, 1
+instance = comp, \datamem|ram~2296 , datamem|ram~2296, mips_16, 1
+instance = comp, \datamem|ram~2232feeder , datamem|ram~2232feeder, mips_16, 1
+instance = comp, \datamem|ram~2232 , datamem|ram~2232, mips_16, 1
+instance = comp, \datamem|ram~5161 , datamem|ram~5161, mips_16, 1
+instance = comp, \datamem|ram~2088feeder , datamem|ram~2088feeder, mips_16, 1
+instance = comp, \datamem|ram~2088 , datamem|ram~2088, mips_16, 1
+instance = comp, \datamem|ram~2152 , datamem|ram~2152, mips_16, 1
+instance = comp, \datamem|ram~2216 , datamem|ram~2216, mips_16, 1
+instance = comp, \datamem|ram~2280 , datamem|ram~2280, mips_16, 1
+instance = comp, \datamem|ram~5160 , datamem|ram~5160, mips_16, 1
+instance = comp, \datamem|ram~2056 , datamem|ram~2056, mips_16, 1
+instance = comp, \datamem|ram~2184 , datamem|ram~2184, mips_16, 1
+instance = comp, \datamem|ram~2120 , datamem|ram~2120, mips_16, 1
+instance = comp, \datamem|ram~2248 , datamem|ram~2248, mips_16, 1
+instance = comp, \datamem|ram~5158 , datamem|ram~5158, mips_16, 1
+instance = comp, \datamem|ram~5162 , datamem|ram~5162, mips_16, 1
+instance = comp, \datamem|ram~5178 , datamem|ram~5178, mips_16, 1
+instance = comp, \datamem|ram~1816 , datamem|ram~1816, mips_16, 1
+instance = comp, \datamem|ram~1832feeder , datamem|ram~1832feeder, mips_16, 1
+instance = comp, \datamem|ram~1832 , datamem|ram~1832, mips_16, 1
+instance = comp, \datamem|ram~1800feeder , datamem|ram~1800feeder, mips_16, 1
+instance = comp, \datamem|ram~1800 , datamem|ram~1800, mips_16, 1
+instance = comp, \datamem|ram~1848 , datamem|ram~1848, mips_16, 1
+instance = comp, \datamem|ram~5140 , datamem|ram~5140, mips_16, 1
+instance = comp, \datamem|ram~1288 , datamem|ram~1288, mips_16, 1
+instance = comp, \datamem|ram~1320 , datamem|ram~1320, mips_16, 1
+instance = comp, \datamem|ram~1336 , datamem|ram~1336, mips_16, 1
+instance = comp, \datamem|ram~1304 , datamem|ram~1304, mips_16, 1
+instance = comp, \datamem|ram~5138 , datamem|ram~5138, mips_16, 1
+instance = comp, \datamem|ram~1560feeder , datamem|ram~1560feeder, mips_16, 1
+instance = comp, \datamem|ram~1560 , datamem|ram~1560, mips_16, 1
+instance = comp, \datamem|ram~1576 , datamem|ram~1576, mips_16, 1
+instance = comp, \datamem|ram~1544feeder , datamem|ram~1544feeder, mips_16, 1
+instance = comp, \datamem|ram~1544 , datamem|ram~1544, mips_16, 1
+instance = comp, \datamem|ram~1592 , datamem|ram~1592, mips_16, 1
+instance = comp, \datamem|ram~5139 , datamem|ram~5139, mips_16, 1
+instance = comp, \datamem|ram~1064 , datamem|ram~1064, mips_16, 1
+instance = comp, \datamem|ram~1032 , datamem|ram~1032, mips_16, 1
+instance = comp, \datamem|ram~1080 , datamem|ram~1080, mips_16, 1
+instance = comp, \datamem|ram~1048 , datamem|ram~1048, mips_16, 1
+instance = comp, \datamem|ram~5137 , datamem|ram~5137, mips_16, 1
+instance = comp, \datamem|ram~5141 , datamem|ram~5141, mips_16, 1
+instance = comp, \datamem|ram~1176feeder , datamem|ram~1176feeder, mips_16, 1
+instance = comp, \datamem|ram~1176 , datamem|ram~1176, mips_16, 1
+instance = comp, \datamem|ram~1688feeder , datamem|ram~1688feeder, mips_16, 1
+instance = comp, \datamem|ram~1688 , datamem|ram~1688, mips_16, 1
+instance = comp, \datamem|ram~1432 , datamem|ram~1432, mips_16, 1
+instance = comp, \datamem|ram~1944 , datamem|ram~1944, mips_16, 1
+instance = comp, \datamem|ram~5148 , datamem|ram~5148, mips_16, 1
+instance = comp, \datamem|ram~1160feeder , datamem|ram~1160feeder, mips_16, 1
+instance = comp, \datamem|ram~1160 , datamem|ram~1160, mips_16, 1
+instance = comp, \datamem|ram~1672 , datamem|ram~1672, mips_16, 1
+instance = comp, \datamem|ram~1416 , datamem|ram~1416, mips_16, 1
+instance = comp, \datamem|ram~1928 , datamem|ram~1928, mips_16, 1
+instance = comp, \datamem|ram~5147 , datamem|ram~5147, mips_16, 1
+instance = comp, \datamem|ram~1720 , datamem|ram~1720, mips_16, 1
+instance = comp, \datamem|ram~1208 , datamem|ram~1208, mips_16, 1
+instance = comp, \datamem|ram~1464 , datamem|ram~1464, mips_16, 1
+instance = comp, \datamem|ram~1976feeder , datamem|ram~1976feeder, mips_16, 1
+instance = comp, \datamem|ram~1976 , datamem|ram~1976, mips_16, 1
+instance = comp, \datamem|ram~5150 , datamem|ram~5150, mips_16, 1
+instance = comp, \datamem|ram~1448feeder , datamem|ram~1448feeder, mips_16, 1
+instance = comp, \datamem|ram~1448 , datamem|ram~1448, mips_16, 1
+instance = comp, \datamem|ram~1704 , datamem|ram~1704, mips_16, 1
+instance = comp, \datamem|ram~1192feeder , datamem|ram~1192feeder, mips_16, 1
+instance = comp, \datamem|ram~1192 , datamem|ram~1192, mips_16, 1
+instance = comp, \datamem|ram~1960 , datamem|ram~1960, mips_16, 1
+instance = comp, \datamem|ram~5149 , datamem|ram~5149, mips_16, 1
+instance = comp, \datamem|ram~5151 , datamem|ram~5151, mips_16, 1
+instance = comp, \datamem|ram~1128 , datamem|ram~1128, mips_16, 1
+instance = comp, \datamem|ram~1112 , datamem|ram~1112, mips_16, 1
+instance = comp, \datamem|ram~1096 , datamem|ram~1096, mips_16, 1
+instance = comp, \datamem|ram~1144 , datamem|ram~1144, mips_16, 1
+instance = comp, \datamem|ram~5142 , datamem|ram~5142, mips_16, 1
+instance = comp, \datamem|ram~1912 , datamem|ram~1912, mips_16, 1
+instance = comp, \datamem|ram~1880 , datamem|ram~1880, mips_16, 1
+instance = comp, \datamem|ram~1864 , datamem|ram~1864, mips_16, 1
+instance = comp, \datamem|ram~1896 , datamem|ram~1896, mips_16, 1
+instance = comp, \datamem|ram~5145 , datamem|ram~5145, mips_16, 1
+instance = comp, \datamem|ram~1400feeder , datamem|ram~1400feeder, mips_16, 1
+instance = comp, \datamem|ram~1400 , datamem|ram~1400, mips_16, 1
+instance = comp, \datamem|ram~1384feeder , datamem|ram~1384feeder, mips_16, 1
+instance = comp, \datamem|ram~1384 , datamem|ram~1384, mips_16, 1
+instance = comp, \datamem|ram~1352 , datamem|ram~1352, mips_16, 1
+instance = comp, \datamem|ram~1368 , datamem|ram~1368, mips_16, 1
+instance = comp, \datamem|ram~5143 , datamem|ram~5143, mips_16, 1
+instance = comp, \datamem|ram~1608feeder , datamem|ram~1608feeder, mips_16, 1
+instance = comp, \datamem|ram~1608 , datamem|ram~1608, mips_16, 1
+instance = comp, \datamem|ram~1640feeder , datamem|ram~1640feeder, mips_16, 1
+instance = comp, \datamem|ram~1640 , datamem|ram~1640, mips_16, 1
+instance = comp, \datamem|ram~1624 , datamem|ram~1624, mips_16, 1
+instance = comp, \datamem|ram~1656 , datamem|ram~1656, mips_16, 1
+instance = comp, \datamem|ram~5144 , datamem|ram~5144, mips_16, 1
+instance = comp, \datamem|ram~5146 , datamem|ram~5146, mips_16, 1
+instance = comp, \datamem|ram~1496 , datamem|ram~1496, mips_16, 1
+instance = comp, \datamem|ram~1480 , datamem|ram~1480, mips_16, 1
+instance = comp, \datamem|ram~1512 , datamem|ram~1512, mips_16, 1
+instance = comp, \datamem|ram~1528 , datamem|ram~1528, mips_16, 1
+instance = comp, \datamem|ram~5153 , datamem|ram~5153, mips_16, 1
+instance = comp, \datamem|ram~2008feeder , datamem|ram~2008feeder, mips_16, 1
+instance = comp, \datamem|ram~2008 , datamem|ram~2008, mips_16, 1
+instance = comp, \datamem|ram~1992feeder , datamem|ram~1992feeder, mips_16, 1
+instance = comp, \datamem|ram~1992 , datamem|ram~1992, mips_16, 1
+instance = comp, \datamem|ram~2024feeder , datamem|ram~2024feeder, mips_16, 1
+instance = comp, \datamem|ram~2024 , datamem|ram~2024, mips_16, 1
+instance = comp, \datamem|ram~2040 , datamem|ram~2040, mips_16, 1
+instance = comp, \datamem|ram~5155 , datamem|ram~5155, mips_16, 1
+instance = comp, \datamem|ram~1224 , datamem|ram~1224, mips_16, 1
+instance = comp, \datamem|ram~1240 , datamem|ram~1240, mips_16, 1
+instance = comp, \datamem|ram~1256 , datamem|ram~1256, mips_16, 1
+instance = comp, \datamem|ram~1272 , datamem|ram~1272, mips_16, 1
+instance = comp, \datamem|ram~5152 , datamem|ram~5152, mips_16, 1
+instance = comp, \datamem|ram~1768 , datamem|ram~1768, mips_16, 1
+instance = comp, \datamem|ram~1736 , datamem|ram~1736, mips_16, 1
+instance = comp, \datamem|ram~1752 , datamem|ram~1752, mips_16, 1
+instance = comp, \datamem|ram~1784 , datamem|ram~1784, mips_16, 1
+instance = comp, \datamem|ram~5154 , datamem|ram~5154, mips_16, 1
+instance = comp, \datamem|ram~5156 , datamem|ram~5156, mips_16, 1
+instance = comp, \datamem|ram~5157 , datamem|ram~5157, mips_16, 1
+instance = comp, \datamem|ram~440 , datamem|ram~440, mips_16, 1
+instance = comp, \datamem|ram~312 , datamem|ram~312, mips_16, 1
+instance = comp, \datamem|ram~376feeder , datamem|ram~376feeder, mips_16, 1
+instance = comp, \datamem|ram~376 , datamem|ram~376, mips_16, 1
+instance = comp, \datamem|ram~504 , datamem|ram~504, mips_16, 1
+instance = comp, \datamem|ram~5132 , datamem|ram~5132, mips_16, 1
+instance = comp, \datamem|ram~56feeder , datamem|ram~56feeder, mips_16, 1
+instance = comp, \datamem|ram~56 , datamem|ram~56, mips_16, 1
+instance = comp, \datamem|ram~248 , datamem|ram~248, mips_16, 1
+instance = comp, \datamem|ram~120feeder , datamem|ram~120feeder, mips_16, 1
+instance = comp, \datamem|ram~120 , datamem|ram~120, mips_16, 1
+instance = comp, \datamem|ram~184feeder , datamem|ram~184feeder, mips_16, 1
+instance = comp, \datamem|ram~184 , datamem|ram~184, mips_16, 1
+instance = comp, \datamem|ram~5131 , datamem|ram~5131, mips_16, 1
+instance = comp, \datamem|ram~568feeder , datamem|ram~568feeder, mips_16, 1
+instance = comp, \datamem|ram~568 , datamem|ram~568, mips_16, 1
+instance = comp, \datamem|ram~632feeder , datamem|ram~632feeder, mips_16, 1
+instance = comp, \datamem|ram~632 , datamem|ram~632, mips_16, 1
+instance = comp, \datamem|ram~696 , datamem|ram~696, mips_16, 1
+instance = comp, \datamem|ram~760 , datamem|ram~760, mips_16, 1
+instance = comp, \datamem|ram~5133 , datamem|ram~5133, mips_16, 1
+instance = comp, \datamem|ram~952 , datamem|ram~952, mips_16, 1
+instance = comp, \datamem|ram~824 , datamem|ram~824, mips_16, 1
+instance = comp, \datamem|ram~888 , datamem|ram~888, mips_16, 1
+instance = comp, \datamem|ram~1016 , datamem|ram~1016, mips_16, 1
+instance = comp, \datamem|ram~5134 , datamem|ram~5134, mips_16, 1
+instance = comp, \datamem|ram~5135 , datamem|ram~5135, mips_16, 1
+instance = comp, \datamem|ram~680feeder , datamem|ram~680feeder, mips_16, 1
+instance = comp, \datamem|ram~680 , datamem|ram~680, mips_16, 1
+instance = comp, \datamem|ram~168feeder , datamem|ram~168feeder, mips_16, 1
+instance = comp, \datamem|ram~168 , datamem|ram~168, mips_16, 1
+instance = comp, \datamem|ram~424feeder , datamem|ram~424feeder, mips_16, 1
+instance = comp, \datamem|ram~424 , datamem|ram~424, mips_16, 1
+instance = comp, \datamem|ram~936 , datamem|ram~936, mips_16, 1
+instance = comp, \datamem|ram~5128 , datamem|ram~5128, mips_16, 1
+instance = comp, \datamem|ram~808feeder , datamem|ram~808feeder, mips_16, 1
+instance = comp, \datamem|ram~808 , datamem|ram~808, mips_16, 1
+instance = comp, \datamem|ram~552feeder , datamem|ram~552feeder, mips_16, 1
+instance = comp, \datamem|ram~552 , datamem|ram~552, mips_16, 1
+instance = comp, \datamem|ram~296 , datamem|ram~296, mips_16, 1
+instance = comp, \datamem|ram~40feeder , datamem|ram~40feeder, mips_16, 1
+instance = comp, \datamem|ram~40 , datamem|ram~40, mips_16, 1
+instance = comp, \datamem|ram~5126 , datamem|ram~5126, mips_16, 1
+instance = comp, \datamem|ram~360 , datamem|ram~360, mips_16, 1
+instance = comp, \datamem|ram~104feeder , datamem|ram~104feeder, mips_16, 1
+instance = comp, \datamem|ram~104 , datamem|ram~104, mips_16, 1
+instance = comp, \datamem|ram~872feeder , datamem|ram~872feeder, mips_16, 1
+instance = comp, \datamem|ram~872 , datamem|ram~872, mips_16, 1
+instance = comp, \datamem|ram~616 , datamem|ram~616, mips_16, 1
+instance = comp, \datamem|ram~5127 , datamem|ram~5127, mips_16, 1
+instance = comp, \datamem|ram~232 , datamem|ram~232, mips_16, 1
+instance = comp, \datamem|ram~744 , datamem|ram~744, mips_16, 1
+instance = comp, \datamem|ram~488 , datamem|ram~488, mips_16, 1
+instance = comp, \datamem|ram~1000 , datamem|ram~1000, mips_16, 1
+instance = comp, \datamem|ram~5129 , datamem|ram~5129, mips_16, 1
+instance = comp, \datamem|ram~5130 , datamem|ram~5130, mips_16, 1
+instance = comp, \datamem|ram~648feeder , datamem|ram~648feeder, mips_16, 1
+instance = comp, \datamem|ram~648 , datamem|ram~648, mips_16, 1
+instance = comp, \datamem|ram~136 , datamem|ram~136, mips_16, 1
+instance = comp, \datamem|ram~392feeder , datamem|ram~392feeder, mips_16, 1
+instance = comp, \datamem|ram~392 , datamem|ram~392, mips_16, 1
+instance = comp, \datamem|ram~904 , datamem|ram~904, mips_16, 1
+instance = comp, \datamem|ram~5118 , datamem|ram~5118, mips_16, 1
+instance = comp, \datamem|ram~8 , datamem|ram~8, mips_16, 1
+instance = comp, \datamem|ram~520feeder , datamem|ram~520feeder, mips_16, 1
+instance = comp, \datamem|ram~520 , datamem|ram~520, mips_16, 1
+instance = comp, \datamem|ram~776 , datamem|ram~776, mips_16, 1
+instance = comp, \datamem|ram~264 , datamem|ram~264, mips_16, 1
+instance = comp, \datamem|ram~5116 , datamem|ram~5116, mips_16, 1
+instance = comp, \datamem|ram~200 , datamem|ram~200, mips_16, 1
+instance = comp, \datamem|ram~712feeder , datamem|ram~712feeder, mips_16, 1
+instance = comp, \datamem|ram~712 , datamem|ram~712, mips_16, 1
+instance = comp, \datamem|ram~456feeder , datamem|ram~456feeder, mips_16, 1
+instance = comp, \datamem|ram~456 , datamem|ram~456, mips_16, 1
+instance = comp, \datamem|ram~968 , datamem|ram~968, mips_16, 1
+instance = comp, \datamem|ram~5119 , datamem|ram~5119, mips_16, 1
+instance = comp, \datamem|ram~72 , datamem|ram~72, mips_16, 1
+instance = comp, \datamem|ram~584 , datamem|ram~584, mips_16, 1
+instance = comp, \datamem|ram~840feeder , datamem|ram~840feeder, mips_16, 1
+instance = comp, \datamem|ram~840 , datamem|ram~840, mips_16, 1
+instance = comp, \datamem|ram~328 , datamem|ram~328, mips_16, 1
+instance = comp, \datamem|ram~5117 , datamem|ram~5117, mips_16, 1
+instance = comp, \datamem|ram~5120 , datamem|ram~5120, mips_16, 1
+instance = comp, \datamem|ram~152 , datamem|ram~152, mips_16, 1
+instance = comp, \datamem|ram~664 , datamem|ram~664, mips_16, 1
+instance = comp, \datamem|ram~408 , datamem|ram~408, mips_16, 1
+instance = comp, \datamem|ram~920 , datamem|ram~920, mips_16, 1
+instance = comp, \datamem|ram~5123 , datamem|ram~5123, mips_16, 1
+instance = comp, \datamem|ram~792 , datamem|ram~792, mips_16, 1
+instance = comp, \datamem|ram~24feeder , datamem|ram~24feeder, mips_16, 1
+instance = comp, \datamem|ram~24 , datamem|ram~24, mips_16, 1
+instance = comp, \datamem|ram~536feeder , datamem|ram~536feeder, mips_16, 1
+instance = comp, \datamem|ram~536 , datamem|ram~536, mips_16, 1
+instance = comp, \datamem|ram~280 , datamem|ram~280, mips_16, 1
+instance = comp, \datamem|ram~5121 , datamem|ram~5121, mips_16, 1
+instance = comp, \datamem|ram~600feeder , datamem|ram~600feeder, mips_16, 1
+instance = comp, \datamem|ram~600 , datamem|ram~600, mips_16, 1
+instance = comp, \datamem|ram~856 , datamem|ram~856, mips_16, 1
+instance = comp, \datamem|ram~88 , datamem|ram~88, mips_16, 1
+instance = comp, \datamem|ram~344 , datamem|ram~344, mips_16, 1
+instance = comp, \datamem|ram~5122 , datamem|ram~5122, mips_16, 1
+instance = comp, \datamem|ram~472 , datamem|ram~472, mips_16, 1
+instance = comp, \datamem|ram~216 , datamem|ram~216, mips_16, 1
+instance = comp, \datamem|ram~728 , datamem|ram~728, mips_16, 1
+instance = comp, \datamem|ram~984 , datamem|ram~984, mips_16, 1
+instance = comp, \datamem|ram~5124 , datamem|ram~5124, mips_16, 1
+instance = comp, \datamem|ram~5125 , datamem|ram~5125, mips_16, 1
+instance = comp, \datamem|ram~5136 , datamem|ram~5136, mips_16, 1
+instance = comp, \datamem|ram~3752 , datamem|ram~3752, mips_16, 1
+instance = comp, \datamem|ram~3496 , datamem|ram~3496, mips_16, 1
+instance = comp, \datamem|ram~3240feeder , datamem|ram~3240feeder, mips_16, 1
+instance = comp, \datamem|ram~3240 , datamem|ram~3240, mips_16, 1
+instance = comp, \datamem|ram~4008 , datamem|ram~4008, mips_16, 1
+instance = comp, \datamem|ram~5191 , datamem|ram~5191, mips_16, 1
+instance = comp, \datamem|ram~3304feeder , datamem|ram~3304feeder, mips_16, 1
+instance = comp, \datamem|ram~3304 , datamem|ram~3304, mips_16, 1
+instance = comp, \datamem|ram~3560feeder , datamem|ram~3560feeder, mips_16, 1
+instance = comp, \datamem|ram~3560 , datamem|ram~3560, mips_16, 1
+instance = comp, \datamem|ram~3816 , datamem|ram~3816, mips_16, 1
+instance = comp, \datamem|ram~4072 , datamem|ram~4072, mips_16, 1
+instance = comp, \datamem|ram~5192 , datamem|ram~5192, mips_16, 1
+instance = comp, \datamem|ram~3688 , datamem|ram~3688, mips_16, 1
+instance = comp, \datamem|ram~3432feeder , datamem|ram~3432feeder, mips_16, 1
+instance = comp, \datamem|ram~3432 , datamem|ram~3432, mips_16, 1
+instance = comp, \datamem|ram~3176 , datamem|ram~3176, mips_16, 1
+instance = comp, \datamem|ram~3944 , datamem|ram~3944, mips_16, 1
+instance = comp, \datamem|ram~5190 , datamem|ram~5190, mips_16, 1
+instance = comp, \datamem|ram~3624 , datamem|ram~3624, mips_16, 1
+instance = comp, \datamem|ram~3112feeder , datamem|ram~3112feeder, mips_16, 1
+instance = comp, \datamem|ram~3112 , datamem|ram~3112, mips_16, 1
+instance = comp, \datamem|ram~3368 , datamem|ram~3368, mips_16, 1
+instance = comp, \datamem|ram~3880 , datamem|ram~3880, mips_16, 1
+instance = comp, \datamem|ram~5189 , datamem|ram~5189, mips_16, 1
+instance = comp, \datamem|ram~5193 , datamem|ram~5193, mips_16, 1
+instance = comp, \datamem|ram~3736 , datamem|ram~3736, mips_16, 1
+instance = comp, \datamem|ram~3224 , datamem|ram~3224, mips_16, 1
+instance = comp, \datamem|ram~3480 , datamem|ram~3480, mips_16, 1
+instance = comp, \datamem|ram~3992 , datamem|ram~3992, mips_16, 1
+instance = comp, \datamem|ram~5186 , datamem|ram~5186, mips_16, 1
+instance = comp, \datamem|ram~3800 , datamem|ram~3800, mips_16, 1
+instance = comp, \datamem|ram~3544 , datamem|ram~3544, mips_16, 1
+instance = comp, \datamem|ram~3288 , datamem|ram~3288, mips_16, 1
+instance = comp, \datamem|ram~4056 , datamem|ram~4056, mips_16, 1
+instance = comp, \datamem|ram~5187 , datamem|ram~5187, mips_16, 1
+instance = comp, \datamem|ram~3672 , datamem|ram~3672, mips_16, 1
+instance = comp, \datamem|ram~3160 , datamem|ram~3160, mips_16, 1
+instance = comp, \datamem|ram~3416 , datamem|ram~3416, mips_16, 1
+instance = comp, \datamem|ram~3928 , datamem|ram~3928, mips_16, 1
+instance = comp, \datamem|ram~5185 , datamem|ram~5185, mips_16, 1
+instance = comp, \datamem|ram~3608 , datamem|ram~3608, mips_16, 1
+instance = comp, \datamem|ram~3352feeder , datamem|ram~3352feeder, mips_16, 1
+instance = comp, \datamem|ram~3352 , datamem|ram~3352, mips_16, 1
+instance = comp, \datamem|ram~3096feeder , datamem|ram~3096feeder, mips_16, 1
+instance = comp, \datamem|ram~3096 , datamem|ram~3096, mips_16, 1
+instance = comp, \datamem|ram~3864 , datamem|ram~3864, mips_16, 1
+instance = comp, \datamem|ram~5184 , datamem|ram~5184, mips_16, 1
+instance = comp, \datamem|ram~5188 , datamem|ram~5188, mips_16, 1
+instance = comp, \datamem|ram~3128 , datamem|ram~3128, mips_16, 1
+instance = comp, \datamem|ram~3256feeder , datamem|ram~3256feeder, mips_16, 1
+instance = comp, \datamem|ram~3256 , datamem|ram~3256, mips_16, 1
+instance = comp, \datamem|ram~3192 , datamem|ram~3192, mips_16, 1
+instance = comp, \datamem|ram~3320 , datamem|ram~3320, mips_16, 1
+instance = comp, \datamem|ram~5194 , datamem|ram~5194, mips_16, 1
+instance = comp, \datamem|ram~3704 , datamem|ram~3704, mips_16, 1
+instance = comp, \datamem|ram~3640 , datamem|ram~3640, mips_16, 1
+instance = comp, \datamem|ram~3768feeder , datamem|ram~3768feeder, mips_16, 1
+instance = comp, \datamem|ram~3768 , datamem|ram~3768, mips_16, 1
+instance = comp, \datamem|ram~3832 , datamem|ram~3832, mips_16, 1
+instance = comp, \datamem|ram~5196 , datamem|ram~5196, mips_16, 1
+instance = comp, \datamem|ram~3896 , datamem|ram~3896, mips_16, 1
+instance = comp, \datamem|ram~4088 , datamem|ram~4088, mips_16, 1
+instance = comp, \datamem|ram~3960 , datamem|ram~3960, mips_16, 1
+instance = comp, \datamem|ram~4024 , datamem|ram~4024, mips_16, 1
+instance = comp, \datamem|ram~5197 , datamem|ram~5197, mips_16, 1
+instance = comp, \datamem|ram~3384 , datamem|ram~3384, mips_16, 1
+instance = comp, \datamem|ram~3448feeder , datamem|ram~3448feeder, mips_16, 1
+instance = comp, \datamem|ram~3448 , datamem|ram~3448, mips_16, 1
+instance = comp, \datamem|ram~3512feeder , datamem|ram~3512feeder, mips_16, 1
+instance = comp, \datamem|ram~3512 , datamem|ram~3512, mips_16, 1
+instance = comp, \datamem|ram~3576 , datamem|ram~3576, mips_16, 1
+instance = comp, \datamem|ram~5195 , datamem|ram~5195, mips_16, 1
+instance = comp, \datamem|ram~5198 , datamem|ram~5198, mips_16, 1
+instance = comp, \datamem|ram~3272 , datamem|ram~3272, mips_16, 1
+instance = comp, \datamem|ram~3784 , datamem|ram~3784, mips_16, 1
+instance = comp, \datamem|ram~3528feeder , datamem|ram~3528feeder, mips_16, 1
+instance = comp, \datamem|ram~3528 , datamem|ram~3528, mips_16, 1
+instance = comp, \datamem|ram~4040 , datamem|ram~4040, mips_16, 1
+instance = comp, \datamem|ram~5182 , datamem|ram~5182, mips_16, 1
+instance = comp, \datamem|ram~3592feeder , datamem|ram~3592feeder, mips_16, 1
+instance = comp, \datamem|ram~3592 , datamem|ram~3592, mips_16, 1
+instance = comp, \datamem|ram~3336 , datamem|ram~3336, mips_16, 1
+instance = comp, \datamem|ram~3080feeder , datamem|ram~3080feeder, mips_16, 1
+instance = comp, \datamem|ram~3080 , datamem|ram~3080, mips_16, 1
+instance = comp, \datamem|ram~3848 , datamem|ram~3848, mips_16, 1
+instance = comp, \datamem|ram~5179 , datamem|ram~5179, mips_16, 1
+instance = comp, \datamem|ram~3208feeder , datamem|ram~3208feeder, mips_16, 1
+instance = comp, \datamem|ram~3208 , datamem|ram~3208, mips_16, 1
+instance = comp, \datamem|ram~3464 , datamem|ram~3464, mips_16, 1
+instance = comp, \datamem|ram~3720 , datamem|ram~3720, mips_16, 1
+instance = comp, \datamem|ram~3976 , datamem|ram~3976, mips_16, 1
+instance = comp, \datamem|ram~5181 , datamem|ram~5181, mips_16, 1
+instance = comp, \datamem|ram~3144 , datamem|ram~3144, mips_16, 1
+instance = comp, \datamem|ram~3656 , datamem|ram~3656, mips_16, 1
+instance = comp, \datamem|ram~3400 , datamem|ram~3400, mips_16, 1
+instance = comp, \datamem|ram~3912 , datamem|ram~3912, mips_16, 1
+instance = comp, \datamem|ram~5180 , datamem|ram~5180, mips_16, 1
+instance = comp, \datamem|ram~5183 , datamem|ram~5183, mips_16, 1
+instance = comp, \datamem|ram~5199 , datamem|ram~5199, mips_16, 1
+instance = comp, \datamem|ram~5200 , datamem|ram~5200, mips_16, 1
+instance = comp, \reg_write_data[8]~14 , reg_write_data[8]~14, mips_16, 1
+instance = comp, \reg_file|reg_array[0][8] , reg_file|reg_array[0][8], mips_16, 1
+instance = comp, \reg_file|reg_array[2][8] , reg_file|reg_array[2][8], mips_16, 1
+instance = comp, \reg_file|reg_array[1][8] , reg_file|reg_array[1][8], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[8]~8 , reg_file|reg_read_data_2[8]~8, mips_16, 1
+instance = comp, \read_data2[8]~8 , read_data2[8]~8, mips_16, 1
+instance = comp, \alu_unit|Add1~33 , alu_unit|Add1~33, mips_16, 1
+instance = comp, \alu_unit|Add0~33 , alu_unit|Add0~33, mips_16, 1
+instance = comp, \alu_unit|Mux6~2 , alu_unit|Mux6~2, mips_16, 1
+instance = comp, \alu_unit|Mux6~5 , alu_unit|Mux6~5, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[5]~6 , alu_unit|shifter_left|st2[5]~6, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[1]~1 , alu_unit|shifter_left|st2[1]~1, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[9]~10 , alu_unit|shifter_left|st2[9]~10, mips_16, 1
+instance = comp, \alu_unit|Mux6~4 , alu_unit|Mux6~4, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[2]~2 , alu_unit|shifter_right|st2[2]~2, mips_16, 1
+instance = comp, \alu_unit|Mux6~6 , alu_unit|Mux6~6, mips_16, 1
+instance = comp, \alu_unit|Mux6~7 , alu_unit|Mux6~7, mips_16, 1
+instance = comp, \datamem|ram~3417feeder , datamem|ram~3417feeder, mips_16, 1
+instance = comp, \datamem|ram~3417 , datamem|ram~3417, mips_16, 1
+instance = comp, \datamem|ram~3353feeder , datamem|ram~3353feeder, mips_16, 1
+instance = comp, \datamem|ram~3353 , datamem|ram~3353, mips_16, 1
+instance = comp, \datamem|ram~3481 , datamem|ram~3481, mips_16, 1
+instance = comp, \datamem|ram~3545 , datamem|ram~3545, mips_16, 1
+instance = comp, \datamem|ram~4590 , datamem|ram~4590, mips_16, 1
+instance = comp, \datamem|ram~3369feeder , datamem|ram~3369feeder, mips_16, 1
+instance = comp, \datamem|ram~3369 , datamem|ram~3369, mips_16, 1
+instance = comp, \datamem|ram~3433 , datamem|ram~3433, mips_16, 1
+instance = comp, \datamem|ram~3497 , datamem|ram~3497, mips_16, 1
+instance = comp, \datamem|ram~3561 , datamem|ram~3561, mips_16, 1
+instance = comp, \datamem|ram~4591 , datamem|ram~4591, mips_16, 1
+instance = comp, \datamem|ram~3401 , datamem|ram~3401, mips_16, 1
+instance = comp, \datamem|ram~3465feeder , datamem|ram~3465feeder, mips_16, 1
+instance = comp, \datamem|ram~3465 , datamem|ram~3465, mips_16, 1
+instance = comp, \datamem|ram~3337feeder , datamem|ram~3337feeder, mips_16, 1
+instance = comp, \datamem|ram~3337 , datamem|ram~3337, mips_16, 1
+instance = comp, \datamem|ram~3529 , datamem|ram~3529, mips_16, 1
+instance = comp, \datamem|ram~4589 , datamem|ram~4589, mips_16, 1
+instance = comp, \datamem|ram~3513 , datamem|ram~3513, mips_16, 1
+instance = comp, \datamem|ram~3385 , datamem|ram~3385, mips_16, 1
+instance = comp, \datamem|ram~3449feeder , datamem|ram~3449feeder, mips_16, 1
+instance = comp, \datamem|ram~3449 , datamem|ram~3449, mips_16, 1
+instance = comp, \datamem|ram~3577 , datamem|ram~3577, mips_16, 1
+instance = comp, \datamem|ram~4592 , datamem|ram~4592, mips_16, 1
+instance = comp, \datamem|ram~4593 , datamem|ram~4593, mips_16, 1
+instance = comp, \datamem|ram~3257feeder , datamem|ram~3257feeder, mips_16, 1
+instance = comp, \datamem|ram~3257 , datamem|ram~3257, mips_16, 1
+instance = comp, \datamem|ram~3193feeder , datamem|ram~3193feeder, mips_16, 1
+instance = comp, \datamem|ram~3193 , datamem|ram~3193, mips_16, 1
+instance = comp, \datamem|ram~3129feeder , datamem|ram~3129feeder, mips_16, 1
+instance = comp, \datamem|ram~3129 , datamem|ram~3129, mips_16, 1
+instance = comp, \datamem|ram~3321 , datamem|ram~3321, mips_16, 1
+instance = comp, \datamem|ram~4587 , datamem|ram~4587, mips_16, 1
+instance = comp, \datamem|ram~3081feeder , datamem|ram~3081feeder, mips_16, 1
+instance = comp, \datamem|ram~3081 , datamem|ram~3081, mips_16, 1
+instance = comp, \datamem|ram~3145feeder , datamem|ram~3145feeder, mips_16, 1
+instance = comp, \datamem|ram~3145 , datamem|ram~3145, mips_16, 1
+instance = comp, \datamem|ram~3209feeder , datamem|ram~3209feeder, mips_16, 1
+instance = comp, \datamem|ram~3209 , datamem|ram~3209, mips_16, 1
+instance = comp, \datamem|ram~3273 , datamem|ram~3273, mips_16, 1
+instance = comp, \datamem|ram~4584 , datamem|ram~4584, mips_16, 1
+instance = comp, \datamem|ram~3097feeder , datamem|ram~3097feeder, mips_16, 1
+instance = comp, \datamem|ram~3097 , datamem|ram~3097, mips_16, 1
+instance = comp, \datamem|ram~3161 , datamem|ram~3161, mips_16, 1
+instance = comp, \datamem|ram~3225 , datamem|ram~3225, mips_16, 1
+instance = comp, \datamem|ram~3289 , datamem|ram~3289, mips_16, 1
+instance = comp, \datamem|ram~4585 , datamem|ram~4585, mips_16, 1
+instance = comp, \datamem|ram~3241 , datamem|ram~3241, mips_16, 1
+instance = comp, \datamem|ram~3113feeder , datamem|ram~3113feeder, mips_16, 1
+instance = comp, \datamem|ram~3113 , datamem|ram~3113, mips_16, 1
+instance = comp, \datamem|ram~3177feeder , datamem|ram~3177feeder, mips_16, 1
+instance = comp, \datamem|ram~3177 , datamem|ram~3177, mips_16, 1
+instance = comp, \datamem|ram~3305 , datamem|ram~3305, mips_16, 1
+instance = comp, \datamem|ram~4586 , datamem|ram~4586, mips_16, 1
+instance = comp, \datamem|ram~4588 , datamem|ram~4588, mips_16, 1
+instance = comp, \datamem|ram~3945 , datamem|ram~3945, mips_16, 1
+instance = comp, \datamem|ram~3913feeder , datamem|ram~3913feeder, mips_16, 1
+instance = comp, \datamem|ram~3913 , datamem|ram~3913, mips_16, 1
+instance = comp, \datamem|ram~3929 , datamem|ram~3929, mips_16, 1
+instance = comp, \datamem|ram~3961 , datamem|ram~3961, mips_16, 1
+instance = comp, \datamem|ram~4600 , datamem|ram~4600, mips_16, 1
+instance = comp, \datamem|ram~4057 , datamem|ram~4057, mips_16, 1
+instance = comp, \datamem|ram~4089feeder , datamem|ram~4089feeder, mips_16, 1
+instance = comp, \datamem|ram~4089 , datamem|ram~4089, mips_16, 1
+instance = comp, \datamem|ram~4041feeder , datamem|ram~4041feeder, mips_16, 1
+instance = comp, \datamem|ram~4041 , datamem|ram~4041, mips_16, 1
+instance = comp, \datamem|ram~4073 , datamem|ram~4073, mips_16, 1
+instance = comp, \datamem|ram~4602 , datamem|ram~4602, mips_16, 1
+instance = comp, \datamem|ram~3993 , datamem|ram~3993, mips_16, 1
+instance = comp, \datamem|ram~4009 , datamem|ram~4009, mips_16, 1
+instance = comp, \datamem|ram~3977feeder , datamem|ram~3977feeder, mips_16, 1
+instance = comp, \datamem|ram~3977 , datamem|ram~3977, mips_16, 1
+instance = comp, \datamem|ram~4025 , datamem|ram~4025, mips_16, 1
+instance = comp, \datamem|ram~4601 , datamem|ram~4601, mips_16, 1
+instance = comp, \datamem|ram~3881 , datamem|ram~3881, mips_16, 1
+instance = comp, \datamem|ram~3849feeder , datamem|ram~3849feeder, mips_16, 1
+instance = comp, \datamem|ram~3849 , datamem|ram~3849, mips_16, 1
+instance = comp, \datamem|ram~3865feeder , datamem|ram~3865feeder, mips_16, 1
+instance = comp, \datamem|ram~3865 , datamem|ram~3865, mips_16, 1
+instance = comp, \datamem|ram~3897 , datamem|ram~3897, mips_16, 1
+instance = comp, \datamem|ram~4599 , datamem|ram~4599, mips_16, 1
+instance = comp, \datamem|ram~4603 , datamem|ram~4603, mips_16, 1
+instance = comp, \datamem|ram~3609 , datamem|ram~3609, mips_16, 1
+instance = comp, \datamem|ram~3737 , datamem|ram~3737, mips_16, 1
+instance = comp, \datamem|ram~3673feeder , datamem|ram~3673feeder, mips_16, 1
+instance = comp, \datamem|ram~3673 , datamem|ram~3673, mips_16, 1
+instance = comp, \datamem|ram~3801 , datamem|ram~3801, mips_16, 1
+instance = comp, \datamem|ram~4595 , datamem|ram~4595, mips_16, 1
+instance = comp, \datamem|ram~3705feeder , datamem|ram~3705feeder, mips_16, 1
+instance = comp, \datamem|ram~3705 , datamem|ram~3705, mips_16, 1
+instance = comp, \datamem|ram~3641 , datamem|ram~3641, mips_16, 1
+instance = comp, \datamem|ram~3833 , datamem|ram~3833, mips_16, 1
+instance = comp, \datamem|ram~3769feeder , datamem|ram~3769feeder, mips_16, 1
+instance = comp, \datamem|ram~3769 , datamem|ram~3769, mips_16, 1
+instance = comp, \datamem|ram~4597 , datamem|ram~4597, mips_16, 1
+instance = comp, \datamem|ram~3689feeder , datamem|ram~3689feeder, mips_16, 1
+instance = comp, \datamem|ram~3689 , datamem|ram~3689, mips_16, 1
+instance = comp, \datamem|ram~3753feeder , datamem|ram~3753feeder, mips_16, 1
+instance = comp, \datamem|ram~3753 , datamem|ram~3753, mips_16, 1
+instance = comp, \datamem|ram~3625 , datamem|ram~3625, mips_16, 1
+instance = comp, \datamem|ram~3817 , datamem|ram~3817, mips_16, 1
+instance = comp, \datamem|ram~4596 , datamem|ram~4596, mips_16, 1
+instance = comp, \datamem|ram~3657feeder , datamem|ram~3657feeder, mips_16, 1
+instance = comp, \datamem|ram~3657 , datamem|ram~3657, mips_16, 1
+instance = comp, \datamem|ram~3721feeder , datamem|ram~3721feeder, mips_16, 1
+instance = comp, \datamem|ram~3721 , datamem|ram~3721, mips_16, 1
+instance = comp, \datamem|ram~3593feeder , datamem|ram~3593feeder, mips_16, 1
+instance = comp, \datamem|ram~3593 , datamem|ram~3593, mips_16, 1
+instance = comp, \datamem|ram~3785 , datamem|ram~3785, mips_16, 1
+instance = comp, \datamem|ram~4594 , datamem|ram~4594, mips_16, 1
+instance = comp, \datamem|ram~4598 , datamem|ram~4598, mips_16, 1
+instance = comp, \datamem|ram~4604 , datamem|ram~4604, mips_16, 1
+instance = comp, \datamem|ram~425 , datamem|ram~425, mips_16, 1
+instance = comp, \datamem|ram~297feeder , datamem|ram~297feeder, mips_16, 1
+instance = comp, \datamem|ram~297 , datamem|ram~297, mips_16, 1
+instance = comp, \datamem|ram~361 , datamem|ram~361, mips_16, 1
+instance = comp, \datamem|ram~489 , datamem|ram~489, mips_16, 1
+instance = comp, \datamem|ram~4528 , datamem|ram~4528, mips_16, 1
+instance = comp, \datamem|ram~265feeder , datamem|ram~265feeder, mips_16, 1
+instance = comp, \datamem|ram~265 , datamem|ram~265, mips_16, 1
+instance = comp, \datamem|ram~457 , datamem|ram~457, mips_16, 1
+instance = comp, \datamem|ram~393 , datamem|ram~393, mips_16, 1
+instance = comp, \datamem|ram~329 , datamem|ram~329, mips_16, 1
+instance = comp, \datamem|ram~4526 , datamem|ram~4526, mips_16, 1
+instance = comp, \datamem|ram~281feeder , datamem|ram~281feeder, mips_16, 1
+instance = comp, \datamem|ram~281 , datamem|ram~281, mips_16, 1
+instance = comp, \datamem|ram~473feeder , datamem|ram~473feeder, mips_16, 1
+instance = comp, \datamem|ram~473 , datamem|ram~473, mips_16, 1
+instance = comp, \datamem|ram~409 , datamem|ram~409, mips_16, 1
+instance = comp, \datamem|ram~345 , datamem|ram~345, mips_16, 1
+instance = comp, \datamem|ram~4527 , datamem|ram~4527, mips_16, 1
+instance = comp, \datamem|ram~313 , datamem|ram~313, mips_16, 1
+instance = comp, \datamem|ram~441 , datamem|ram~441, mips_16, 1
+instance = comp, \datamem|ram~377feeder , datamem|ram~377feeder, mips_16, 1
+instance = comp, \datamem|ram~377 , datamem|ram~377, mips_16, 1
+instance = comp, \datamem|ram~505 , datamem|ram~505, mips_16, 1
+instance = comp, \datamem|ram~4529 , datamem|ram~4529, mips_16, 1
+instance = comp, \datamem|ram~4530 , datamem|ram~4530, mips_16, 1
+instance = comp, \datamem|ram~1001 , datamem|ram~1001, mips_16, 1
+instance = comp, \datamem|ram~985 , datamem|ram~985, mips_16, 1
+instance = comp, \datamem|ram~969feeder , datamem|ram~969feeder, mips_16, 1
+instance = comp, \datamem|ram~969 , datamem|ram~969, mips_16, 1
+instance = comp, \datamem|ram~1017 , datamem|ram~1017, mips_16, 1
+instance = comp, \datamem|ram~4539 , datamem|ram~4539, mips_16, 1
+instance = comp, \datamem|ram~921 , datamem|ram~921, mips_16, 1
+instance = comp, \datamem|ram~905 , datamem|ram~905, mips_16, 1
+instance = comp, \datamem|ram~937 , datamem|ram~937, mips_16, 1
+instance = comp, \datamem|ram~953 , datamem|ram~953, mips_16, 1
+instance = comp, \datamem|ram~4538 , datamem|ram~4538, mips_16, 1
+instance = comp, \datamem|ram~841feeder , datamem|ram~841feeder, mips_16, 1
+instance = comp, \datamem|ram~841 , datamem|ram~841, mips_16, 1
+instance = comp, \datamem|ram~857 , datamem|ram~857, mips_16, 1
+instance = comp, \datamem|ram~873 , datamem|ram~873, mips_16, 1
+instance = comp, \datamem|ram~889 , datamem|ram~889, mips_16, 1
+instance = comp, \datamem|ram~4537 , datamem|ram~4537, mips_16, 1
+instance = comp, \datamem|ram~809 , datamem|ram~809, mips_16, 1
+instance = comp, \datamem|ram~777feeder , datamem|ram~777feeder, mips_16, 1
+instance = comp, \datamem|ram~777 , datamem|ram~777, mips_16, 1
+instance = comp, \datamem|ram~793 , datamem|ram~793, mips_16, 1
+instance = comp, \datamem|ram~825 , datamem|ram~825, mips_16, 1
+instance = comp, \datamem|ram~4536 , datamem|ram~4536, mips_16, 1
+instance = comp, \datamem|ram~4540 , datamem|ram~4540, mips_16, 1
+instance = comp, \datamem|ram~57feeder , datamem|ram~57feeder, mips_16, 1
+instance = comp, \datamem|ram~57 , datamem|ram~57, mips_16, 1
+instance = comp, \datamem|ram~185 , datamem|ram~185, mips_16, 1
+instance = comp, \datamem|ram~121 , datamem|ram~121, mips_16, 1
+instance = comp, \datamem|ram~249 , datamem|ram~249, mips_16, 1
+instance = comp, \datamem|ram~4524 , datamem|ram~4524, mips_16, 1
+instance = comp, \datamem|ram~41feeder , datamem|ram~41feeder, mips_16, 1
+instance = comp, \datamem|ram~41 , datamem|ram~41, mips_16, 1
+instance = comp, \datamem|ram~105feeder , datamem|ram~105feeder, mips_16, 1
+instance = comp, \datamem|ram~105 , datamem|ram~105, mips_16, 1
+instance = comp, \datamem|ram~169feeder , datamem|ram~169feeder, mips_16, 1
+instance = comp, \datamem|ram~169 , datamem|ram~169, mips_16, 1
+instance = comp, \datamem|ram~233 , datamem|ram~233, mips_16, 1
+instance = comp, \datamem|ram~4523 , datamem|ram~4523, mips_16, 1
+instance = comp, \datamem|ram~153 , datamem|ram~153, mips_16, 1
+instance = comp, \datamem|ram~25feeder , datamem|ram~25feeder, mips_16, 1
+instance = comp, \datamem|ram~25 , datamem|ram~25, mips_16, 1
+instance = comp, \datamem|ram~217 , datamem|ram~217, mips_16, 1
+instance = comp, \datamem|ram~89 , datamem|ram~89, mips_16, 1
+instance = comp, \datamem|ram~4522 , datamem|ram~4522, mips_16, 1
+instance = comp, \datamem|ram~137feeder , datamem|ram~137feeder, mips_16, 1
+instance = comp, \datamem|ram~137 , datamem|ram~137, mips_16, 1
+instance = comp, \datamem|ram~201feeder , datamem|ram~201feeder, mips_16, 1
+instance = comp, \datamem|ram~201 , datamem|ram~201, mips_16, 1
+instance = comp, \datamem|ram~9feeder , datamem|ram~9feeder, mips_16, 1
+instance = comp, \datamem|ram~9 , datamem|ram~9, mips_16, 1
+instance = comp, \datamem|ram~73 , datamem|ram~73, mips_16, 1
+instance = comp, \datamem|ram~4521 , datamem|ram~4521, mips_16, 1
+instance = comp, \datamem|ram~4525 , datamem|ram~4525, mips_16, 1
+instance = comp, \datamem|ram~569feeder , datamem|ram~569feeder, mips_16, 1
+instance = comp, \datamem|ram~569 , datamem|ram~569, mips_16, 1
+instance = comp, \datamem|ram~633feeder , datamem|ram~633feeder, mips_16, 1
+instance = comp, \datamem|ram~633 , datamem|ram~633, mips_16, 1
+instance = comp, \datamem|ram~697feeder , datamem|ram~697feeder, mips_16, 1
+instance = comp, \datamem|ram~697 , datamem|ram~697, mips_16, 1
+instance = comp, \datamem|ram~761 , datamem|ram~761, mips_16, 1
+instance = comp, \datamem|ram~4534 , datamem|ram~4534, mips_16, 1
+instance = comp, \datamem|ram~553 , datamem|ram~553, mips_16, 1
+instance = comp, \datamem|ram~681 , datamem|ram~681, mips_16, 1
+instance = comp, \datamem|ram~617feeder , datamem|ram~617feeder, mips_16, 1
+instance = comp, \datamem|ram~617 , datamem|ram~617, mips_16, 1
+instance = comp, \datamem|ram~745 , datamem|ram~745, mips_16, 1
+instance = comp, \datamem|ram~4533 , datamem|ram~4533, mips_16, 1
+instance = comp, \datamem|ram~521feeder , datamem|ram~521feeder, mips_16, 1
+instance = comp, \datamem|ram~521 , datamem|ram~521, mips_16, 1
+instance = comp, \datamem|ram~585feeder , datamem|ram~585feeder, mips_16, 1
+instance = comp, \datamem|ram~585 , datamem|ram~585, mips_16, 1
+instance = comp, \datamem|ram~649 , datamem|ram~649, mips_16, 1
+instance = comp, \datamem|ram~713 , datamem|ram~713, mips_16, 1
+instance = comp, \datamem|ram~4531 , datamem|ram~4531, mips_16, 1
+instance = comp, \datamem|ram~601 , datamem|ram~601, mips_16, 1
+instance = comp, \datamem|ram~537feeder , datamem|ram~537feeder, mips_16, 1
+instance = comp, \datamem|ram~537 , datamem|ram~537, mips_16, 1
+instance = comp, \datamem|ram~665feeder , datamem|ram~665feeder, mips_16, 1
+instance = comp, \datamem|ram~665 , datamem|ram~665, mips_16, 1
+instance = comp, \datamem|ram~729 , datamem|ram~729, mips_16, 1
+instance = comp, \datamem|ram~4532 , datamem|ram~4532, mips_16, 1
+instance = comp, \datamem|ram~4535 , datamem|ram~4535, mips_16, 1
+instance = comp, \datamem|ram~4541 , datamem|ram~4541, mips_16, 1
+instance = comp, \datamem|ram~1321feeder , datamem|ram~1321feeder, mips_16, 1
+instance = comp, \datamem|ram~1321 , datamem|ram~1321, mips_16, 1
+instance = comp, \datamem|ram~1577 , datamem|ram~1577, mips_16, 1
+instance = comp, \datamem|ram~1065feeder , datamem|ram~1065feeder, mips_16, 1
+instance = comp, \datamem|ram~1065 , datamem|ram~1065, mips_16, 1
+instance = comp, \datamem|ram~1833 , datamem|ram~1833, mips_16, 1
+instance = comp, \datamem|ram~4552 , datamem|ram~4552, mips_16, 1
+instance = comp, \datamem|ram~1641 , datamem|ram~1641, mips_16, 1
+instance = comp, \datamem|ram~1385 , datamem|ram~1385, mips_16, 1
+instance = comp, \datamem|ram~1129feeder , datamem|ram~1129feeder, mips_16, 1
+instance = comp, \datamem|ram~1129 , datamem|ram~1129, mips_16, 1
+instance = comp, \datamem|ram~1897 , datamem|ram~1897, mips_16, 1
+instance = comp, \datamem|ram~4553 , datamem|ram~4553, mips_16, 1
+instance = comp, \datamem|ram~1449 , datamem|ram~1449, mips_16, 1
+instance = comp, \datamem|ram~1705 , datamem|ram~1705, mips_16, 1
+instance = comp, \datamem|ram~1193 , datamem|ram~1193, mips_16, 1
+instance = comp, \datamem|ram~1961 , datamem|ram~1961, mips_16, 1
+instance = comp, \datamem|ram~4554 , datamem|ram~4554, mips_16, 1
+instance = comp, \datamem|ram~1257 , datamem|ram~1257, mips_16, 1
+instance = comp, \datamem|ram~1513 , datamem|ram~1513, mips_16, 1
+instance = comp, \datamem|ram~1769 , datamem|ram~1769, mips_16, 1
+instance = comp, \datamem|ram~2025 , datamem|ram~2025, mips_16, 1
+instance = comp, \datamem|ram~4555 , datamem|ram~4555, mips_16, 1
+instance = comp, \datamem|ram~4556 , datamem|ram~4556, mips_16, 1
+instance = comp, \datamem|ram~1673 , datamem|ram~1673, mips_16, 1
+instance = comp, \datamem|ram~1417feeder , datamem|ram~1417feeder, mips_16, 1
+instance = comp, \datamem|ram~1417 , datamem|ram~1417, mips_16, 1
+instance = comp, \datamem|ram~1161feeder , datamem|ram~1161feeder, mips_16, 1
+instance = comp, \datamem|ram~1161 , datamem|ram~1161, mips_16, 1
+instance = comp, \datamem|ram~1929feeder , datamem|ram~1929feeder, mips_16, 1
+instance = comp, \datamem|ram~1929 , datamem|ram~1929, mips_16, 1
+instance = comp, \datamem|ram~4544 , datamem|ram~4544, mips_16, 1
+instance = comp, \datamem|ram~1481feeder , datamem|ram~1481feeder, mips_16, 1
+instance = comp, \datamem|ram~1481 , datamem|ram~1481, mips_16, 1
+instance = comp, \datamem|ram~1737feeder , datamem|ram~1737feeder, mips_16, 1
+instance = comp, \datamem|ram~1737 , datamem|ram~1737, mips_16, 1
+instance = comp, \datamem|ram~1225feeder , datamem|ram~1225feeder, mips_16, 1
+instance = comp, \datamem|ram~1225 , datamem|ram~1225, mips_16, 1
+instance = comp, \datamem|ram~1993 , datamem|ram~1993, mips_16, 1
+instance = comp, \datamem|ram~4545 , datamem|ram~4545, mips_16, 1
+instance = comp, \datamem|ram~1033 , datamem|ram~1033, mips_16, 1
+instance = comp, \datamem|ram~1801feeder , datamem|ram~1801feeder, mips_16, 1
+instance = comp, \datamem|ram~1801 , datamem|ram~1801, mips_16, 1
+instance = comp, \datamem|ram~1545feeder , datamem|ram~1545feeder, mips_16, 1
+instance = comp, \datamem|ram~1545 , datamem|ram~1545, mips_16, 1
+instance = comp, \datamem|ram~1289 , datamem|ram~1289, mips_16, 1
+instance = comp, \datamem|ram~4542 , datamem|ram~4542, mips_16, 1
+instance = comp, \datamem|ram~1097 , datamem|ram~1097, mips_16, 1
+instance = comp, \datamem|ram~1609 , datamem|ram~1609, mips_16, 1
+instance = comp, \datamem|ram~1865 , datamem|ram~1865, mips_16, 1
+instance = comp, \datamem|ram~1353 , datamem|ram~1353, mips_16, 1
+instance = comp, \datamem|ram~4543 , datamem|ram~4543, mips_16, 1
+instance = comp, \datamem|ram~4546 , datamem|ram~4546, mips_16, 1
+instance = comp, \datamem|ram~1689 , datamem|ram~1689, mips_16, 1
+instance = comp, \datamem|ram~1177 , datamem|ram~1177, mips_16, 1
+instance = comp, \datamem|ram~1433 , datamem|ram~1433, mips_16, 1
+instance = comp, \datamem|ram~1945 , datamem|ram~1945, mips_16, 1
+instance = comp, \datamem|ram~4549 , datamem|ram~4549, mips_16, 1
+instance = comp, \datamem|ram~1753 , datamem|ram~1753, mips_16, 1
+instance = comp, \datamem|ram~1497 , datamem|ram~1497, mips_16, 1
+instance = comp, \datamem|ram~1241 , datamem|ram~1241, mips_16, 1
+instance = comp, \datamem|ram~2009 , datamem|ram~2009, mips_16, 1
+instance = comp, \datamem|ram~4550 , datamem|ram~4550, mips_16, 1
+instance = comp, \datamem|ram~1881feeder , datamem|ram~1881feeder, mips_16, 1
+instance = comp, \datamem|ram~1881 , datamem|ram~1881, mips_16, 1
+instance = comp, \datamem|ram~1113feeder , datamem|ram~1113feeder, mips_16, 1
+instance = comp, \datamem|ram~1113 , datamem|ram~1113, mips_16, 1
+instance = comp, \datamem|ram~1625feeder , datamem|ram~1625feeder, mips_16, 1
+instance = comp, \datamem|ram~1625 , datamem|ram~1625, mips_16, 1
+instance = comp, \datamem|ram~1369 , datamem|ram~1369, mips_16, 1
+instance = comp, \datamem|ram~4548 , datamem|ram~4548, mips_16, 1
+instance = comp, \datamem|ram~1561feeder , datamem|ram~1561feeder, mips_16, 1
+instance = comp, \datamem|ram~1561 , datamem|ram~1561, mips_16, 1
+instance = comp, \datamem|ram~1817 , datamem|ram~1817, mips_16, 1
+instance = comp, \datamem|ram~1049 , datamem|ram~1049, mips_16, 1
+instance = comp, \datamem|ram~1305 , datamem|ram~1305, mips_16, 1
+instance = comp, \datamem|ram~4547 , datamem|ram~4547, mips_16, 1
+instance = comp, \datamem|ram~4551 , datamem|ram~4551, mips_16, 1
+instance = comp, \datamem|ram~1401feeder , datamem|ram~1401feeder, mips_16, 1
+instance = comp, \datamem|ram~1401 , datamem|ram~1401, mips_16, 1
+instance = comp, \datamem|ram~1337 , datamem|ram~1337, mips_16, 1
+instance = comp, \datamem|ram~1465feeder , datamem|ram~1465feeder, mips_16, 1
+instance = comp, \datamem|ram~1465 , datamem|ram~1465, mips_16, 1
+instance = comp, \datamem|ram~1529 , datamem|ram~1529, mips_16, 1
+instance = comp, \datamem|ram~4558 , datamem|ram~4558, mips_16, 1
+instance = comp, \datamem|ram~1145feeder , datamem|ram~1145feeder, mips_16, 1
+instance = comp, \datamem|ram~1145 , datamem|ram~1145, mips_16, 1
+instance = comp, \datamem|ram~1081 , datamem|ram~1081, mips_16, 1
+instance = comp, \datamem|ram~1209 , datamem|ram~1209, mips_16, 1
+instance = comp, \datamem|ram~1273 , datamem|ram~1273, mips_16, 1
+instance = comp, \datamem|ram~4557 , datamem|ram~4557, mips_16, 1
+instance = comp, \datamem|ram~1977 , datamem|ram~1977, mips_16, 1
+instance = comp, \datamem|ram~1849 , datamem|ram~1849, mips_16, 1
+instance = comp, \datamem|ram~1913 , datamem|ram~1913, mips_16, 1
+instance = comp, \datamem|ram~2041 , datamem|ram~2041, mips_16, 1
+instance = comp, \datamem|ram~4560 , datamem|ram~4560, mips_16, 1
+instance = comp, \datamem|ram~1721 , datamem|ram~1721, mips_16, 1
+instance = comp, \datamem|ram~1593 , datamem|ram~1593, mips_16, 1
+instance = comp, \datamem|ram~1657 , datamem|ram~1657, mips_16, 1
+instance = comp, \datamem|ram~1785 , datamem|ram~1785, mips_16, 1
+instance = comp, \datamem|ram~4559 , datamem|ram~4559, mips_16, 1
+instance = comp, \datamem|ram~4561 , datamem|ram~4561, mips_16, 1
+instance = comp, \datamem|ram~4562 , datamem|ram~4562, mips_16, 1
+instance = comp, \datamem|ram~3017feeder , datamem|ram~3017feeder, mips_16, 1
+instance = comp, \datamem|ram~3017 , datamem|ram~3017, mips_16, 1
+instance = comp, \datamem|ram~3049 , datamem|ram~3049, mips_16, 1
+instance = comp, \datamem|ram~3033 , datamem|ram~3033, mips_16, 1
+instance = comp, \datamem|ram~3065 , datamem|ram~3065, mips_16, 1
+instance = comp, \datamem|ram~4581 , datamem|ram~4581, mips_16, 1
+instance = comp, \datamem|ram~2521 , datamem|ram~2521, mips_16, 1
+instance = comp, \datamem|ram~2537 , datamem|ram~2537, mips_16, 1
+instance = comp, \datamem|ram~2505feeder , datamem|ram~2505feeder, mips_16, 1
+instance = comp, \datamem|ram~2505 , datamem|ram~2505, mips_16, 1
+instance = comp, \datamem|ram~2553 , datamem|ram~2553, mips_16, 1
+instance = comp, \datamem|ram~4579 , datamem|ram~4579, mips_16, 1
+instance = comp, \datamem|ram~2297 , datamem|ram~2297, mips_16, 1
+instance = comp, \datamem|ram~2249 , datamem|ram~2249, mips_16, 1
+instance = comp, \datamem|ram~2265 , datamem|ram~2265, mips_16, 1
+instance = comp, \datamem|ram~2281 , datamem|ram~2281, mips_16, 1
+instance = comp, \datamem|ram~4578 , datamem|ram~4578, mips_16, 1
+instance = comp, \datamem|ram~2793feeder , datamem|ram~2793feeder, mips_16, 1
+instance = comp, \datamem|ram~2793 , datamem|ram~2793, mips_16, 1
+instance = comp, \datamem|ram~2777 , datamem|ram~2777, mips_16, 1
+instance = comp, \datamem|ram~2761 , datamem|ram~2761, mips_16, 1
+instance = comp, \datamem|ram~2809 , datamem|ram~2809, mips_16, 1
+instance = comp, \datamem|ram~4580 , datamem|ram~4580, mips_16, 1
+instance = comp, \datamem|ram~4582 , datamem|ram~4582, mips_16, 1
+instance = comp, \datamem|ram~2953 , datamem|ram~2953, mips_16, 1
+instance = comp, \datamem|ram~2441 , datamem|ram~2441, mips_16, 1
+instance = comp, \datamem|ram~2185feeder , datamem|ram~2185feeder, mips_16, 1
+instance = comp, \datamem|ram~2185 , datamem|ram~2185, mips_16, 1
+instance = comp, \datamem|ram~2697 , datamem|ram~2697, mips_16, 1
+instance = comp, \datamem|ram~4573 , datamem|ram~4573, mips_16, 1
+instance = comp, \datamem|ram~2489feeder , datamem|ram~2489feeder, mips_16, 1
+instance = comp, \datamem|ram~2489 , datamem|ram~2489, mips_16, 1
+instance = comp, \datamem|ram~2233 , datamem|ram~2233, mips_16, 1
+instance = comp, \datamem|ram~3001 , datamem|ram~3001, mips_16, 1
+instance = comp, \datamem|ram~2745 , datamem|ram~2745, mips_16, 1
+instance = comp, \datamem|ram~4576 , datamem|ram~4576, mips_16, 1
+instance = comp, \datamem|ram~2473 , datamem|ram~2473, mips_16, 1
+instance = comp, \datamem|ram~2985 , datamem|ram~2985, mips_16, 1
+instance = comp, \datamem|ram~2217feeder , datamem|ram~2217feeder, mips_16, 1
+instance = comp, \datamem|ram~2217 , datamem|ram~2217, mips_16, 1
+instance = comp, \datamem|ram~2729 , datamem|ram~2729, mips_16, 1
+instance = comp, \datamem|ram~4575 , datamem|ram~4575, mips_16, 1
+instance = comp, \datamem|ram~2457 , datamem|ram~2457, mips_16, 1
+instance = comp, \datamem|ram~2713 , datamem|ram~2713, mips_16, 1
+instance = comp, \datamem|ram~2201 , datamem|ram~2201, mips_16, 1
+instance = comp, \datamem|ram~2969 , datamem|ram~2969, mips_16, 1
+instance = comp, \datamem|ram~4574 , datamem|ram~4574, mips_16, 1
+instance = comp, \datamem|ram~4577 , datamem|ram~4577, mips_16, 1
+instance = comp, \datamem|ram~2313 , datamem|ram~2313, mips_16, 1
+instance = comp, \datamem|ram~2345 , datamem|ram~2345, mips_16, 1
+instance = comp, \datamem|ram~2329 , datamem|ram~2329, mips_16, 1
+instance = comp, \datamem|ram~2361 , datamem|ram~2361, mips_16, 1
+instance = comp, \datamem|ram~4564 , datamem|ram~4564, mips_16, 1
+instance = comp, \datamem|ram~2057 , datamem|ram~2057, mips_16, 1
+instance = comp, \datamem|ram~2089 , datamem|ram~2089, mips_16, 1
+instance = comp, \datamem|ram~2073 , datamem|ram~2073, mips_16, 1
+instance = comp, \datamem|ram~2105 , datamem|ram~2105, mips_16, 1
+instance = comp, \datamem|ram~4563 , datamem|ram~4563, mips_16, 1
+instance = comp, \datamem|ram~2841 , datamem|ram~2841, mips_16, 1
+instance = comp, \datamem|ram~2857 , datamem|ram~2857, mips_16, 1
+instance = comp, \datamem|ram~2825 , datamem|ram~2825, mips_16, 1
+instance = comp, \datamem|ram~2873 , datamem|ram~2873, mips_16, 1
+instance = comp, \datamem|ram~4566 , datamem|ram~4566, mips_16, 1
+instance = comp, \datamem|ram~2569feeder , datamem|ram~2569feeder, mips_16, 1
+instance = comp, \datamem|ram~2569 , datamem|ram~2569, mips_16, 1
+instance = comp, \datamem|ram~2585 , datamem|ram~2585, mips_16, 1
+instance = comp, \datamem|ram~2601 , datamem|ram~2601, mips_16, 1
+instance = comp, \datamem|ram~2617 , datamem|ram~2617, mips_16, 1
+instance = comp, \datamem|ram~4565 , datamem|ram~4565, mips_16, 1
+instance = comp, \datamem|ram~4567 , datamem|ram~4567, mips_16, 1
+instance = comp, \datamem|ram~2889 , datamem|ram~2889, mips_16, 1
+instance = comp, \datamem|ram~2905 , datamem|ram~2905, mips_16, 1
+instance = comp, \datamem|ram~2921 , datamem|ram~2921, mips_16, 1
+instance = comp, \datamem|ram~2937 , datamem|ram~2937, mips_16, 1
+instance = comp, \datamem|ram~4571 , datamem|ram~4571, mips_16, 1
+instance = comp, \datamem|ram~2377 , datamem|ram~2377, mips_16, 1
+instance = comp, \datamem|ram~2393 , datamem|ram~2393, mips_16, 1
+instance = comp, \datamem|ram~2409 , datamem|ram~2409, mips_16, 1
+instance = comp, \datamem|ram~2425 , datamem|ram~2425, mips_16, 1
+instance = comp, \datamem|ram~4569 , datamem|ram~4569, mips_16, 1
+instance = comp, \datamem|ram~2121 , datamem|ram~2121, mips_16, 1
+instance = comp, \datamem|ram~2153feeder , datamem|ram~2153feeder, mips_16, 1
+instance = comp, \datamem|ram~2153 , datamem|ram~2153, mips_16, 1
+instance = comp, \datamem|ram~2137 , datamem|ram~2137, mips_16, 1
+instance = comp, \datamem|ram~2169 , datamem|ram~2169, mips_16, 1
+instance = comp, \datamem|ram~4568 , datamem|ram~4568, mips_16, 1
+instance = comp, \datamem|ram~2649 , datamem|ram~2649, mips_16, 1
+instance = comp, \datamem|ram~2665feeder , datamem|ram~2665feeder, mips_16, 1
+instance = comp, \datamem|ram~2665 , datamem|ram~2665, mips_16, 1
+instance = comp, \datamem|ram~2633 , datamem|ram~2633, mips_16, 1
+instance = comp, \datamem|ram~2681 , datamem|ram~2681, mips_16, 1
+instance = comp, \datamem|ram~4570 , datamem|ram~4570, mips_16, 1
+instance = comp, \datamem|ram~4572 , datamem|ram~4572, mips_16, 1
+instance = comp, \datamem|ram~4583 , datamem|ram~4583, mips_16, 1
+instance = comp, \datamem|ram~4605 , datamem|ram~4605, mips_16, 1
+instance = comp, \reg_write_data[9]~7 , reg_write_data[9]~7, mips_16, 1
+instance = comp, \reg_file|reg_array[3][9]~feeder , reg_file|reg_array[3][9]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[3][9] , reg_file|reg_array[3][9], mips_16, 1
+instance = comp, \reg_file|reg_array[4][9] , reg_file|reg_array[4][9], mips_16, 1
+instance = comp, \reg_file|reg_array[7][9]~feeder , reg_file|reg_array[7][9]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[7][9] , reg_file|reg_array[7][9], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[9]~8 , reg_file|reg_read_data_1[9]~8, mips_16, 1
+instance = comp, \alu_unit|Add1~37 , alu_unit|Add1~37, mips_16, 1
+instance = comp, \alu_unit|Add0~37 , alu_unit|Add0~37, mips_16, 1
+instance = comp, \alu_unit|Mux5~0 , alu_unit|Mux5~0, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[5]~3 , alu_unit|shifter_right|st2[5]~3, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[10]~11 , alu_unit|shifter_left|st2[10]~11, mips_16, 1
+instance = comp, \alu_unit|Mux5~2 , alu_unit|Mux5~2, mips_16, 1
+instance = comp, \alu_unit|Mux5~1 , alu_unit|Mux5~1, mips_16, 1
+instance = comp, \alu_unit|Mux5~4 , alu_unit|Mux5~4, mips_16, 1
+instance = comp, \alu_unit|Mux5~3 , alu_unit|Mux5~3, mips_16, 1
+instance = comp, \datamem|ram~4058feeder , datamem|ram~4058feeder, mips_16, 1
+instance = comp, \datamem|ram~4058 , datamem|ram~4058, mips_16, 1
+instance = comp, \datamem|ram~4042 , datamem|ram~4042, mips_16, 1
+instance = comp, \datamem|ram~4090 , datamem|ram~4090, mips_16, 1
+instance = comp, \datamem|ram~4074 , datamem|ram~4074, mips_16, 1
+instance = comp, \datamem|ram~4687 , datamem|ram~4687, mips_16, 1
+instance = comp, \datamem|ram~3786feeder , datamem|ram~3786feeder, mips_16, 1
+instance = comp, \datamem|ram~3786 , datamem|ram~3786, mips_16, 1
+instance = comp, \datamem|ram~3818feeder , datamem|ram~3818feeder, mips_16, 1
+instance = comp, \datamem|ram~3818 , datamem|ram~3818, mips_16, 1
+instance = comp, \datamem|ram~3802feeder , datamem|ram~3802feeder, mips_16, 1
+instance = comp, \datamem|ram~3802 , datamem|ram~3802, mips_16, 1
+instance = comp, \datamem|ram~3834 , datamem|ram~3834, mips_16, 1
+instance = comp, \datamem|ram~4686 , datamem|ram~4686, mips_16, 1
+instance = comp, \datamem|ram~3290feeder , datamem|ram~3290feeder, mips_16, 1
+instance = comp, \datamem|ram~3290 , datamem|ram~3290, mips_16, 1
+instance = comp, \datamem|ram~3274 , datamem|ram~3274, mips_16, 1
+instance = comp, \datamem|ram~3306feeder , datamem|ram~3306feeder, mips_16, 1
+instance = comp, \datamem|ram~3306 , datamem|ram~3306, mips_16, 1
+instance = comp, \datamem|ram~3322 , datamem|ram~3322, mips_16, 1
+instance = comp, \datamem|ram~4684 , datamem|ram~4684, mips_16, 1
+instance = comp, \datamem|ram~3530feeder , datamem|ram~3530feeder, mips_16, 1
+instance = comp, \datamem|ram~3530 , datamem|ram~3530, mips_16, 1
+instance = comp, \datamem|ram~3546feeder , datamem|ram~3546feeder, mips_16, 1
+instance = comp, \datamem|ram~3546 , datamem|ram~3546, mips_16, 1
+instance = comp, \datamem|ram~3562 , datamem|ram~3562, mips_16, 1
+instance = comp, \datamem|ram~3578 , datamem|ram~3578, mips_16, 1
+instance = comp, \datamem|ram~4685 , datamem|ram~4685, mips_16, 1
+instance = comp, \datamem|ram~4688 , datamem|ram~4688, mips_16, 1
+instance = comp, \datamem|ram~3914feeder , datamem|ram~3914feeder, mips_16, 1
+instance = comp, \datamem|ram~3914 , datamem|ram~3914, mips_16, 1
+instance = comp, \datamem|ram~3930 , datamem|ram~3930, mips_16, 1
+instance = comp, \datamem|ram~3946feeder , datamem|ram~3946feeder, mips_16, 1
+instance = comp, \datamem|ram~3946 , datamem|ram~3946, mips_16, 1
+instance = comp, \datamem|ram~3962 , datamem|ram~3962, mips_16, 1
+instance = comp, \datamem|ram~4677 , datamem|ram~4677, mips_16, 1
+instance = comp, \datamem|ram~3434 , datamem|ram~3434, mips_16, 1
+instance = comp, \datamem|ram~3418feeder , datamem|ram~3418feeder, mips_16, 1
+instance = comp, \datamem|ram~3418 , datamem|ram~3418, mips_16, 1
+instance = comp, \datamem|ram~3402 , datamem|ram~3402, mips_16, 1
+instance = comp, \datamem|ram~3450 , datamem|ram~3450, mips_16, 1
+instance = comp, \datamem|ram~4675 , datamem|ram~4675, mips_16, 1
+instance = comp, \datamem|ram~3178 , datamem|ram~3178, mips_16, 1
+instance = comp, \datamem|ram~3146 , datamem|ram~3146, mips_16, 1
+instance = comp, \datamem|ram~3162feeder , datamem|ram~3162feeder, mips_16, 1
+instance = comp, \datamem|ram~3162 , datamem|ram~3162, mips_16, 1
+instance = comp, \datamem|ram~3194 , datamem|ram~3194, mips_16, 1
+instance = comp, \datamem|ram~4674 , datamem|ram~4674, mips_16, 1
+instance = comp, \datamem|ram~3674feeder , datamem|ram~3674feeder, mips_16, 1
+instance = comp, \datamem|ram~3674 , datamem|ram~3674, mips_16, 1
+instance = comp, \datamem|ram~3690feeder , datamem|ram~3690feeder, mips_16, 1
+instance = comp, \datamem|ram~3690 , datamem|ram~3690, mips_16, 1
+instance = comp, \datamem|ram~3658 , datamem|ram~3658, mips_16, 1
+instance = comp, \datamem|ram~3706 , datamem|ram~3706, mips_16, 1
+instance = comp, \datamem|ram~4676 , datamem|ram~4676, mips_16, 1
+instance = comp, \datamem|ram~4678 , datamem|ram~4678, mips_16, 1
+instance = comp, \datamem|ram~3338feeder , datamem|ram~3338feeder, mips_16, 1
+instance = comp, \datamem|ram~3338 , datamem|ram~3338, mips_16, 1
+instance = comp, \datamem|ram~3370feeder , datamem|ram~3370feeder, mips_16, 1
+instance = comp, \datamem|ram~3370 , datamem|ram~3370, mips_16, 1
+instance = comp, \datamem|ram~3354feeder , datamem|ram~3354feeder, mips_16, 1
+instance = comp, \datamem|ram~3354 , datamem|ram~3354, mips_16, 1
+instance = comp, \datamem|ram~3386 , datamem|ram~3386, mips_16, 1
+instance = comp, \datamem|ram~4670 , datamem|ram~4670, mips_16, 1
+instance = comp, \datamem|ram~3098feeder , datamem|ram~3098feeder, mips_16, 1
+instance = comp, \datamem|ram~3098 , datamem|ram~3098, mips_16, 1
+instance = comp, \datamem|ram~3082 , datamem|ram~3082, mips_16, 1
+instance = comp, \datamem|ram~3114 , datamem|ram~3114, mips_16, 1
+instance = comp, \datamem|ram~3130 , datamem|ram~3130, mips_16, 1
+instance = comp, \datamem|ram~4669 , datamem|ram~4669, mips_16, 1
+instance = comp, \datamem|ram~3898 , datamem|ram~3898, mips_16, 1
+instance = comp, \datamem|ram~3866feeder , datamem|ram~3866feeder, mips_16, 1
+instance = comp, \datamem|ram~3866 , datamem|ram~3866, mips_16, 1
+instance = comp, \datamem|ram~3850feeder , datamem|ram~3850feeder, mips_16, 1
+instance = comp, \datamem|ram~3850 , datamem|ram~3850, mips_16, 1
+instance = comp, \datamem|ram~3882 , datamem|ram~3882, mips_16, 1
+instance = comp, \datamem|ram~4672 , datamem|ram~4672, mips_16, 1
+instance = comp, \datamem|ram~3626feeder , datamem|ram~3626feeder, mips_16, 1
+instance = comp, \datamem|ram~3626 , datamem|ram~3626, mips_16, 1
+instance = comp, \datamem|ram~3610 , datamem|ram~3610, mips_16, 1
+instance = comp, \datamem|ram~3594feeder , datamem|ram~3594feeder, mips_16, 1
+instance = comp, \datamem|ram~3594 , datamem|ram~3594, mips_16, 1
+instance = comp, \datamem|ram~3642 , datamem|ram~3642, mips_16, 1
+instance = comp, \datamem|ram~4671 , datamem|ram~4671, mips_16, 1
+instance = comp, \datamem|ram~4673 , datamem|ram~4673, mips_16, 1
+instance = comp, \datamem|ram~3770feeder , datamem|ram~3770feeder, mips_16, 1
+instance = comp, \datamem|ram~3770 , datamem|ram~3770, mips_16, 1
+instance = comp, \datamem|ram~3514feeder , datamem|ram~3514feeder, mips_16, 1
+instance = comp, \datamem|ram~3514 , datamem|ram~3514, mips_16, 1
+instance = comp, \datamem|ram~3258feeder , datamem|ram~3258feeder, mips_16, 1
+instance = comp, \datamem|ram~3258 , datamem|ram~3258, mips_16, 1
+instance = comp, \datamem|ram~4026 , datamem|ram~4026, mips_16, 1
+instance = comp, \datamem|ram~4682 , datamem|ram~4682, mips_16, 1
+instance = comp, \datamem|ram~3482 , datamem|ram~3482, mips_16, 1
+instance = comp, \datamem|ram~3226feeder , datamem|ram~3226feeder, mips_16, 1
+instance = comp, \datamem|ram~3226 , datamem|ram~3226, mips_16, 1
+instance = comp, \datamem|ram~3738feeder , datamem|ram~3738feeder, mips_16, 1
+instance = comp, \datamem|ram~3738 , datamem|ram~3738, mips_16, 1
+instance = comp, \datamem|ram~3994 , datamem|ram~3994, mips_16, 1
+instance = comp, \datamem|ram~4680 , datamem|ram~4680, mips_16, 1
+instance = comp, \datamem|ram~3754feeder , datamem|ram~3754feeder, mips_16, 1
+instance = comp, \datamem|ram~3754 , datamem|ram~3754, mips_16, 1
+instance = comp, \datamem|ram~3498 , datamem|ram~3498, mips_16, 1
+instance = comp, \datamem|ram~3242 , datamem|ram~3242, mips_16, 1
+instance = comp, \datamem|ram~4010 , datamem|ram~4010, mips_16, 1
+instance = comp, \datamem|ram~4681 , datamem|ram~4681, mips_16, 1
+instance = comp, \datamem|ram~3466 , datamem|ram~3466, mips_16, 1
+instance = comp, \datamem|ram~3210 , datamem|ram~3210, mips_16, 1
+instance = comp, \datamem|ram~3722 , datamem|ram~3722, mips_16, 1
+instance = comp, \datamem|ram~3978 , datamem|ram~3978, mips_16, 1
+instance = comp, \datamem|ram~4679 , datamem|ram~4679, mips_16, 1
+instance = comp, \datamem|ram~4683 , datamem|ram~4683, mips_16, 1
+instance = comp, \datamem|ram~4689 , datamem|ram~4689, mips_16, 1
+instance = comp, \datamem|ram~2794 , datamem|ram~2794, mips_16, 1
+instance = comp, \datamem|ram~2538 , datamem|ram~2538, mips_16, 1
+instance = comp, \datamem|ram~2282feeder , datamem|ram~2282feeder, mips_16, 1
+instance = comp, \datamem|ram~2282 , datamem|ram~2282, mips_16, 1
+instance = comp, \datamem|ram~3050feeder , datamem|ram~3050feeder, mips_16, 1
+instance = comp, \datamem|ram~3050 , datamem|ram~3050, mips_16, 1
+instance = comp, \datamem|ram~4661 , datamem|ram~4661, mips_16, 1
+instance = comp, \datamem|ram~2666 , datamem|ram~2666, mips_16, 1
+instance = comp, \datamem|ram~2154feeder , datamem|ram~2154feeder, mips_16, 1
+instance = comp, \datamem|ram~2154 , datamem|ram~2154, mips_16, 1
+instance = comp, \datamem|ram~2410 , datamem|ram~2410, mips_16, 1
+instance = comp, \datamem|ram~2922 , datamem|ram~2922, mips_16, 1
+instance = comp, \datamem|ram~4659 , datamem|ram~4659, mips_16, 1
+instance = comp, \datamem|ram~2090 , datamem|ram~2090, mips_16, 1
+instance = comp, \datamem|ram~2346 , datamem|ram~2346, mips_16, 1
+instance = comp, \datamem|ram~2602 , datamem|ram~2602, mips_16, 1
+instance = comp, \datamem|ram~2858 , datamem|ram~2858, mips_16, 1
+instance = comp, \datamem|ram~4658 , datamem|ram~4658, mips_16, 1
+instance = comp, \datamem|ram~2218feeder , datamem|ram~2218feeder, mips_16, 1
+instance = comp, \datamem|ram~2218 , datamem|ram~2218, mips_16, 1
+instance = comp, \datamem|ram~2474 , datamem|ram~2474, mips_16, 1
+instance = comp, \datamem|ram~2730 , datamem|ram~2730, mips_16, 1
+instance = comp, \datamem|ram~2986 , datamem|ram~2986, mips_16, 1
+instance = comp, \datamem|ram~4660 , datamem|ram~4660, mips_16, 1
+instance = comp, \datamem|ram~4662 , datamem|ram~4662, mips_16, 1
+instance = comp, \datamem|ram~2186feeder , datamem|ram~2186feeder, mips_16, 1
+instance = comp, \datamem|ram~2186 , datamem|ram~2186, mips_16, 1
+instance = comp, \datamem|ram~2698feeder , datamem|ram~2698feeder, mips_16, 1
+instance = comp, \datamem|ram~2698 , datamem|ram~2698, mips_16, 1
+instance = comp, \datamem|ram~2442 , datamem|ram~2442, mips_16, 1
+instance = comp, \datamem|ram~2954 , datamem|ram~2954, mips_16, 1
+instance = comp, \datamem|ram~4650 , datamem|ram~4650, mips_16, 1
+instance = comp, \datamem|ram~2250feeder , datamem|ram~2250feeder, mips_16, 1
+instance = comp, \datamem|ram~2250 , datamem|ram~2250, mips_16, 1
+instance = comp, \datamem|ram~2506 , datamem|ram~2506, mips_16, 1
+instance = comp, \datamem|ram~2762 , datamem|ram~2762, mips_16, 1
+instance = comp, \datamem|ram~3018 , datamem|ram~3018, mips_16, 1
+instance = comp, \datamem|ram~4651 , datamem|ram~4651, mips_16, 1
+instance = comp, \datamem|ram~2570 , datamem|ram~2570, mips_16, 1
+instance = comp, \datamem|ram~2058 , datamem|ram~2058, mips_16, 1
+instance = comp, \datamem|ram~2314 , datamem|ram~2314, mips_16, 1
+instance = comp, \datamem|ram~2826 , datamem|ram~2826, mips_16, 1
+instance = comp, \datamem|ram~4648 , datamem|ram~4648, mips_16, 1
+instance = comp, \datamem|ram~2634 , datamem|ram~2634, mips_16, 1
+instance = comp, \datamem|ram~2378 , datamem|ram~2378, mips_16, 1
+instance = comp, \datamem|ram~2122 , datamem|ram~2122, mips_16, 1
+instance = comp, \datamem|ram~2890 , datamem|ram~2890, mips_16, 1
+instance = comp, \datamem|ram~4649 , datamem|ram~4649, mips_16, 1
+instance = comp, \datamem|ram~4652 , datamem|ram~4652, mips_16, 1
+instance = comp, \datamem|ram~2682feeder , datamem|ram~2682feeder, mips_16, 1
+instance = comp, \datamem|ram~2682 , datamem|ram~2682, mips_16, 1
+instance = comp, \datamem|ram~2746 , datamem|ram~2746, mips_16, 1
+instance = comp, \datamem|ram~2618feeder , datamem|ram~2618feeder, mips_16, 1
+instance = comp, \datamem|ram~2618 , datamem|ram~2618, mips_16, 1
+instance = comp, \datamem|ram~2810 , datamem|ram~2810, mips_16, 1
+instance = comp, \datamem|ram~4665 , datamem|ram~4665, mips_16, 1
+instance = comp, \datamem|ram~2234feeder , datamem|ram~2234feeder, mips_16, 1
+instance = comp, \datamem|ram~2234 , datamem|ram~2234, mips_16, 1
+instance = comp, \datamem|ram~2106feeder , datamem|ram~2106feeder, mips_16, 1
+instance = comp, \datamem|ram~2106 , datamem|ram~2106, mips_16, 1
+instance = comp, \datamem|ram~2170feeder , datamem|ram~2170feeder, mips_16, 1
+instance = comp, \datamem|ram~2170 , datamem|ram~2170, mips_16, 1
+instance = comp, \datamem|ram~2298 , datamem|ram~2298, mips_16, 1
+instance = comp, \datamem|ram~4663 , datamem|ram~4663, mips_16, 1
+instance = comp, \datamem|ram~3002feeder , datamem|ram~3002feeder, mips_16, 1
+instance = comp, \datamem|ram~3002 , datamem|ram~3002, mips_16, 1
+instance = comp, \datamem|ram~2938feeder , datamem|ram~2938feeder, mips_16, 1
+instance = comp, \datamem|ram~2938 , datamem|ram~2938, mips_16, 1
+instance = comp, \datamem|ram~2874 , datamem|ram~2874, mips_16, 1
+instance = comp, \datamem|ram~3066 , datamem|ram~3066, mips_16, 1
+instance = comp, \datamem|ram~4666 , datamem|ram~4666, mips_16, 1
+instance = comp, \datamem|ram~2362 , datamem|ram~2362, mips_16, 1
+instance = comp, \datamem|ram~2426feeder , datamem|ram~2426feeder, mips_16, 1
+instance = comp, \datamem|ram~2426 , datamem|ram~2426, mips_16, 1
+instance = comp, \datamem|ram~2490feeder , datamem|ram~2490feeder, mips_16, 1
+instance = comp, \datamem|ram~2490 , datamem|ram~2490, mips_16, 1
+instance = comp, \datamem|ram~2554 , datamem|ram~2554, mips_16, 1
+instance = comp, \datamem|ram~4664 , datamem|ram~4664, mips_16, 1
+instance = comp, \datamem|ram~4667 , datamem|ram~4667, mips_16, 1
+instance = comp, \datamem|ram~2394feeder , datamem|ram~2394feeder, mips_16, 1
+instance = comp, \datamem|ram~2394 , datamem|ram~2394, mips_16, 1
+instance = comp, \datamem|ram~2138feeder , datamem|ram~2138feeder, mips_16, 1
+instance = comp, \datamem|ram~2138 , datamem|ram~2138, mips_16, 1
+instance = comp, \datamem|ram~2650 , datamem|ram~2650, mips_16, 1
+instance = comp, \datamem|ram~2906 , datamem|ram~2906, mips_16, 1
+instance = comp, \datamem|ram~4654 , datamem|ram~4654, mips_16, 1
+instance = comp, \datamem|ram~2778feeder , datamem|ram~2778feeder, mips_16, 1
+instance = comp, \datamem|ram~2778 , datamem|ram~2778, mips_16, 1
+instance = comp, \datamem|ram~2266 , datamem|ram~2266, mips_16, 1
+instance = comp, \datamem|ram~2522 , datamem|ram~2522, mips_16, 1
+instance = comp, \datamem|ram~3034 , datamem|ram~3034, mips_16, 1
+instance = comp, \datamem|ram~4656 , datamem|ram~4656, mips_16, 1
+instance = comp, \datamem|ram~2074 , datamem|ram~2074, mips_16, 1
+instance = comp, \datamem|ram~2586feeder , datamem|ram~2586feeder, mips_16, 1
+instance = comp, \datamem|ram~2586 , datamem|ram~2586, mips_16, 1
+instance = comp, \datamem|ram~2330feeder , datamem|ram~2330feeder, mips_16, 1
+instance = comp, \datamem|ram~2330 , datamem|ram~2330, mips_16, 1
+instance = comp, \datamem|ram~2842 , datamem|ram~2842, mips_16, 1
+instance = comp, \datamem|ram~4653 , datamem|ram~4653, mips_16, 1
+instance = comp, \datamem|ram~2202feeder , datamem|ram~2202feeder, mips_16, 1
+instance = comp, \datamem|ram~2202 , datamem|ram~2202, mips_16, 1
+instance = comp, \datamem|ram~2714feeder , datamem|ram~2714feeder, mips_16, 1
+instance = comp, \datamem|ram~2714 , datamem|ram~2714, mips_16, 1
+instance = comp, \datamem|ram~2458feeder , datamem|ram~2458feeder, mips_16, 1
+instance = comp, \datamem|ram~2458 , datamem|ram~2458, mips_16, 1
+instance = comp, \datamem|ram~2970 , datamem|ram~2970, mips_16, 1
+instance = comp, \datamem|ram~4655 , datamem|ram~4655, mips_16, 1
+instance = comp, \datamem|ram~4657 , datamem|ram~4657, mips_16, 1
+instance = comp, \datamem|ram~4668 , datamem|ram~4668, mips_16, 1
+instance = comp, \datamem|ram~1290feeder , datamem|ram~1290feeder, mips_16, 1
+instance = comp, \datamem|ram~1290 , datamem|ram~1290, mips_16, 1
+instance = comp, \datamem|ram~1482 , datamem|ram~1482, mips_16, 1
+instance = comp, \datamem|ram~1418 , datamem|ram~1418, mips_16, 1
+instance = comp, \datamem|ram~1354 , datamem|ram~1354, mips_16, 1
+instance = comp, \datamem|ram~4632 , datamem|ram~4632, mips_16, 1
+instance = comp, \datamem|ram~1402feeder , datamem|ram~1402feeder, mips_16, 1
+instance = comp, \datamem|ram~1402 , datamem|ram~1402, mips_16, 1
+instance = comp, \datamem|ram~1466feeder , datamem|ram~1466feeder, mips_16, 1
+instance = comp, \datamem|ram~1466 , datamem|ram~1466, mips_16, 1
+instance = comp, \datamem|ram~1338 , datamem|ram~1338, mips_16, 1
+instance = comp, \datamem|ram~1530feeder , datamem|ram~1530feeder, mips_16, 1
+instance = comp, \datamem|ram~1530 , datamem|ram~1530, mips_16, 1
+instance = comp, \datamem|ram~4635 , datamem|ram~4635, mips_16, 1
+instance = comp, \datamem|ram~1370 , datamem|ram~1370, mips_16, 1
+instance = comp, \datamem|ram~1498 , datamem|ram~1498, mips_16, 1
+instance = comp, \datamem|ram~1434 , datamem|ram~1434, mips_16, 1
+instance = comp, \datamem|ram~1306feeder , datamem|ram~1306feeder, mips_16, 1
+instance = comp, \datamem|ram~1306 , datamem|ram~1306, mips_16, 1
+instance = comp, \datamem|ram~4633 , datamem|ram~4633, mips_16, 1
+instance = comp, \datamem|ram~1322feeder , datamem|ram~1322feeder, mips_16, 1
+instance = comp, \datamem|ram~1322 , datamem|ram~1322, mips_16, 1
+instance = comp, \datamem|ram~1386 , datamem|ram~1386, mips_16, 1
+instance = comp, \datamem|ram~1450 , datamem|ram~1450, mips_16, 1
+instance = comp, \datamem|ram~1514 , datamem|ram~1514, mips_16, 1
+instance = comp, \datamem|ram~4634 , datamem|ram~4634, mips_16, 1
+instance = comp, \datamem|ram~4636 , datamem|ram~4636, mips_16, 1
+instance = comp, \datamem|ram~1882feeder , datamem|ram~1882feeder, mips_16, 1
+instance = comp, \datamem|ram~1882 , datamem|ram~1882, mips_16, 1
+instance = comp, \datamem|ram~1898feeder , datamem|ram~1898feeder, mips_16, 1
+instance = comp, \datamem|ram~1898 , datamem|ram~1898, mips_16, 1
+instance = comp, \datamem|ram~1866feeder , datamem|ram~1866feeder, mips_16, 1
+instance = comp, \datamem|ram~1866 , datamem|ram~1866, mips_16, 1
+instance = comp, \datamem|ram~1914 , datamem|ram~1914, mips_16, 1
+instance = comp, \datamem|ram~4643 , datamem|ram~4643, mips_16, 1
+instance = comp, \datamem|ram~2026 , datamem|ram~2026, mips_16, 1
+instance = comp, \datamem|ram~2042 , datamem|ram~2042, mips_16, 1
+instance = comp, \datamem|ram~2010 , datamem|ram~2010, mips_16, 1
+instance = comp, \datamem|ram~1994feeder , datamem|ram~1994feeder, mips_16, 1
+instance = comp, \datamem|ram~1994 , datamem|ram~1994, mips_16, 1
+instance = comp, \datamem|ram~4645 , datamem|ram~4645, mips_16, 1
+instance = comp, \datamem|ram~1930 , datamem|ram~1930, mips_16, 1
+instance = comp, \datamem|ram~1962 , datamem|ram~1962, mips_16, 1
+instance = comp, \datamem|ram~1946 , datamem|ram~1946, mips_16, 1
+instance = comp, \datamem|ram~1978 , datamem|ram~1978, mips_16, 1
+instance = comp, \datamem|ram~4644 , datamem|ram~4644, mips_16, 1
+instance = comp, \datamem|ram~1802feeder , datamem|ram~1802feeder, mips_16, 1
+instance = comp, \datamem|ram~1802 , datamem|ram~1802, mips_16, 1
+instance = comp, \datamem|ram~1834feeder , datamem|ram~1834feeder, mips_16, 1
+instance = comp, \datamem|ram~1834 , datamem|ram~1834, mips_16, 1
+instance = comp, \datamem|ram~1818 , datamem|ram~1818, mips_16, 1
+instance = comp, \datamem|ram~1850 , datamem|ram~1850, mips_16, 1
+instance = comp, \datamem|ram~4642 , datamem|ram~4642, mips_16, 1
+instance = comp, \datamem|ram~4646 , datamem|ram~4646, mips_16, 1
+instance = comp, \datamem|ram~1226feeder , datamem|ram~1226feeder, mips_16, 1
+instance = comp, \datamem|ram~1226 , datamem|ram~1226, mips_16, 1
+instance = comp, \datamem|ram~1034 , datamem|ram~1034, mips_16, 1
+instance = comp, \datamem|ram~1162 , datamem|ram~1162, mips_16, 1
+instance = comp, \datamem|ram~1098 , datamem|ram~1098, mips_16, 1
+instance = comp, \datamem|ram~4627 , datamem|ram~4627, mips_16, 1
+instance = comp, \datamem|ram~1050 , datamem|ram~1050, mips_16, 1
+instance = comp, \datamem|ram~1242 , datamem|ram~1242, mips_16, 1
+instance = comp, \datamem|ram~1178 , datamem|ram~1178, mips_16, 1
+instance = comp, \datamem|ram~1114 , datamem|ram~1114, mips_16, 1
+instance = comp, \datamem|ram~4628 , datamem|ram~4628, mips_16, 1
+instance = comp, \datamem|ram~1194feeder , datamem|ram~1194feeder, mips_16, 1
+instance = comp, \datamem|ram~1194 , datamem|ram~1194, mips_16, 1
+instance = comp, \datamem|ram~1066feeder , datamem|ram~1066feeder, mips_16, 1
+instance = comp, \datamem|ram~1066 , datamem|ram~1066, mips_16, 1
+instance = comp, \datamem|ram~1130feeder , datamem|ram~1130feeder, mips_16, 1
+instance = comp, \datamem|ram~1130 , datamem|ram~1130, mips_16, 1
+instance = comp, \datamem|ram~1258 , datamem|ram~1258, mips_16, 1
+instance = comp, \datamem|ram~4629 , datamem|ram~4629, mips_16, 1
+instance = comp, \datamem|ram~1082 , datamem|ram~1082, mips_16, 1
+instance = comp, \datamem|ram~1146 , datamem|ram~1146, mips_16, 1
+instance = comp, \datamem|ram~1210 , datamem|ram~1210, mips_16, 1
+instance = comp, \datamem|ram~1274 , datamem|ram~1274, mips_16, 1
+instance = comp, \datamem|ram~4630 , datamem|ram~4630, mips_16, 1
+instance = comp, \datamem|ram~4631 , datamem|ram~4631, mips_16, 1
+instance = comp, \datamem|ram~1674 , datamem|ram~1674, mips_16, 1
+instance = comp, \datamem|ram~1610feeder , datamem|ram~1610feeder, mips_16, 1
+instance = comp, \datamem|ram~1610 , datamem|ram~1610, mips_16, 1
+instance = comp, \datamem|ram~1546feeder , datamem|ram~1546feeder, mips_16, 1
+instance = comp, \datamem|ram~1546 , datamem|ram~1546, mips_16, 1
+instance = comp, \datamem|ram~1738 , datamem|ram~1738, mips_16, 1
+instance = comp, \datamem|ram~4637 , datamem|ram~4637, mips_16, 1
+instance = comp, \datamem|ram~1722 , datamem|ram~1722, mips_16, 1
+instance = comp, \datamem|ram~1658feeder , datamem|ram~1658feeder, mips_16, 1
+instance = comp, \datamem|ram~1658 , datamem|ram~1658, mips_16, 1
+instance = comp, \datamem|ram~1594 , datamem|ram~1594, mips_16, 1
+instance = comp, \datamem|ram~1786 , datamem|ram~1786, mips_16, 1
+instance = comp, \datamem|ram~4640 , datamem|ram~4640, mips_16, 1
+instance = comp, \datamem|ram~1690feeder , datamem|ram~1690feeder, mips_16, 1
+instance = comp, \datamem|ram~1690 , datamem|ram~1690, mips_16, 1
+instance = comp, \datamem|ram~1562 , datamem|ram~1562, mips_16, 1
+instance = comp, \datamem|ram~1626feeder , datamem|ram~1626feeder, mips_16, 1
+instance = comp, \datamem|ram~1626 , datamem|ram~1626, mips_16, 1
+instance = comp, \datamem|ram~1754 , datamem|ram~1754, mips_16, 1
+instance = comp, \datamem|ram~4638 , datamem|ram~4638, mips_16, 1
+instance = comp, \datamem|ram~1706 , datamem|ram~1706, mips_16, 1
+instance = comp, \datamem|ram~1578 , datamem|ram~1578, mips_16, 1
+instance = comp, \datamem|ram~1642 , datamem|ram~1642, mips_16, 1
+instance = comp, \datamem|ram~1770 , datamem|ram~1770, mips_16, 1
+instance = comp, \datamem|ram~4639 , datamem|ram~4639, mips_16, 1
+instance = comp, \datamem|ram~4641 , datamem|ram~4641, mips_16, 1
+instance = comp, \datamem|ram~4647 , datamem|ram~4647, mips_16, 1
+instance = comp, \datamem|ram~970 , datamem|ram~970, mips_16, 1
+instance = comp, \datamem|ram~1002 , datamem|ram~1002, mips_16, 1
+instance = comp, \datamem|ram~986feeder , datamem|ram~986feeder, mips_16, 1
+instance = comp, \datamem|ram~986 , datamem|ram~986, mips_16, 1
+instance = comp, \datamem|ram~1018 , datamem|ram~1018, mips_16, 1
+instance = comp, \datamem|ram~4624 , datamem|ram~4624, mips_16, 1
+instance = comp, \datamem|ram~490feeder , datamem|ram~490feeder, mips_16, 1
+instance = comp, \datamem|ram~490 , datamem|ram~490, mips_16, 1
+instance = comp, \datamem|ram~506 , datamem|ram~506, mips_16, 1
+instance = comp, \datamem|ram~474 , datamem|ram~474, mips_16, 1
+instance = comp, \datamem|ram~458 , datamem|ram~458, mips_16, 1
+instance = comp, \datamem|ram~4622 , datamem|ram~4622, mips_16, 1
+instance = comp, \datamem|ram~202feeder , datamem|ram~202feeder, mips_16, 1
+instance = comp, \datamem|ram~202 , datamem|ram~202, mips_16, 1
+instance = comp, \datamem|ram~218feeder , datamem|ram~218feeder, mips_16, 1
+instance = comp, \datamem|ram~218 , datamem|ram~218, mips_16, 1
+instance = comp, \datamem|ram~234 , datamem|ram~234, mips_16, 1
+instance = comp, \datamem|ram~250 , datamem|ram~250, mips_16, 1
+instance = comp, \datamem|ram~4621 , datamem|ram~4621, mips_16, 1
+instance = comp, \datamem|ram~730feeder , datamem|ram~730feeder, mips_16, 1
+instance = comp, \datamem|ram~730 , datamem|ram~730, mips_16, 1
+instance = comp, \datamem|ram~714 , datamem|ram~714, mips_16, 1
+instance = comp, \datamem|ram~746 , datamem|ram~746, mips_16, 1
+instance = comp, \datamem|ram~762 , datamem|ram~762, mips_16, 1
+instance = comp, \datamem|ram~4623 , datamem|ram~4623, mips_16, 1
+instance = comp, \datamem|ram~4625 , datamem|ram~4625, mips_16, 1
+instance = comp, \datamem|ram~442 , datamem|ram~442, mips_16, 1
+instance = comp, \datamem|ram~186 , datamem|ram~186, mips_16, 1
+instance = comp, \datamem|ram~954 , datamem|ram~954, mips_16, 1
+instance = comp, \datamem|ram~698feeder , datamem|ram~698feeder, mips_16, 1
+instance = comp, \datamem|ram~698 , datamem|ram~698, mips_16, 1
+instance = comp, \datamem|ram~4619 , datamem|ram~4619, mips_16, 1
+instance = comp, \datamem|ram~922 , datamem|ram~922, mips_16, 1
+instance = comp, \datamem|ram~410 , datamem|ram~410, mips_16, 1
+instance = comp, \datamem|ram~666feeder , datamem|ram~666feeder, mips_16, 1
+instance = comp, \datamem|ram~666 , datamem|ram~666, mips_16, 1
+instance = comp, \datamem|ram~154 , datamem|ram~154, mips_16, 1
+instance = comp, \datamem|ram~4617 , datamem|ram~4617, mips_16, 1
+instance = comp, \datamem|ram~682feeder , datamem|ram~682feeder, mips_16, 1
+instance = comp, \datamem|ram~682 , datamem|ram~682, mips_16, 1
+instance = comp, \datamem|ram~426feeder , datamem|ram~426feeder, mips_16, 1
+instance = comp, \datamem|ram~426 , datamem|ram~426, mips_16, 1
+instance = comp, \datamem|ram~170feeder , datamem|ram~170feeder, mips_16, 1
+instance = comp, \datamem|ram~170 , datamem|ram~170, mips_16, 1
+instance = comp, \datamem|ram~938 , datamem|ram~938, mips_16, 1
+instance = comp, \datamem|ram~4618 , datamem|ram~4618, mips_16, 1
+instance = comp, \datamem|ram~650 , datamem|ram~650, mips_16, 1
+instance = comp, \datamem|ram~138feeder , datamem|ram~138feeder, mips_16, 1
+instance = comp, \datamem|ram~138 , datamem|ram~138, mips_16, 1
+instance = comp, \datamem|ram~394 , datamem|ram~394, mips_16, 1
+instance = comp, \datamem|ram~906 , datamem|ram~906, mips_16, 1
+instance = comp, \datamem|ram~4616 , datamem|ram~4616, mips_16, 1
+instance = comp, \datamem|ram~4620 , datamem|ram~4620, mips_16, 1
+instance = comp, \datamem|ram~522 , datamem|ram~522, mips_16, 1
+instance = comp, \datamem|ram~538 , datamem|ram~538, mips_16, 1
+instance = comp, \datamem|ram~554 , datamem|ram~554, mips_16, 1
+instance = comp, \datamem|ram~570 , datamem|ram~570, mips_16, 1
+instance = comp, \datamem|ram~4608 , datamem|ram~4608, mips_16, 1
+instance = comp, \datamem|ram~58 , datamem|ram~58, mips_16, 1
+instance = comp, \datamem|ram~10 , datamem|ram~10, mips_16, 1
+instance = comp, \datamem|ram~42 , datamem|ram~42, mips_16, 1
+instance = comp, \datamem|ram~26 , datamem|ram~26, mips_16, 1
+instance = comp, \datamem|ram~4606 , datamem|ram~4606, mips_16, 1
+instance = comp, \datamem|ram~298feeder , datamem|ram~298feeder, mips_16, 1
+instance = comp, \datamem|ram~298 , datamem|ram~298, mips_16, 1
+instance = comp, \datamem|ram~266feeder , datamem|ram~266feeder, mips_16, 1
+instance = comp, \datamem|ram~266 , datamem|ram~266, mips_16, 1
+instance = comp, \datamem|ram~314feeder , datamem|ram~314feeder, mips_16, 1
+instance = comp, \datamem|ram~314 , datamem|ram~314, mips_16, 1
+instance = comp, \datamem|ram~282 , datamem|ram~282, mips_16, 1
+instance = comp, \datamem|ram~4607 , datamem|ram~4607, mips_16, 1
+instance = comp, \datamem|ram~810 , datamem|ram~810, mips_16, 1
+instance = comp, \datamem|ram~778 , datamem|ram~778, mips_16, 1
+instance = comp, \datamem|ram~794feeder , datamem|ram~794feeder, mips_16, 1
+instance = comp, \datamem|ram~794 , datamem|ram~794, mips_16, 1
+instance = comp, \datamem|ram~826 , datamem|ram~826, mips_16, 1
+instance = comp, \datamem|ram~4609 , datamem|ram~4609, mips_16, 1
+instance = comp, \datamem|ram~4610 , datamem|ram~4610, mips_16, 1
+instance = comp, \datamem|ram~378feeder , datamem|ram~378feeder, mips_16, 1
+instance = comp, \datamem|ram~378 , datamem|ram~378, mips_16, 1
+instance = comp, \datamem|ram~362 , datamem|ram~362, mips_16, 1
+instance = comp, \datamem|ram~330feeder , datamem|ram~330feeder, mips_16, 1
+instance = comp, \datamem|ram~330 , datamem|ram~330, mips_16, 1
+instance = comp, \datamem|ram~346 , datamem|ram~346, mips_16, 1
+instance = comp, \datamem|ram~4612 , datamem|ram~4612, mips_16, 1
+instance = comp, \datamem|ram~874feeder , datamem|ram~874feeder, mips_16, 1
+instance = comp, \datamem|ram~874 , datamem|ram~874, mips_16, 1
+instance = comp, \datamem|ram~842 , datamem|ram~842, mips_16, 1
+instance = comp, \datamem|ram~858 , datamem|ram~858, mips_16, 1
+instance = comp, \datamem|ram~890 , datamem|ram~890, mips_16, 1
+instance = comp, \datamem|ram~4614 , datamem|ram~4614, mips_16, 1
+instance = comp, \datamem|ram~74 , datamem|ram~74, mips_16, 1
+instance = comp, \datamem|ram~122feeder , datamem|ram~122feeder, mips_16, 1
+instance = comp, \datamem|ram~122 , datamem|ram~122, mips_16, 1
+instance = comp, \datamem|ram~106feeder , datamem|ram~106feeder, mips_16, 1
+instance = comp, \datamem|ram~106 , datamem|ram~106, mips_16, 1
+instance = comp, \datamem|ram~90 , datamem|ram~90, mips_16, 1
+instance = comp, \datamem|ram~4611 , datamem|ram~4611, mips_16, 1
+instance = comp, \datamem|ram~602feeder , datamem|ram~602feeder, mips_16, 1
+instance = comp, \datamem|ram~602 , datamem|ram~602, mips_16, 1
+instance = comp, \datamem|ram~618 , datamem|ram~618, mips_16, 1
+instance = comp, \datamem|ram~586feeder , datamem|ram~586feeder, mips_16, 1
+instance = comp, \datamem|ram~586 , datamem|ram~586, mips_16, 1
+instance = comp, \datamem|ram~634 , datamem|ram~634, mips_16, 1
+instance = comp, \datamem|ram~4613 , datamem|ram~4613, mips_16, 1
+instance = comp, \datamem|ram~4615 , datamem|ram~4615, mips_16, 1
+instance = comp, \datamem|ram~4626 , datamem|ram~4626, mips_16, 1
+instance = comp, \datamem|ram~4690 , datamem|ram~4690, mips_16, 1
+instance = comp, \reg_write_data[10]~8 , reg_write_data[10]~8, mips_16, 1
+instance = comp, \reg_file|reg_array[0][10] , reg_file|reg_array[0][10], mips_16, 1
+instance = comp, \reg_file|reg_array[1][10] , reg_file|reg_array[1][10], mips_16, 1
+instance = comp, \reg_file|reg_array[2][10] , reg_file|reg_array[2][10], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[10]~10 , reg_file|reg_read_data_2[10]~10, mips_16, 1
+instance = comp, \read_data2[10]~10 , read_data2[10]~10, mips_16, 1
+instance = comp, \alu_unit|Add0~41 , alu_unit|Add0~41, mips_16, 1
+instance = comp, \alu_unit|Add1~41 , alu_unit|Add1~41, mips_16, 1
+instance = comp, \alu_unit|Mux4~0 , alu_unit|Mux4~0, mips_16, 1
+instance = comp, \alu_unit|Mux4~2 , alu_unit|Mux4~2, mips_16, 1
+instance = comp, \alu_unit|Mux4~1 , alu_unit|Mux4~1, mips_16, 1
+instance = comp, \alu_unit|Mux4~4 , alu_unit|Mux4~4, mips_16, 1
+instance = comp, \alu_unit|Mux4~3 , alu_unit|Mux4~3, mips_16, 1
+instance = comp, \datamem|ram~651feeder , datamem|ram~651feeder, mips_16, 1
+instance = comp, \datamem|ram~651 , datamem|ram~651, mips_16, 1
+instance = comp, \datamem|ram~395feeder , datamem|ram~395feeder, mips_16, 1
+instance = comp, \datamem|ram~395 , datamem|ram~395, mips_16, 1
+instance = comp, \datamem|ram~139 , datamem|ram~139, mips_16, 1
+instance = comp, \datamem|ram~907 , datamem|ram~907, mips_16, 1
+instance = comp, \datamem|ram~4693 , datamem|ram~4693, mips_16, 1
+instance = comp, \datamem|ram~843feeder , datamem|ram~843feeder, mips_16, 1
+instance = comp, \datamem|ram~843 , datamem|ram~843, mips_16, 1
+instance = comp, \datamem|ram~75feeder , datamem|ram~75feeder, mips_16, 1
+instance = comp, \datamem|ram~75 , datamem|ram~75, mips_16, 1
+instance = comp, \datamem|ram~587feeder , datamem|ram~587feeder, mips_16, 1
+instance = comp, \datamem|ram~587 , datamem|ram~587, mips_16, 1
+instance = comp, \datamem|ram~331feeder , datamem|ram~331feeder, mips_16, 1
+instance = comp, \datamem|ram~331 , datamem|ram~331, mips_16, 1
+instance = comp, \datamem|ram~4692 , datamem|ram~4692, mips_16, 1
+instance = comp, \datamem|ram~11 , datamem|ram~11, mips_16, 1
+instance = comp, \datamem|ram~779 , datamem|ram~779, mips_16, 1
+instance = comp, \datamem|ram~523 , datamem|ram~523, mips_16, 1
+instance = comp, \datamem|ram~267 , datamem|ram~267, mips_16, 1
+instance = comp, \datamem|ram~4691 , datamem|ram~4691, mips_16, 1
+instance = comp, \datamem|ram~715feeder , datamem|ram~715feeder, mips_16, 1
+instance = comp, \datamem|ram~715 , datamem|ram~715, mips_16, 1
+instance = comp, \datamem|ram~459feeder , datamem|ram~459feeder, mips_16, 1
+instance = comp, \datamem|ram~459 , datamem|ram~459, mips_16, 1
+instance = comp, \datamem|ram~203 , datamem|ram~203, mips_16, 1
+instance = comp, \datamem|ram~971 , datamem|ram~971, mips_16, 1
+instance = comp, \datamem|ram~4694 , datamem|ram~4694, mips_16, 1
+instance = comp, \datamem|ram~4695 , datamem|ram~4695, mips_16, 1
+instance = comp, \datamem|ram~475feeder , datamem|ram~475feeder, mips_16, 1
+instance = comp, \datamem|ram~475 , datamem|ram~475, mips_16, 1
+instance = comp, \datamem|ram~731 , datamem|ram~731, mips_16, 1
+instance = comp, \datamem|ram~219 , datamem|ram~219, mips_16, 1
+instance = comp, \datamem|ram~987 , datamem|ram~987, mips_16, 1
+instance = comp, \datamem|ram~4699 , datamem|ram~4699, mips_16, 1
+instance = comp, \datamem|ram~91feeder , datamem|ram~91feeder, mips_16, 1
+instance = comp, \datamem|ram~91 , datamem|ram~91, mips_16, 1
+instance = comp, \datamem|ram~603feeder , datamem|ram~603feeder, mips_16, 1
+instance = comp, \datamem|ram~603 , datamem|ram~603, mips_16, 1
+instance = comp, \datamem|ram~859 , datamem|ram~859, mips_16, 1
+instance = comp, \datamem|ram~347 , datamem|ram~347, mips_16, 1
+instance = comp, \datamem|ram~4697 , datamem|ram~4697, mips_16, 1
+instance = comp, \datamem|ram~923 , datamem|ram~923, mips_16, 1
+instance = comp, \datamem|ram~155 , datamem|ram~155, mips_16, 1
+instance = comp, \datamem|ram~411 , datamem|ram~411, mips_16, 1
+instance = comp, \datamem|ram~667 , datamem|ram~667, mips_16, 1
+instance = comp, \datamem|ram~4698 , datamem|ram~4698, mips_16, 1
+instance = comp, \datamem|ram~795feeder , datamem|ram~795feeder, mips_16, 1
+instance = comp, \datamem|ram~795 , datamem|ram~795, mips_16, 1
+instance = comp, \datamem|ram~27 , datamem|ram~27, mips_16, 1
+instance = comp, \datamem|ram~539 , datamem|ram~539, mips_16, 1
+instance = comp, \datamem|ram~283 , datamem|ram~283, mips_16, 1
+instance = comp, \datamem|ram~4696 , datamem|ram~4696, mips_16, 1
+instance = comp, \datamem|ram~4700 , datamem|ram~4700, mips_16, 1
+instance = comp, \datamem|ram~107feeder , datamem|ram~107feeder, mips_16, 1
+instance = comp, \datamem|ram~107 , datamem|ram~107, mips_16, 1
+instance = comp, \datamem|ram~363feeder , datamem|ram~363feeder, mips_16, 1
+instance = comp, \datamem|ram~363 , datamem|ram~363, mips_16, 1
+instance = comp, \datamem|ram~619feeder , datamem|ram~619feeder, mips_16, 1
+instance = comp, \datamem|ram~619 , datamem|ram~619, mips_16, 1
+instance = comp, \datamem|ram~875 , datamem|ram~875, mips_16, 1
+instance = comp, \datamem|ram~4702 , datamem|ram~4702, mips_16, 1
+instance = comp, \datamem|ram~235 , datamem|ram~235, mips_16, 1
+instance = comp, \datamem|ram~747feeder , datamem|ram~747feeder, mips_16, 1
+instance = comp, \datamem|ram~747 , datamem|ram~747, mips_16, 1
+instance = comp, \datamem|ram~491 , datamem|ram~491, mips_16, 1
+instance = comp, \datamem|ram~1003 , datamem|ram~1003, mips_16, 1
+instance = comp, \datamem|ram~4704 , datamem|ram~4704, mips_16, 1
+instance = comp, \datamem|ram~299feeder , datamem|ram~299feeder, mips_16, 1
+instance = comp, \datamem|ram~299 , datamem|ram~299, mips_16, 1
+instance = comp, \datamem|ram~555 , datamem|ram~555, mips_16, 1
+instance = comp, \datamem|ram~43 , datamem|ram~43, mips_16, 1
+instance = comp, \datamem|ram~811 , datamem|ram~811, mips_16, 1
+instance = comp, \datamem|ram~4701 , datamem|ram~4701, mips_16, 1
+instance = comp, \datamem|ram~427feeder , datamem|ram~427feeder, mips_16, 1
+instance = comp, \datamem|ram~427 , datamem|ram~427, mips_16, 1
+instance = comp, \datamem|ram~171feeder , datamem|ram~171feeder, mips_16, 1
+instance = comp, \datamem|ram~171 , datamem|ram~171, mips_16, 1
+instance = comp, \datamem|ram~939 , datamem|ram~939, mips_16, 1
+instance = comp, \datamem|ram~683feeder , datamem|ram~683feeder, mips_16, 1
+instance = comp, \datamem|ram~683 , datamem|ram~683, mips_16, 1
+instance = comp, \datamem|ram~4703 , datamem|ram~4703, mips_16, 1
+instance = comp, \datamem|ram~4705 , datamem|ram~4705, mips_16, 1
+instance = comp, \datamem|ram~955 , datamem|ram~955, mips_16, 1
+instance = comp, \datamem|ram~827 , datamem|ram~827, mips_16, 1
+instance = comp, \datamem|ram~891 , datamem|ram~891, mips_16, 1
+instance = comp, \datamem|ram~1019 , datamem|ram~1019, mips_16, 1
+instance = comp, \datamem|ram~4709 , datamem|ram~4709, mips_16, 1
+instance = comp, \datamem|ram~59feeder , datamem|ram~59feeder, mips_16, 1
+instance = comp, \datamem|ram~59 , datamem|ram~59, mips_16, 1
+instance = comp, \datamem|ram~187 , datamem|ram~187, mips_16, 1
+instance = comp, \datamem|ram~123feeder , datamem|ram~123feeder, mips_16, 1
+instance = comp, \datamem|ram~123 , datamem|ram~123, mips_16, 1
+instance = comp, \datamem|ram~251 , datamem|ram~251, mips_16, 1
+instance = comp, \datamem|ram~4706 , datamem|ram~4706, mips_16, 1
+instance = comp, \datamem|ram~635 , datamem|ram~635, mips_16, 1
+instance = comp, \datamem|ram~699feeder , datamem|ram~699feeder, mips_16, 1
+instance = comp, \datamem|ram~699 , datamem|ram~699, mips_16, 1
+instance = comp, \datamem|ram~571 , datamem|ram~571, mips_16, 1
+instance = comp, \datamem|ram~763 , datamem|ram~763, mips_16, 1
+instance = comp, \datamem|ram~4708 , datamem|ram~4708, mips_16, 1
+instance = comp, \datamem|ram~315 , datamem|ram~315, mips_16, 1
+instance = comp, \datamem|ram~379 , datamem|ram~379, mips_16, 1
+instance = comp, \datamem|ram~443 , datamem|ram~443, mips_16, 1
+instance = comp, \datamem|ram~507 , datamem|ram~507, mips_16, 1
+instance = comp, \datamem|ram~4707 , datamem|ram~4707, mips_16, 1
+instance = comp, \datamem|ram~4710 , datamem|ram~4710, mips_16, 1
+instance = comp, \datamem|ram~4711 , datamem|ram~4711, mips_16, 1
+instance = comp, \datamem|ram~3899 , datamem|ram~3899, mips_16, 1
+instance = comp, \datamem|ram~4091 , datamem|ram~4091, mips_16, 1
+instance = comp, \datamem|ram~3963 , datamem|ram~3963, mips_16, 1
+instance = comp, \datamem|ram~4027 , datamem|ram~4027, mips_16, 1
+instance = comp, \datamem|ram~4772 , datamem|ram~4772, mips_16, 1
+instance = comp, \datamem|ram~3451feeder , datamem|ram~3451feeder, mips_16, 1
+instance = comp, \datamem|ram~3451 , datamem|ram~3451, mips_16, 1
+instance = comp, \datamem|ram~3387feeder , datamem|ram~3387feeder, mips_16, 1
+instance = comp, \datamem|ram~3387 , datamem|ram~3387, mips_16, 1
+instance = comp, \datamem|ram~3515feeder , datamem|ram~3515feeder, mips_16, 1
+instance = comp, \datamem|ram~3515 , datamem|ram~3515, mips_16, 1
+instance = comp, \datamem|ram~3579 , datamem|ram~3579, mips_16, 1
+instance = comp, \datamem|ram~4770 , datamem|ram~4770, mips_16, 1
+instance = comp, \datamem|ram~3259feeder , datamem|ram~3259feeder, mips_16, 1
+instance = comp, \datamem|ram~3259 , datamem|ram~3259, mips_16, 1
+instance = comp, \datamem|ram~3195feeder , datamem|ram~3195feeder, mips_16, 1
+instance = comp, \datamem|ram~3195 , datamem|ram~3195, mips_16, 1
+instance = comp, \datamem|ram~3131feeder , datamem|ram~3131feeder, mips_16, 1
+instance = comp, \datamem|ram~3131 , datamem|ram~3131, mips_16, 1
+instance = comp, \datamem|ram~3323 , datamem|ram~3323, mips_16, 1
+instance = comp, \datamem|ram~4769 , datamem|ram~4769, mips_16, 1
+instance = comp, \datamem|ram~3771feeder , datamem|ram~3771feeder, mips_16, 1
+instance = comp, \datamem|ram~3771 , datamem|ram~3771, mips_16, 1
+instance = comp, \datamem|ram~3707feeder , datamem|ram~3707feeder, mips_16, 1
+instance = comp, \datamem|ram~3707 , datamem|ram~3707, mips_16, 1
+instance = comp, \datamem|ram~3643feeder , datamem|ram~3643feeder, mips_16, 1
+instance = comp, \datamem|ram~3643 , datamem|ram~3643, mips_16, 1
+instance = comp, \datamem|ram~3835 , datamem|ram~3835, mips_16, 1
+instance = comp, \datamem|ram~4771 , datamem|ram~4771, mips_16, 1
+instance = comp, \datamem|ram~4773 , datamem|ram~4773, mips_16, 1
+instance = comp, \datamem|ram~3803 , datamem|ram~3803, mips_16, 1
+instance = comp, \datamem|ram~3547 , datamem|ram~3547, mips_16, 1
+instance = comp, \datamem|ram~4059feeder , datamem|ram~4059feeder, mips_16, 1
+instance = comp, \datamem|ram~4059 , datamem|ram~4059, mips_16, 1
+instance = comp, \datamem|ram~3291 , datamem|ram~3291, mips_16, 1
+instance = comp, \datamem|ram~4762 , datamem|ram~4762, mips_16, 1
+instance = comp, \datamem|ram~3483feeder , datamem|ram~3483feeder, mips_16, 1
+instance = comp, \datamem|ram~3483 , datamem|ram~3483, mips_16, 1
+instance = comp, \datamem|ram~3227 , datamem|ram~3227, mips_16, 1
+instance = comp, \datamem|ram~3739 , datamem|ram~3739, mips_16, 1
+instance = comp, \datamem|ram~3995 , datamem|ram~3995, mips_16, 1
+instance = comp, \datamem|ram~4761 , datamem|ram~4761, mips_16, 1
+instance = comp, \datamem|ram~3675feeder , datamem|ram~3675feeder, mips_16, 1
+instance = comp, \datamem|ram~3675 , datamem|ram~3675, mips_16, 1
+instance = comp, \datamem|ram~3419 , datamem|ram~3419, mips_16, 1
+instance = comp, \datamem|ram~3163feeder , datamem|ram~3163feeder, mips_16, 1
+instance = comp, \datamem|ram~3163 , datamem|ram~3163, mips_16, 1
+instance = comp, \datamem|ram~3931 , datamem|ram~3931, mips_16, 1
+instance = comp, \datamem|ram~4760 , datamem|ram~4760, mips_16, 1
+instance = comp, \datamem|ram~3355 , datamem|ram~3355, mips_16, 1
+instance = comp, \datamem|ram~3099feeder , datamem|ram~3099feeder, mips_16, 1
+instance = comp, \datamem|ram~3099 , datamem|ram~3099, mips_16, 1
+instance = comp, \datamem|ram~3611feeder , datamem|ram~3611feeder, mips_16, 1
+instance = comp, \datamem|ram~3611 , datamem|ram~3611, mips_16, 1
+instance = comp, \datamem|ram~3867 , datamem|ram~3867, mips_16, 1
+instance = comp, \datamem|ram~4759 , datamem|ram~4759, mips_16, 1
+instance = comp, \datamem|ram~4763 , datamem|ram~4763, mips_16, 1
+instance = comp, \datamem|ram~3467feeder , datamem|ram~3467feeder, mips_16, 1
+instance = comp, \datamem|ram~3467 , datamem|ram~3467, mips_16, 1
+instance = comp, \datamem|ram~3723feeder , datamem|ram~3723feeder, mips_16, 1
+instance = comp, \datamem|ram~3723 , datamem|ram~3723, mips_16, 1
+instance = comp, \datamem|ram~3211feeder , datamem|ram~3211feeder, mips_16, 1
+instance = comp, \datamem|ram~3211 , datamem|ram~3211, mips_16, 1
+instance = comp, \datamem|ram~3979 , datamem|ram~3979, mips_16, 1
+instance = comp, \datamem|ram~4756 , datamem|ram~4756, mips_16, 1
+instance = comp, \datamem|ram~3147 , datamem|ram~3147, mips_16, 1
+instance = comp, \datamem|ram~3659feeder , datamem|ram~3659feeder, mips_16, 1
+instance = comp, \datamem|ram~3659 , datamem|ram~3659, mips_16, 1
+instance = comp, \datamem|ram~3403feeder , datamem|ram~3403feeder, mips_16, 1
+instance = comp, \datamem|ram~3403 , datamem|ram~3403, mips_16, 1
+instance = comp, \datamem|ram~3915 , datamem|ram~3915, mips_16, 1
+instance = comp, \datamem|ram~4755 , datamem|ram~4755, mips_16, 1
+instance = comp, \datamem|ram~3083 , datamem|ram~3083, mips_16, 1
+instance = comp, \datamem|ram~3595 , datamem|ram~3595, mips_16, 1
+instance = comp, \datamem|ram~3339 , datamem|ram~3339, mips_16, 1
+instance = comp, \datamem|ram~3851 , datamem|ram~3851, mips_16, 1
+instance = comp, \datamem|ram~4754 , datamem|ram~4754, mips_16, 1
+instance = comp, \datamem|ram~3275 , datamem|ram~3275, mips_16, 1
+instance = comp, \datamem|ram~3531feeder , datamem|ram~3531feeder, mips_16, 1
+instance = comp, \datamem|ram~3531 , datamem|ram~3531, mips_16, 1
+instance = comp, \datamem|ram~3787 , datamem|ram~3787, mips_16, 1
+instance = comp, \datamem|ram~4043 , datamem|ram~4043, mips_16, 1
+instance = comp, \datamem|ram~4757 , datamem|ram~4757, mips_16, 1
+instance = comp, \datamem|ram~4758 , datamem|ram~4758, mips_16, 1
+instance = comp, \datamem|ram~3755feeder , datamem|ram~3755feeder, mips_16, 1
+instance = comp, \datamem|ram~3755 , datamem|ram~3755, mips_16, 1
+instance = comp, \datamem|ram~3243 , datamem|ram~3243, mips_16, 1
+instance = comp, \datamem|ram~3499 , datamem|ram~3499, mips_16, 1
+instance = comp, \datamem|ram~4011 , datamem|ram~4011, mips_16, 1
+instance = comp, \datamem|ram~4766 , datamem|ram~4766, mips_16, 1
+instance = comp, \datamem|ram~3691 , datamem|ram~3691, mips_16, 1
+instance = comp, \datamem|ram~3179 , datamem|ram~3179, mips_16, 1
+instance = comp, \datamem|ram~3435 , datamem|ram~3435, mips_16, 1
+instance = comp, \datamem|ram~3947 , datamem|ram~3947, mips_16, 1
+instance = comp, \datamem|ram~4765 , datamem|ram~4765, mips_16, 1
+instance = comp, \datamem|ram~3819 , datamem|ram~3819, mips_16, 1
+instance = comp, \datamem|ram~3307feeder , datamem|ram~3307feeder, mips_16, 1
+instance = comp, \datamem|ram~3307 , datamem|ram~3307, mips_16, 1
+instance = comp, \datamem|ram~3563 , datamem|ram~3563, mips_16, 1
+instance = comp, \datamem|ram~4075 , datamem|ram~4075, mips_16, 1
+instance = comp, \datamem|ram~4767 , datamem|ram~4767, mips_16, 1
+instance = comp, \datamem|ram~3627feeder , datamem|ram~3627feeder, mips_16, 1
+instance = comp, \datamem|ram~3627 , datamem|ram~3627, mips_16, 1
+instance = comp, \datamem|ram~3115 , datamem|ram~3115, mips_16, 1
+instance = comp, \datamem|ram~3371 , datamem|ram~3371, mips_16, 1
+instance = comp, \datamem|ram~3883 , datamem|ram~3883, mips_16, 1
+instance = comp, \datamem|ram~4764 , datamem|ram~4764, mips_16, 1
+instance = comp, \datamem|ram~4768 , datamem|ram~4768, mips_16, 1
+instance = comp, \datamem|ram~4774 , datamem|ram~4774, mips_16, 1
+instance = comp, \datamem|ram~2955feeder , datamem|ram~2955feeder, mips_16, 1
+instance = comp, \datamem|ram~2955 , datamem|ram~2955, mips_16, 1
+instance = comp, \datamem|ram~2971 , datamem|ram~2971, mips_16, 1
+instance = comp, \datamem|ram~2987 , datamem|ram~2987, mips_16, 1
+instance = comp, \datamem|ram~3003 , datamem|ram~3003, mips_16, 1
+instance = comp, \datamem|ram~4750 , datamem|ram~4750, mips_16, 1
+instance = comp, \datamem|ram~3051 , datamem|ram~3051, mips_16, 1
+instance = comp, \datamem|ram~3035feeder , datamem|ram~3035feeder, mips_16, 1
+instance = comp, \datamem|ram~3035 , datamem|ram~3035, mips_16, 1
+instance = comp, \datamem|ram~3019 , datamem|ram~3019, mips_16, 1
+instance = comp, \datamem|ram~3067 , datamem|ram~3067, mips_16, 1
+instance = comp, \datamem|ram~4751 , datamem|ram~4751, mips_16, 1
+instance = comp, \datamem|ram~2827feeder , datamem|ram~2827feeder, mips_16, 1
+instance = comp, \datamem|ram~2827 , datamem|ram~2827, mips_16, 1
+instance = comp, \datamem|ram~2859 , datamem|ram~2859, mips_16, 1
+instance = comp, \datamem|ram~2843 , datamem|ram~2843, mips_16, 1
+instance = comp, \datamem|ram~2875 , datamem|ram~2875, mips_16, 1
+instance = comp, \datamem|ram~4748 , datamem|ram~4748, mips_16, 1
+instance = comp, \datamem|ram~2923feeder , datamem|ram~2923feeder, mips_16, 1
+instance = comp, \datamem|ram~2923 , datamem|ram~2923, mips_16, 1
+instance = comp, \datamem|ram~2907feeder , datamem|ram~2907feeder, mips_16, 1
+instance = comp, \datamem|ram~2907 , datamem|ram~2907, mips_16, 1
+instance = comp, \datamem|ram~2891 , datamem|ram~2891, mips_16, 1
+instance = comp, \datamem|ram~2939 , datamem|ram~2939, mips_16, 1
+instance = comp, \datamem|ram~4749 , datamem|ram~4749, mips_16, 1
+instance = comp, \datamem|ram~4752 , datamem|ram~4752, mips_16, 1
+instance = comp, \datamem|ram~2203feeder , datamem|ram~2203feeder, mips_16, 1
+instance = comp, \datamem|ram~2203 , datamem|ram~2203, mips_16, 1
+instance = comp, \datamem|ram~2075feeder , datamem|ram~2075feeder, mips_16, 1
+instance = comp, \datamem|ram~2075 , datamem|ram~2075, mips_16, 1
+instance = comp, \datamem|ram~2139feeder , datamem|ram~2139feeder, mips_16, 1
+instance = comp, \datamem|ram~2139 , datamem|ram~2139, mips_16, 1
+instance = comp, \datamem|ram~2267 , datamem|ram~2267, mips_16, 1
+instance = comp, \datamem|ram~4734 , datamem|ram~4734, mips_16, 1
+instance = comp, \datamem|ram~2171 , datamem|ram~2171, mips_16, 1
+instance = comp, \datamem|ram~2235feeder , datamem|ram~2235feeder, mips_16, 1
+instance = comp, \datamem|ram~2235 , datamem|ram~2235, mips_16, 1
+instance = comp, \datamem|ram~2107feeder , datamem|ram~2107feeder, mips_16, 1
+instance = comp, \datamem|ram~2107 , datamem|ram~2107, mips_16, 1
+instance = comp, \datamem|ram~2299 , datamem|ram~2299, mips_16, 1
+instance = comp, \datamem|ram~4736 , datamem|ram~4736, mips_16, 1
+instance = comp, \datamem|ram~2155 , datamem|ram~2155, mips_16, 1
+instance = comp, \datamem|ram~2091 , datamem|ram~2091, mips_16, 1
+instance = comp, \datamem|ram~2219 , datamem|ram~2219, mips_16, 1
+instance = comp, \datamem|ram~2283 , datamem|ram~2283, mips_16, 1
+instance = comp, \datamem|ram~4735 , datamem|ram~4735, mips_16, 1
+instance = comp, \datamem|ram~2059feeder , datamem|ram~2059feeder, mips_16, 1
+instance = comp, \datamem|ram~2059 , datamem|ram~2059, mips_16, 1
+instance = comp, \datamem|ram~2187 , datamem|ram~2187, mips_16, 1
+instance = comp, \datamem|ram~2123feeder , datamem|ram~2123feeder, mips_16, 1
+instance = comp, \datamem|ram~2123 , datamem|ram~2123, mips_16, 1
+instance = comp, \datamem|ram~2251 , datamem|ram~2251, mips_16, 1
+instance = comp, \datamem|ram~4733 , datamem|ram~4733, mips_16, 1
+instance = comp, \datamem|ram~4737 , datamem|ram~4737, mips_16, 1
+instance = comp, \datamem|ram~2331feeder , datamem|ram~2331feeder, mips_16, 1
+instance = comp, \datamem|ram~2331 , datamem|ram~2331, mips_16, 1
+instance = comp, \datamem|ram~2395 , datamem|ram~2395, mips_16, 1
+instance = comp, \datamem|ram~2459 , datamem|ram~2459, mips_16, 1
+instance = comp, \datamem|ram~2523 , datamem|ram~2523, mips_16, 1
+instance = comp, \datamem|ram~4739 , datamem|ram~4739, mips_16, 1
+instance = comp, \datamem|ram~2443 , datamem|ram~2443, mips_16, 1
+instance = comp, \datamem|ram~2379feeder , datamem|ram~2379feeder, mips_16, 1
+instance = comp, \datamem|ram~2379 , datamem|ram~2379, mips_16, 1
+instance = comp, \datamem|ram~2315feeder , datamem|ram~2315feeder, mips_16, 1
+instance = comp, \datamem|ram~2315 , datamem|ram~2315, mips_16, 1
+instance = comp, \datamem|ram~2507 , datamem|ram~2507, mips_16, 1
+instance = comp, \datamem|ram~4738 , datamem|ram~4738, mips_16, 1
+instance = comp, \datamem|ram~2347feeder , datamem|ram~2347feeder, mips_16, 1
+instance = comp, \datamem|ram~2347 , datamem|ram~2347, mips_16, 1
+instance = comp, \datamem|ram~2475feeder , datamem|ram~2475feeder, mips_16, 1
+instance = comp, \datamem|ram~2475 , datamem|ram~2475, mips_16, 1
+instance = comp, \datamem|ram~2411feeder , datamem|ram~2411feeder, mips_16, 1
+instance = comp, \datamem|ram~2411 , datamem|ram~2411, mips_16, 1
+instance = comp, \datamem|ram~2539feeder , datamem|ram~2539feeder, mips_16, 1
+instance = comp, \datamem|ram~2539 , datamem|ram~2539, mips_16, 1
+instance = comp, \datamem|ram~4740 , datamem|ram~4740, mips_16, 1
+instance = comp, \datamem|ram~2363 , datamem|ram~2363, mips_16, 1
+instance = comp, \datamem|ram~2427 , datamem|ram~2427, mips_16, 1
+instance = comp, \datamem|ram~2491 , datamem|ram~2491, mips_16, 1
+instance = comp, \datamem|ram~2555 , datamem|ram~2555, mips_16, 1
+instance = comp, \datamem|ram~4741 , datamem|ram~4741, mips_16, 1
+instance = comp, \datamem|ram~4742 , datamem|ram~4742, mips_16, 1
+instance = comp, \datamem|ram~2603feeder , datamem|ram~2603feeder, mips_16, 1
+instance = comp, \datamem|ram~2603 , datamem|ram~2603, mips_16, 1
+instance = comp, \datamem|ram~2667 , datamem|ram~2667, mips_16, 1
+instance = comp, \datamem|ram~2731 , datamem|ram~2731, mips_16, 1
+instance = comp, \datamem|ram~2795 , datamem|ram~2795, mips_16, 1
+instance = comp, \datamem|ram~4745 , datamem|ram~4745, mips_16, 1
+instance = comp, \datamem|ram~2699feeder , datamem|ram~2699feeder, mips_16, 1
+instance = comp, \datamem|ram~2699 , datamem|ram~2699, mips_16, 1
+instance = comp, \datamem|ram~2763 , datamem|ram~2763, mips_16, 1
+instance = comp, \datamem|ram~2571feeder , datamem|ram~2571feeder, mips_16, 1
+instance = comp, \datamem|ram~2571 , datamem|ram~2571, mips_16, 1
+instance = comp, \datamem|ram~2635 , datamem|ram~2635, mips_16, 1
+instance = comp, \datamem|ram~4743 , datamem|ram~4743, mips_16, 1
+instance = comp, \datamem|ram~2587 , datamem|ram~2587, mips_16, 1
+instance = comp, \datamem|ram~2715feeder , datamem|ram~2715feeder, mips_16, 1
+instance = comp, \datamem|ram~2715 , datamem|ram~2715, mips_16, 1
+instance = comp, \datamem|ram~2651 , datamem|ram~2651, mips_16, 1
+instance = comp, \datamem|ram~2779 , datamem|ram~2779, mips_16, 1
+instance = comp, \datamem|ram~4744 , datamem|ram~4744, mips_16, 1
+instance = comp, \datamem|ram~2619 , datamem|ram~2619, mips_16, 1
+instance = comp, \datamem|ram~2747feeder , datamem|ram~2747feeder, mips_16, 1
+instance = comp, \datamem|ram~2747 , datamem|ram~2747, mips_16, 1
+instance = comp, \datamem|ram~2683 , datamem|ram~2683, mips_16, 1
+instance = comp, \datamem|ram~2811 , datamem|ram~2811, mips_16, 1
+instance = comp, \datamem|ram~4746 , datamem|ram~4746, mips_16, 1
+instance = comp, \datamem|ram~4747 , datamem|ram~4747, mips_16, 1
+instance = comp, \datamem|ram~4753 , datamem|ram~4753, mips_16, 1
+instance = comp, \datamem|ram~1035 , datamem|ram~1035, mips_16, 1
+instance = comp, \datamem|ram~1067 , datamem|ram~1067, mips_16, 1
+instance = comp, \datamem|ram~1083 , datamem|ram~1083, mips_16, 1
+instance = comp, \datamem|ram~1051 , datamem|ram~1051, mips_16, 1
+instance = comp, \datamem|ram~4712 , datamem|ram~4712, mips_16, 1
+instance = comp, \datamem|ram~1547 , datamem|ram~1547, mips_16, 1
+instance = comp, \datamem|ram~1579feeder , datamem|ram~1579feeder, mips_16, 1
+instance = comp, \datamem|ram~1579 , datamem|ram~1579, mips_16, 1
+instance = comp, \datamem|ram~1563feeder , datamem|ram~1563feeder, mips_16, 1
+instance = comp, \datamem|ram~1563 , datamem|ram~1563, mips_16, 1
+instance = comp, \datamem|ram~1595 , datamem|ram~1595, mips_16, 1
+instance = comp, \datamem|ram~4714 , datamem|ram~4714, mips_16, 1
+instance = comp, \datamem|ram~1819feeder , datamem|ram~1819feeder, mips_16, 1
+instance = comp, \datamem|ram~1819 , datamem|ram~1819, mips_16, 1
+instance = comp, \datamem|ram~1835 , datamem|ram~1835, mips_16, 1
+instance = comp, \datamem|ram~1803 , datamem|ram~1803, mips_16, 1
+instance = comp, \datamem|ram~1851 , datamem|ram~1851, mips_16, 1
+instance = comp, \datamem|ram~4715 , datamem|ram~4715, mips_16, 1
+instance = comp, \datamem|ram~1291 , datamem|ram~1291, mips_16, 1
+instance = comp, \datamem|ram~1339 , datamem|ram~1339, mips_16, 1
+instance = comp, \datamem|ram~1323feeder , datamem|ram~1323feeder, mips_16, 1
+instance = comp, \datamem|ram~1323 , datamem|ram~1323, mips_16, 1
+instance = comp, \datamem|ram~1307 , datamem|ram~1307, mips_16, 1
+instance = comp, \datamem|ram~4713 , datamem|ram~4713, mips_16, 1
+instance = comp, \datamem|ram~4716 , datamem|ram~4716, mips_16, 1
+instance = comp, \datamem|ram~1867feeder , datamem|ram~1867feeder, mips_16, 1
+instance = comp, \datamem|ram~1867 , datamem|ram~1867, mips_16, 1
+instance = comp, \datamem|ram~1883 , datamem|ram~1883, mips_16, 1
+instance = comp, \datamem|ram~1899 , datamem|ram~1899, mips_16, 1
+instance = comp, \datamem|ram~1915 , datamem|ram~1915, mips_16, 1
+instance = comp, \datamem|ram~4720 , datamem|ram~4720, mips_16, 1
+instance = comp, \datamem|ram~1147 , datamem|ram~1147, mips_16, 1
+instance = comp, \datamem|ram~1131 , datamem|ram~1131, mips_16, 1
+instance = comp, \datamem|ram~1099 , datamem|ram~1099, mips_16, 1
+instance = comp, \datamem|ram~1115 , datamem|ram~1115, mips_16, 1
+instance = comp, \datamem|ram~4717 , datamem|ram~4717, mips_16, 1
+instance = comp, \datamem|ram~1355 , datamem|ram~1355, mips_16, 1
+instance = comp, \datamem|ram~1387feeder , datamem|ram~1387feeder, mips_16, 1
+instance = comp, \datamem|ram~1387 , datamem|ram~1387, mips_16, 1
+instance = comp, \datamem|ram~1403 , datamem|ram~1403, mips_16, 1
+instance = comp, \datamem|ram~1371 , datamem|ram~1371, mips_16, 1
+instance = comp, \datamem|ram~4718 , datamem|ram~4718, mips_16, 1
+instance = comp, \datamem|ram~1627 , datamem|ram~1627, mips_16, 1
+instance = comp, \datamem|ram~1643feeder , datamem|ram~1643feeder, mips_16, 1
+instance = comp, \datamem|ram~1643 , datamem|ram~1643, mips_16, 1
+instance = comp, \datamem|ram~1611 , datamem|ram~1611, mips_16, 1
+instance = comp, \datamem|ram~1659 , datamem|ram~1659, mips_16, 1
+instance = comp, \datamem|ram~4719 , datamem|ram~4719, mips_16, 1
+instance = comp, \datamem|ram~4721 , datamem|ram~4721, mips_16, 1
+instance = comp, \datamem|ram~1739 , datamem|ram~1739, mips_16, 1
+instance = comp, \datamem|ram~1771 , datamem|ram~1771, mips_16, 1
+instance = comp, \datamem|ram~1755 , datamem|ram~1755, mips_16, 1
+instance = comp, \datamem|ram~1787 , datamem|ram~1787, mips_16, 1
+instance = comp, \datamem|ram~4729 , datamem|ram~4729, mips_16, 1
+instance = comp, \datamem|ram~1227 , datamem|ram~1227, mips_16, 1
+instance = comp, \datamem|ram~1259 , datamem|ram~1259, mips_16, 1
+instance = comp, \datamem|ram~1243feeder , datamem|ram~1243feeder, mips_16, 1
+instance = comp, \datamem|ram~1243 , datamem|ram~1243, mips_16, 1
+instance = comp, \datamem|ram~1275 , datamem|ram~1275, mips_16, 1
+instance = comp, \datamem|ram~4727 , datamem|ram~4727, mips_16, 1
+instance = comp, \datamem|ram~2027 , datamem|ram~2027, mips_16, 1
+instance = comp, \datamem|ram~1995feeder , datamem|ram~1995feeder, mips_16, 1
+instance = comp, \datamem|ram~1995 , datamem|ram~1995, mips_16, 1
+instance = comp, \datamem|ram~2011 , datamem|ram~2011, mips_16, 1
+instance = comp, \datamem|ram~2043 , datamem|ram~2043, mips_16, 1
+instance = comp, \datamem|ram~4730 , datamem|ram~4730, mips_16, 1
+instance = comp, \datamem|ram~1499feeder , datamem|ram~1499feeder, mips_16, 1
+instance = comp, \datamem|ram~1499 , datamem|ram~1499, mips_16, 1
+instance = comp, \datamem|ram~1483feeder , datamem|ram~1483feeder, mips_16, 1
+instance = comp, \datamem|ram~1483 , datamem|ram~1483, mips_16, 1
+instance = comp, \datamem|ram~1515 , datamem|ram~1515, mips_16, 1
+instance = comp, \datamem|ram~1531 , datamem|ram~1531, mips_16, 1
+instance = comp, \datamem|ram~4728 , datamem|ram~4728, mips_16, 1
+instance = comp, \datamem|ram~4731 , datamem|ram~4731, mips_16, 1
+instance = comp, \datamem|ram~1163feeder , datamem|ram~1163feeder, mips_16, 1
+instance = comp, \datamem|ram~1163 , datamem|ram~1163, mips_16, 1
+instance = comp, \datamem|ram~1675feeder , datamem|ram~1675feeder, mips_16, 1
+instance = comp, \datamem|ram~1675 , datamem|ram~1675, mips_16, 1
+instance = comp, \datamem|ram~1419feeder , datamem|ram~1419feeder, mips_16, 1
+instance = comp, \datamem|ram~1419 , datamem|ram~1419, mips_16, 1
+instance = comp, \datamem|ram~1931 , datamem|ram~1931, mips_16, 1
+instance = comp, \datamem|ram~4722 , datamem|ram~4722, mips_16, 1
+instance = comp, \datamem|ram~1691feeder , datamem|ram~1691feeder, mips_16, 1
+instance = comp, \datamem|ram~1691 , datamem|ram~1691, mips_16, 1
+instance = comp, \datamem|ram~1179 , datamem|ram~1179, mips_16, 1
+instance = comp, \datamem|ram~1435feeder , datamem|ram~1435feeder, mips_16, 1
+instance = comp, \datamem|ram~1435 , datamem|ram~1435, mips_16, 1
+instance = comp, \datamem|ram~1947 , datamem|ram~1947, mips_16, 1
+instance = comp, \datamem|ram~4723 , datamem|ram~4723, mips_16, 1
+instance = comp, \datamem|ram~1451feeder , datamem|ram~1451feeder, mips_16, 1
+instance = comp, \datamem|ram~1451 , datamem|ram~1451, mips_16, 1
+instance = comp, \datamem|ram~1195feeder , datamem|ram~1195feeder, mips_16, 1
+instance = comp, \datamem|ram~1195 , datamem|ram~1195, mips_16, 1
+instance = comp, \datamem|ram~1707feeder , datamem|ram~1707feeder, mips_16, 1
+instance = comp, \datamem|ram~1707 , datamem|ram~1707, mips_16, 1
+instance = comp, \datamem|ram~1963 , datamem|ram~1963, mips_16, 1
+instance = comp, \datamem|ram~4724 , datamem|ram~4724, mips_16, 1
+instance = comp, \datamem|ram~1467 , datamem|ram~1467, mips_16, 1
+instance = comp, \datamem|ram~1211 , datamem|ram~1211, mips_16, 1
+instance = comp, \datamem|ram~1723feeder , datamem|ram~1723feeder, mips_16, 1
+instance = comp, \datamem|ram~1723 , datamem|ram~1723, mips_16, 1
+instance = comp, \datamem|ram~1979 , datamem|ram~1979, mips_16, 1
+instance = comp, \datamem|ram~4725 , datamem|ram~4725, mips_16, 1
+instance = comp, \datamem|ram~4726 , datamem|ram~4726, mips_16, 1
+instance = comp, \datamem|ram~4732 , datamem|ram~4732, mips_16, 1
+instance = comp, \datamem|ram~4775 , datamem|ram~4775, mips_16, 1
+instance = comp, \reg_write_data[11]~9 , reg_write_data[11]~9, mips_16, 1
+instance = comp, \reg_file|reg_array[0][11] , reg_file|reg_array[0][11], mips_16, 1
+instance = comp, \reg_file|reg_array[1][11] , reg_file|reg_array[1][11], mips_16, 1
+instance = comp, \reg_file|reg_array[2][11] , reg_file|reg_array[2][11], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[11]~11 , reg_file|reg_read_data_2[11]~11, mips_16, 1
+instance = comp, \read_data2[11]~11 , read_data2[11]~11, mips_16, 1
+instance = comp, \alu_unit|Add0~45 , alu_unit|Add0~45, mips_16, 1
+instance = comp, \alu_unit|Add0~49 , alu_unit|Add0~49, mips_16, 1
+instance = comp, \alu_unit|Add1~45 , alu_unit|Add1~45, mips_16, 1
+instance = comp, \alu_unit|Add1~49 , alu_unit|Add1~49, mips_16, 1
+instance = comp, \alu_unit|Mux2~0 , alu_unit|Mux2~0, mips_16, 1
+instance = comp, \alu_unit|Mux2~1 , alu_unit|Mux2~1, mips_16, 1
+instance = comp, \alu_unit|Mux2~2 , alu_unit|Mux2~2, mips_16, 1
+instance = comp, \alu_unit|Mux0~0 , alu_unit|Mux0~0, mips_16, 1
+instance = comp, \alu_unit|Mux0~1 , alu_unit|Mux0~1, mips_16, 1
+instance = comp, \alu_unit|Mux2~3 , alu_unit|Mux2~3, mips_16, 1
+instance = comp, \alu_unit|Mux2~4 , alu_unit|Mux2~4, mips_16, 1
+instance = comp, \datamem|ram~1149 , datamem|ram~1149, mips_16, 1
+instance = comp, \datamem|ram~1213feeder , datamem|ram~1213feeder, mips_16, 1
+instance = comp, \datamem|ram~1213 , datamem|ram~1213, mips_16, 1
+instance = comp, \datamem|ram~1085feeder , datamem|ram~1085feeder, mips_16, 1
+instance = comp, \datamem|ram~1085 , datamem|ram~1085, mips_16, 1
+instance = comp, \datamem|ram~1277 , datamem|ram~1277, mips_16, 1
+instance = comp, \datamem|ram~5310 , datamem|ram~5310, mips_16, 1
+instance = comp, \datamem|ram~1229 , datamem|ram~1229, mips_16, 1
+instance = comp, \datamem|ram~1165feeder , datamem|ram~1165feeder, mips_16, 1
+instance = comp, \datamem|ram~1165 , datamem|ram~1165, mips_16, 1
+instance = comp, \datamem|ram~1037feeder , datamem|ram~1037feeder, mips_16, 1
+instance = comp, \datamem|ram~1037 , datamem|ram~1037, mips_16, 1
+instance = comp, \datamem|ram~1101 , datamem|ram~1101, mips_16, 1
+instance = comp, \datamem|ram~5307 , datamem|ram~5307, mips_16, 1
+instance = comp, \datamem|ram~1133feeder , datamem|ram~1133feeder, mips_16, 1
+instance = comp, \datamem|ram~1133 , datamem|ram~1133, mips_16, 1
+instance = comp, \datamem|ram~1197 , datamem|ram~1197, mips_16, 1
+instance = comp, \datamem|ram~1069feeder , datamem|ram~1069feeder, mips_16, 1
+instance = comp, \datamem|ram~1069 , datamem|ram~1069, mips_16, 1
+instance = comp, \datamem|ram~1261 , datamem|ram~1261, mips_16, 1
+instance = comp, \datamem|ram~5309 , datamem|ram~5309, mips_16, 1
+instance = comp, \datamem|ram~1245feeder , datamem|ram~1245feeder, mips_16, 1
+instance = comp, \datamem|ram~1245 , datamem|ram~1245, mips_16, 1
+instance = comp, \datamem|ram~1053 , datamem|ram~1053, mips_16, 1
+instance = comp, \datamem|ram~1181 , datamem|ram~1181, mips_16, 1
+instance = comp, \datamem|ram~1117 , datamem|ram~1117, mips_16, 1
+instance = comp, \datamem|ram~5308 , datamem|ram~5308, mips_16, 1
+instance = comp, \datamem|ram~5311 , datamem|ram~5311, mips_16, 1
+instance = comp, \datamem|ram~1837 , datamem|ram~1837, mips_16, 1
+instance = comp, \datamem|ram~1853 , datamem|ram~1853, mips_16, 1
+instance = comp, \datamem|ram~1821 , datamem|ram~1821, mips_16, 1
+instance = comp, \datamem|ram~1805 , datamem|ram~1805, mips_16, 1
+instance = comp, \datamem|ram~5322 , datamem|ram~5322, mips_16, 1
+instance = comp, \datamem|ram~1965 , datamem|ram~1965, mips_16, 1
+instance = comp, \datamem|ram~1933 , datamem|ram~1933, mips_16, 1
+instance = comp, \datamem|ram~1949 , datamem|ram~1949, mips_16, 1
+instance = comp, \datamem|ram~1981 , datamem|ram~1981, mips_16, 1
+instance = comp, \datamem|ram~5324 , datamem|ram~5324, mips_16, 1
+instance = comp, \datamem|ram~1885feeder , datamem|ram~1885feeder, mips_16, 1
+instance = comp, \datamem|ram~1885 , datamem|ram~1885, mips_16, 1
+instance = comp, \datamem|ram~1869feeder , datamem|ram~1869feeder, mips_16, 1
+instance = comp, \datamem|ram~1869 , datamem|ram~1869, mips_16, 1
+instance = comp, \datamem|ram~1901feeder , datamem|ram~1901feeder, mips_16, 1
+instance = comp, \datamem|ram~1901 , datamem|ram~1901, mips_16, 1
+instance = comp, \datamem|ram~1917 , datamem|ram~1917, mips_16, 1
+instance = comp, \datamem|ram~5323 , datamem|ram~5323, mips_16, 1
+instance = comp, \datamem|ram~2013 , datamem|ram~2013, mips_16, 1
+instance = comp, \datamem|ram~2029 , datamem|ram~2029, mips_16, 1
+instance = comp, \datamem|ram~1997 , datamem|ram~1997, mips_16, 1
+instance = comp, \datamem|ram~2045 , datamem|ram~2045, mips_16, 1
+instance = comp, \datamem|ram~5325 , datamem|ram~5325, mips_16, 1
+instance = comp, \datamem|ram~5326 , datamem|ram~5326, mips_16, 1
+instance = comp, \datamem|ram~1517feeder , datamem|ram~1517feeder, mips_16, 1
+instance = comp, \datamem|ram~1517 , datamem|ram~1517, mips_16, 1
+instance = comp, \datamem|ram~1325feeder , datamem|ram~1325feeder, mips_16, 1
+instance = comp, \datamem|ram~1325 , datamem|ram~1325, mips_16, 1
+instance = comp, \datamem|ram~1389feeder , datamem|ram~1389feeder, mips_16, 1
+instance = comp, \datamem|ram~1389 , datamem|ram~1389, mips_16, 1
+instance = comp, \datamem|ram~1453feeder , datamem|ram~1453feeder, mips_16, 1
+instance = comp, \datamem|ram~1453 , datamem|ram~1453, mips_16, 1
+instance = comp, \datamem|ram~5314 , datamem|ram~5314, mips_16, 1
+instance = comp, \datamem|ram~1341 , datamem|ram~1341, mips_16, 1
+instance = comp, \datamem|ram~1405 , datamem|ram~1405, mips_16, 1
+instance = comp, \datamem|ram~1469 , datamem|ram~1469, mips_16, 1
+instance = comp, \datamem|ram~1533 , datamem|ram~1533, mips_16, 1
+instance = comp, \datamem|ram~5315 , datamem|ram~5315, mips_16, 1
+instance = comp, \datamem|ram~1373feeder , datamem|ram~1373feeder, mips_16, 1
+instance = comp, \datamem|ram~1373 , datamem|ram~1373, mips_16, 1
+instance = comp, \datamem|ram~1437 , datamem|ram~1437, mips_16, 1
+instance = comp, \datamem|ram~1309feeder , datamem|ram~1309feeder, mips_16, 1
+instance = comp, \datamem|ram~1309 , datamem|ram~1309, mips_16, 1
+instance = comp, \datamem|ram~1501feeder , datamem|ram~1501feeder, mips_16, 1
+instance = comp, \datamem|ram~1501 , datamem|ram~1501, mips_16, 1
+instance = comp, \datamem|ram~5313 , datamem|ram~5313, mips_16, 1
+instance = comp, \datamem|ram~1485 , datamem|ram~1485, mips_16, 1
+instance = comp, \datamem|ram~1421feeder , datamem|ram~1421feeder, mips_16, 1
+instance = comp, \datamem|ram~1421 , datamem|ram~1421, mips_16, 1
+instance = comp, \datamem|ram~1293 , datamem|ram~1293, mips_16, 1
+instance = comp, \datamem|ram~1357 , datamem|ram~1357, mips_16, 1
+instance = comp, \datamem|ram~5312 , datamem|ram~5312, mips_16, 1
+instance = comp, \datamem|ram~5316 , datamem|ram~5316, mips_16, 1
+instance = comp, \datamem|ram~1613 , datamem|ram~1613, mips_16, 1
+instance = comp, \datamem|ram~1549 , datamem|ram~1549, mips_16, 1
+instance = comp, \datamem|ram~1677feeder , datamem|ram~1677feeder, mips_16, 1
+instance = comp, \datamem|ram~1677 , datamem|ram~1677, mips_16, 1
+instance = comp, \datamem|ram~1741 , datamem|ram~1741, mips_16, 1
+instance = comp, \datamem|ram~5317 , datamem|ram~5317, mips_16, 1
+instance = comp, \datamem|ram~1725 , datamem|ram~1725, mips_16, 1
+instance = comp, \datamem|ram~1597 , datamem|ram~1597, mips_16, 1
+instance = comp, \datamem|ram~1661 , datamem|ram~1661, mips_16, 1
+instance = comp, \datamem|ram~1789 , datamem|ram~1789, mips_16, 1
+instance = comp, \datamem|ram~5320 , datamem|ram~5320, mips_16, 1
+instance = comp, \datamem|ram~1645feeder , datamem|ram~1645feeder, mips_16, 1
+instance = comp, \datamem|ram~1645 , datamem|ram~1645, mips_16, 1
+instance = comp, \datamem|ram~1709feeder , datamem|ram~1709feeder, mips_16, 1
+instance = comp, \datamem|ram~1709 , datamem|ram~1709, mips_16, 1
+instance = comp, \datamem|ram~1581 , datamem|ram~1581, mips_16, 1
+instance = comp, \datamem|ram~1773 , datamem|ram~1773, mips_16, 1
+instance = comp, \datamem|ram~5319 , datamem|ram~5319, mips_16, 1
+instance = comp, \datamem|ram~1693feeder , datamem|ram~1693feeder, mips_16, 1
+instance = comp, \datamem|ram~1693 , datamem|ram~1693, mips_16, 1
+instance = comp, \datamem|ram~1629feeder , datamem|ram~1629feeder, mips_16, 1
+instance = comp, \datamem|ram~1629 , datamem|ram~1629, mips_16, 1
+instance = comp, \datamem|ram~1565 , datamem|ram~1565, mips_16, 1
+instance = comp, \datamem|ram~1757 , datamem|ram~1757, mips_16, 1
+instance = comp, \datamem|ram~5318 , datamem|ram~5318, mips_16, 1
+instance = comp, \datamem|ram~5321 , datamem|ram~5321, mips_16, 1
+instance = comp, \datamem|ram~5327 , datamem|ram~5327, mips_16, 1
+instance = comp, \datamem|ram~3485 , datamem|ram~3485, mips_16, 1
+instance = comp, \datamem|ram~3741feeder , datamem|ram~3741feeder, mips_16, 1
+instance = comp, \datamem|ram~3741 , datamem|ram~3741, mips_16, 1
+instance = comp, \datamem|ram~3229feeder , datamem|ram~3229feeder, mips_16, 1
+instance = comp, \datamem|ram~3229 , datamem|ram~3229, mips_16, 1
+instance = comp, \datamem|ram~3997 , datamem|ram~3997, mips_16, 1
+instance = comp, \datamem|ram~5360 , datamem|ram~5360, mips_16, 1
+instance = comp, \datamem|ram~3501feeder , datamem|ram~3501feeder, mips_16, 1
+instance = comp, \datamem|ram~3501 , datamem|ram~3501, mips_16, 1
+instance = comp, \datamem|ram~3245feeder , datamem|ram~3245feeder, mips_16, 1
+instance = comp, \datamem|ram~3245 , datamem|ram~3245, mips_16, 1
+instance = comp, \datamem|ram~3757 , datamem|ram~3757, mips_16, 1
+instance = comp, \datamem|ram~4013 , datamem|ram~4013, mips_16, 1
+instance = comp, \datamem|ram~5361 , datamem|ram~5361, mips_16, 1
+instance = comp, \datamem|ram~3517feeder , datamem|ram~3517feeder, mips_16, 1
+instance = comp, \datamem|ram~3517 , datamem|ram~3517, mips_16, 1
+instance = comp, \datamem|ram~3773 , datamem|ram~3773, mips_16, 1
+instance = comp, \datamem|ram~3261feeder , datamem|ram~3261feeder, mips_16, 1
+instance = comp, \datamem|ram~3261 , datamem|ram~3261, mips_16, 1
+instance = comp, \datamem|ram~4029 , datamem|ram~4029, mips_16, 1
+instance = comp, \datamem|ram~5362 , datamem|ram~5362, mips_16, 1
+instance = comp, \datamem|ram~3213feeder , datamem|ram~3213feeder, mips_16, 1
+instance = comp, \datamem|ram~3213 , datamem|ram~3213, mips_16, 1
+instance = comp, \datamem|ram~3725feeder , datamem|ram~3725feeder, mips_16, 1
+instance = comp, \datamem|ram~3725 , datamem|ram~3725, mips_16, 1
+instance = comp, \datamem|ram~3469 , datamem|ram~3469, mips_16, 1
+instance = comp, \datamem|ram~3981 , datamem|ram~3981, mips_16, 1
+instance = comp, \datamem|ram~5359 , datamem|ram~5359, mips_16, 1
+instance = comp, \datamem|ram~5363 , datamem|ram~5363, mips_16, 1
+instance = comp, \datamem|ram~3805 , datamem|ram~3805, mips_16, 1
+instance = comp, \datamem|ram~3821 , datamem|ram~3821, mips_16, 1
+instance = comp, \datamem|ram~3789feeder , datamem|ram~3789feeder, mips_16, 1
+instance = comp, \datamem|ram~3789 , datamem|ram~3789, mips_16, 1
+instance = comp, \datamem|ram~3837 , datamem|ram~3837, mips_16, 1
+instance = comp, \datamem|ram~5366 , datamem|ram~5366, mips_16, 1
+instance = comp, \datamem|ram~3549 , datamem|ram~3549, mips_16, 1
+instance = comp, \datamem|ram~3565 , datamem|ram~3565, mips_16, 1
+instance = comp, \datamem|ram~3533 , datamem|ram~3533, mips_16, 1
+instance = comp, \datamem|ram~3581 , datamem|ram~3581, mips_16, 1
+instance = comp, \datamem|ram~5365 , datamem|ram~5365, mips_16, 1
+instance = comp, \datamem|ram~3293feeder , datamem|ram~3293feeder, mips_16, 1
+instance = comp, \datamem|ram~3293 , datamem|ram~3293, mips_16, 1
+instance = comp, \datamem|ram~3309feeder , datamem|ram~3309feeder, mips_16, 1
+instance = comp, \datamem|ram~3309 , datamem|ram~3309, mips_16, 1
+instance = comp, \datamem|ram~3277 , datamem|ram~3277, mips_16, 1
+instance = comp, \datamem|ram~3325 , datamem|ram~3325, mips_16, 1
+instance = comp, \datamem|ram~5364 , datamem|ram~5364, mips_16, 1
+instance = comp, \datamem|ram~4061 , datamem|ram~4061, mips_16, 1
+instance = comp, \datamem|ram~4045 , datamem|ram~4045, mips_16, 1
+instance = comp, \datamem|ram~4093 , datamem|ram~4093, mips_16, 1
+instance = comp, \datamem|ram~4077 , datamem|ram~4077, mips_16, 1
+instance = comp, \datamem|ram~5367 , datamem|ram~5367, mips_16, 1
+instance = comp, \datamem|ram~5368 , datamem|ram~5368, mips_16, 1
+instance = comp, \datamem|ram~3869feeder , datamem|ram~3869feeder, mips_16, 1
+instance = comp, \datamem|ram~3869 , datamem|ram~3869, mips_16, 1
+instance = comp, \datamem|ram~3853 , datamem|ram~3853, mips_16, 1
+instance = comp, \datamem|ram~3885feeder , datamem|ram~3885feeder, mips_16, 1
+instance = comp, \datamem|ram~3885 , datamem|ram~3885, mips_16, 1
+instance = comp, \datamem|ram~3901 , datamem|ram~3901, mips_16, 1
+instance = comp, \datamem|ram~5352 , datamem|ram~5352, mips_16, 1
+instance = comp, \datamem|ram~3629 , datamem|ram~3629, mips_16, 1
+instance = comp, \datamem|ram~3597feeder , datamem|ram~3597feeder, mips_16, 1
+instance = comp, \datamem|ram~3597 , datamem|ram~3597, mips_16, 1
+instance = comp, \datamem|ram~3613 , datamem|ram~3613, mips_16, 1
+instance = comp, \datamem|ram~3645 , datamem|ram~3645, mips_16, 1
+instance = comp, \datamem|ram~5351 , datamem|ram~5351, mips_16, 1
+instance = comp, \datamem|ram~3341 , datamem|ram~3341, mips_16, 1
+instance = comp, \datamem|ram~3373feeder , datamem|ram~3373feeder, mips_16, 1
+instance = comp, \datamem|ram~3373 , datamem|ram~3373, mips_16, 1
+instance = comp, \datamem|ram~3357 , datamem|ram~3357, mips_16, 1
+instance = comp, \datamem|ram~3389 , datamem|ram~3389, mips_16, 1
+instance = comp, \datamem|ram~5350 , datamem|ram~5350, mips_16, 1
+instance = comp, \datamem|ram~3101 , datamem|ram~3101, mips_16, 1
+instance = comp, \datamem|ram~3085feeder , datamem|ram~3085feeder, mips_16, 1
+instance = comp, \datamem|ram~3085 , datamem|ram~3085, mips_16, 1
+instance = comp, \datamem|ram~3117feeder , datamem|ram~3117feeder, mips_16, 1
+instance = comp, \datamem|ram~3117 , datamem|ram~3117, mips_16, 1
+instance = comp, \datamem|ram~3133 , datamem|ram~3133, mips_16, 1
+instance = comp, \datamem|ram~5349 , datamem|ram~5349, mips_16, 1
+instance = comp, \datamem|ram~5353 , datamem|ram~5353, mips_16, 1
+instance = comp, \datamem|ram~3165 , datamem|ram~3165, mips_16, 1
+instance = comp, \datamem|ram~3197feeder , datamem|ram~3197feeder, mips_16, 1
+instance = comp, \datamem|ram~3197 , datamem|ram~3197, mips_16, 1
+instance = comp, \datamem|ram~3149feeder , datamem|ram~3149feeder, mips_16, 1
+instance = comp, \datamem|ram~3149 , datamem|ram~3149, mips_16, 1
+instance = comp, \datamem|ram~3181feeder , datamem|ram~3181feeder, mips_16, 1
+instance = comp, \datamem|ram~3181 , datamem|ram~3181, mips_16, 1
+instance = comp, \datamem|ram~5354 , datamem|ram~5354, mips_16, 1
+instance = comp, \datamem|ram~3677 , datamem|ram~3677, mips_16, 1
+instance = comp, \datamem|ram~3661feeder , datamem|ram~3661feeder, mips_16, 1
+instance = comp, \datamem|ram~3661 , datamem|ram~3661, mips_16, 1
+instance = comp, \datamem|ram~3709feeder , datamem|ram~3709feeder, mips_16, 1
+instance = comp, \datamem|ram~3709 , datamem|ram~3709, mips_16, 1
+instance = comp, \datamem|ram~3693 , datamem|ram~3693, mips_16, 1
+instance = comp, \datamem|ram~5356 , datamem|ram~5356, mips_16, 1
+instance = comp, \datamem|ram~3437 , datamem|ram~3437, mips_16, 1
+instance = comp, \datamem|ram~3421 , datamem|ram~3421, mips_16, 1
+instance = comp, \datamem|ram~3453feeder , datamem|ram~3453feeder, mips_16, 1
+instance = comp, \datamem|ram~3453 , datamem|ram~3453, mips_16, 1
+instance = comp, \datamem|ram~3405 , datamem|ram~3405, mips_16, 1
+instance = comp, \datamem|ram~5355 , datamem|ram~5355, mips_16, 1
+instance = comp, \datamem|ram~3917feeder , datamem|ram~3917feeder, mips_16, 1
+instance = comp, \datamem|ram~3917 , datamem|ram~3917, mips_16, 1
+instance = comp, \datamem|ram~3949 , datamem|ram~3949, mips_16, 1
+instance = comp, \datamem|ram~3933 , datamem|ram~3933, mips_16, 1
+instance = comp, \datamem|ram~3965 , datamem|ram~3965, mips_16, 1
+instance = comp, \datamem|ram~5357 , datamem|ram~5357, mips_16, 1
+instance = comp, \datamem|ram~5358 , datamem|ram~5358, mips_16, 1
+instance = comp, \datamem|ram~5369 , datamem|ram~5369, mips_16, 1
+instance = comp, \datamem|ram~2237 , datamem|ram~2237, mips_16, 1
+instance = comp, \datamem|ram~2173 , datamem|ram~2173, mips_16, 1
+instance = comp, \datamem|ram~2109feeder , datamem|ram~2109feeder, mips_16, 1
+instance = comp, \datamem|ram~2109 , datamem|ram~2109, mips_16, 1
+instance = comp, \datamem|ram~2301 , datamem|ram~2301, mips_16, 1
+instance = comp, \datamem|ram~5343 , datamem|ram~5343, mips_16, 1
+instance = comp, \datamem|ram~3005feeder , datamem|ram~3005feeder, mips_16, 1
+instance = comp, \datamem|ram~3005 , datamem|ram~3005, mips_16, 1
+instance = comp, \datamem|ram~2877 , datamem|ram~2877, mips_16, 1
+instance = comp, \datamem|ram~2941 , datamem|ram~2941, mips_16, 1
+instance = comp, \datamem|ram~3069 , datamem|ram~3069, mips_16, 1
+instance = comp, \datamem|ram~5346 , datamem|ram~5346, mips_16, 1
+instance = comp, \datamem|ram~2621feeder , datamem|ram~2621feeder, mips_16, 1
+instance = comp, \datamem|ram~2621 , datamem|ram~2621, mips_16, 1
+instance = comp, \datamem|ram~2685 , datamem|ram~2685, mips_16, 1
+instance = comp, \datamem|ram~2749feeder , datamem|ram~2749feeder, mips_16, 1
+instance = comp, \datamem|ram~2749 , datamem|ram~2749, mips_16, 1
+instance = comp, \datamem|ram~2813 , datamem|ram~2813, mips_16, 1
+instance = comp, \datamem|ram~5345 , datamem|ram~5345, mips_16, 1
+instance = comp, \datamem|ram~2429 , datamem|ram~2429, mips_16, 1
+instance = comp, \datamem|ram~2493 , datamem|ram~2493, mips_16, 1
+instance = comp, \datamem|ram~2365feeder , datamem|ram~2365feeder, mips_16, 1
+instance = comp, \datamem|ram~2365 , datamem|ram~2365, mips_16, 1
+instance = comp, \datamem|ram~2557 , datamem|ram~2557, mips_16, 1
+instance = comp, \datamem|ram~5344 , datamem|ram~5344, mips_16, 1
+instance = comp, \datamem|ram~5347 , datamem|ram~5347, mips_16, 1
+instance = comp, \datamem|ram~2589feeder , datamem|ram~2589feeder, mips_16, 1
+instance = comp, \datamem|ram~2589 , datamem|ram~2589, mips_16, 1
+instance = comp, \datamem|ram~2333 , datamem|ram~2333, mips_16, 1
+instance = comp, \datamem|ram~2077 , datamem|ram~2077, mips_16, 1
+instance = comp, \datamem|ram~2845 , datamem|ram~2845, mips_16, 1
+instance = comp, \datamem|ram~5333 , datamem|ram~5333, mips_16, 1
+instance = comp, \datamem|ram~2205 , datamem|ram~2205, mips_16, 1
+instance = comp, \datamem|ram~2717 , datamem|ram~2717, mips_16, 1
+instance = comp, \datamem|ram~2461 , datamem|ram~2461, mips_16, 1
+instance = comp, \datamem|ram~2973 , datamem|ram~2973, mips_16, 1
+instance = comp, \datamem|ram~5335 , datamem|ram~5335, mips_16, 1
+instance = comp, \datamem|ram~2781 , datamem|ram~2781, mips_16, 1
+instance = comp, \datamem|ram~2525 , datamem|ram~2525, mips_16, 1
+instance = comp, \datamem|ram~2269feeder , datamem|ram~2269feeder, mips_16, 1
+instance = comp, \datamem|ram~2269 , datamem|ram~2269, mips_16, 1
+instance = comp, \datamem|ram~3037 , datamem|ram~3037, mips_16, 1
+instance = comp, \datamem|ram~5336 , datamem|ram~5336, mips_16, 1
+instance = comp, \datamem|ram~2397feeder , datamem|ram~2397feeder, mips_16, 1
+instance = comp, \datamem|ram~2397 , datamem|ram~2397, mips_16, 1
+instance = comp, \datamem|ram~2653 , datamem|ram~2653, mips_16, 1
+instance = comp, \datamem|ram~2141feeder , datamem|ram~2141feeder, mips_16, 1
+instance = comp, \datamem|ram~2141 , datamem|ram~2141, mips_16, 1
+instance = comp, \datamem|ram~2909 , datamem|ram~2909, mips_16, 1
+instance = comp, \datamem|ram~5334 , datamem|ram~5334, mips_16, 1
+instance = comp, \datamem|ram~5337 , datamem|ram~5337, mips_16, 1
+instance = comp, \datamem|ram~2093 , datamem|ram~2093, mips_16, 1
+instance = comp, \datamem|ram~2349feeder , datamem|ram~2349feeder, mips_16, 1
+instance = comp, \datamem|ram~2349 , datamem|ram~2349, mips_16, 1
+instance = comp, \datamem|ram~2605feeder , datamem|ram~2605feeder, mips_16, 1
+instance = comp, \datamem|ram~2605 , datamem|ram~2605, mips_16, 1
+instance = comp, \datamem|ram~2861feeder , datamem|ram~2861feeder, mips_16, 1
+instance = comp, \datamem|ram~2861 , datamem|ram~2861, mips_16, 1
+instance = comp, \datamem|ram~5338 , datamem|ram~5338, mips_16, 1
+instance = comp, \datamem|ram~2413 , datamem|ram~2413, mips_16, 1
+instance = comp, \datamem|ram~2157feeder , datamem|ram~2157feeder, mips_16, 1
+instance = comp, \datamem|ram~2157 , datamem|ram~2157, mips_16, 1
+instance = comp, \datamem|ram~2669 , datamem|ram~2669, mips_16, 1
+instance = comp, \datamem|ram~2925 , datamem|ram~2925, mips_16, 1
+instance = comp, \datamem|ram~5339 , datamem|ram~5339, mips_16, 1
+instance = comp, \datamem|ram~2541feeder , datamem|ram~2541feeder, mips_16, 1
+instance = comp, \datamem|ram~2541 , datamem|ram~2541, mips_16, 1
+instance = comp, \datamem|ram~2285feeder , datamem|ram~2285feeder, mips_16, 1
+instance = comp, \datamem|ram~2285 , datamem|ram~2285, mips_16, 1
+instance = comp, \datamem|ram~2797feeder , datamem|ram~2797feeder, mips_16, 1
+instance = comp, \datamem|ram~2797 , datamem|ram~2797, mips_16, 1
+instance = comp, \datamem|ram~3053 , datamem|ram~3053, mips_16, 1
+instance = comp, \datamem|ram~5341 , datamem|ram~5341, mips_16, 1
+instance = comp, \datamem|ram~2733 , datamem|ram~2733, mips_16, 1
+instance = comp, \datamem|ram~2221 , datamem|ram~2221, mips_16, 1
+instance = comp, \datamem|ram~2477 , datamem|ram~2477, mips_16, 1
+instance = comp, \datamem|ram~2989 , datamem|ram~2989, mips_16, 1
+instance = comp, \datamem|ram~5340 , datamem|ram~5340, mips_16, 1
+instance = comp, \datamem|ram~5342 , datamem|ram~5342, mips_16, 1
+instance = comp, \datamem|ram~2509 , datamem|ram~2509, mips_16, 1
+instance = comp, \datamem|ram~2253 , datamem|ram~2253, mips_16, 1
+instance = comp, \datamem|ram~2765feeder , datamem|ram~2765feeder, mips_16, 1
+instance = comp, \datamem|ram~2765 , datamem|ram~2765, mips_16, 1
+instance = comp, \datamem|ram~3021 , datamem|ram~3021, mips_16, 1
+instance = comp, \datamem|ram~5331 , datamem|ram~5331, mips_16, 1
+instance = comp, \datamem|ram~2701feeder , datamem|ram~2701feeder, mips_16, 1
+instance = comp, \datamem|ram~2701 , datamem|ram~2701, mips_16, 1
+instance = comp, \datamem|ram~2189feeder , datamem|ram~2189feeder, mips_16, 1
+instance = comp, \datamem|ram~2189 , datamem|ram~2189, mips_16, 1
+instance = comp, \datamem|ram~2445feeder , datamem|ram~2445feeder, mips_16, 1
+instance = comp, \datamem|ram~2445 , datamem|ram~2445, mips_16, 1
+instance = comp, \datamem|ram~2957 , datamem|ram~2957, mips_16, 1
+instance = comp, \datamem|ram~5330 , datamem|ram~5330, mips_16, 1
+instance = comp, \datamem|ram~2061feeder , datamem|ram~2061feeder, mips_16, 1
+instance = comp, \datamem|ram~2061 , datamem|ram~2061, mips_16, 1
+instance = comp, \datamem|ram~2317feeder , datamem|ram~2317feeder, mips_16, 1
+instance = comp, \datamem|ram~2317 , datamem|ram~2317, mips_16, 1
+instance = comp, \datamem|ram~2573 , datamem|ram~2573, mips_16, 1
+instance = comp, \datamem|ram~2829 , datamem|ram~2829, mips_16, 1
+instance = comp, \datamem|ram~5328 , datamem|ram~5328, mips_16, 1
+instance = comp, \datamem|ram~2381 , datamem|ram~2381, mips_16, 1
+instance = comp, \datamem|ram~2637feeder , datamem|ram~2637feeder, mips_16, 1
+instance = comp, \datamem|ram~2637 , datamem|ram~2637, mips_16, 1
+instance = comp, \datamem|ram~2125 , datamem|ram~2125, mips_16, 1
+instance = comp, \datamem|ram~2893 , datamem|ram~2893, mips_16, 1
+instance = comp, \datamem|ram~5329 , datamem|ram~5329, mips_16, 1
+instance = comp, \datamem|ram~5332 , datamem|ram~5332, mips_16, 1
+instance = comp, \datamem|ram~5348 , datamem|ram~5348, mips_16, 1
+instance = comp, \datamem|ram~397 , datamem|ram~397, mips_16, 1
+instance = comp, \datamem|ram~653feeder , datamem|ram~653feeder, mips_16, 1
+instance = comp, \datamem|ram~653 , datamem|ram~653, mips_16, 1
+instance = comp, \datamem|ram~141feeder , datamem|ram~141feeder, mips_16, 1
+instance = comp, \datamem|ram~141 , datamem|ram~141, mips_16, 1
+instance = comp, \datamem|ram~909 , datamem|ram~909, mips_16, 1
+instance = comp, \datamem|ram~5296 , datamem|ram~5296, mips_16, 1
+instance = comp, \datamem|ram~189feeder , datamem|ram~189feeder, mips_16, 1
+instance = comp, \datamem|ram~189 , datamem|ram~189, mips_16, 1
+instance = comp, \datamem|ram~701 , datamem|ram~701, mips_16, 1
+instance = comp, \datamem|ram~445feeder , datamem|ram~445feeder, mips_16, 1
+instance = comp, \datamem|ram~445 , datamem|ram~445, mips_16, 1
+instance = comp, \datamem|ram~957 , datamem|ram~957, mips_16, 1
+instance = comp, \datamem|ram~5299 , datamem|ram~5299, mips_16, 1
+instance = comp, \datamem|ram~941 , datamem|ram~941, mips_16, 1
+instance = comp, \datamem|ram~685 , datamem|ram~685, mips_16, 1
+instance = comp, \datamem|ram~429 , datamem|ram~429, mips_16, 1
+instance = comp, \datamem|ram~173 , datamem|ram~173, mips_16, 1
+instance = comp, \datamem|ram~5298 , datamem|ram~5298, mips_16, 1
+instance = comp, \datamem|ram~669feeder , datamem|ram~669feeder, mips_16, 1
+instance = comp, \datamem|ram~669 , datamem|ram~669, mips_16, 1
+instance = comp, \datamem|ram~157feeder , datamem|ram~157feeder, mips_16, 1
+instance = comp, \datamem|ram~157 , datamem|ram~157, mips_16, 1
+instance = comp, \datamem|ram~413 , datamem|ram~413, mips_16, 1
+instance = comp, \datamem|ram~925 , datamem|ram~925, mips_16, 1
+instance = comp, \datamem|ram~5297 , datamem|ram~5297, mips_16, 1
+instance = comp, \datamem|ram~5300 , datamem|ram~5300, mips_16, 1
+instance = comp, \datamem|ram~221 , datamem|ram~221, mips_16, 1
+instance = comp, \datamem|ram~237feeder , datamem|ram~237feeder, mips_16, 1
+instance = comp, \datamem|ram~237 , datamem|ram~237, mips_16, 1
+instance = comp, \datamem|ram~205 , datamem|ram~205, mips_16, 1
+instance = comp, \datamem|ram~253 , datamem|ram~253, mips_16, 1
+instance = comp, \datamem|ram~5301 , datamem|ram~5301, mips_16, 1
+instance = comp, \datamem|ram~989feeder , datamem|ram~989feeder, mips_16, 1
+instance = comp, \datamem|ram~989 , datamem|ram~989, mips_16, 1
+instance = comp, \datamem|ram~973feeder , datamem|ram~973feeder, mips_16, 1
+instance = comp, \datamem|ram~973 , datamem|ram~973, mips_16, 1
+instance = comp, \datamem|ram~1005 , datamem|ram~1005, mips_16, 1
+instance = comp, \datamem|ram~1021 , datamem|ram~1021, mips_16, 1
+instance = comp, \datamem|ram~5304 , datamem|ram~5304, mips_16, 1
+instance = comp, \datamem|ram~717 , datamem|ram~717, mips_16, 1
+instance = comp, \datamem|ram~749feeder , datamem|ram~749feeder, mips_16, 1
+instance = comp, \datamem|ram~749 , datamem|ram~749, mips_16, 1
+instance = comp, \datamem|ram~733feeder , datamem|ram~733feeder, mips_16, 1
+instance = comp, \datamem|ram~733 , datamem|ram~733, mips_16, 1
+instance = comp, \datamem|ram~765 , datamem|ram~765, mips_16, 1
+instance = comp, \datamem|ram~5303 , datamem|ram~5303, mips_16, 1
+instance = comp, \datamem|ram~493feeder , datamem|ram~493feeder, mips_16, 1
+instance = comp, \datamem|ram~493 , datamem|ram~493, mips_16, 1
+instance = comp, \datamem|ram~461 , datamem|ram~461, mips_16, 1
+instance = comp, \datamem|ram~477 , datamem|ram~477, mips_16, 1
+instance = comp, \datamem|ram~509 , datamem|ram~509, mips_16, 1
+instance = comp, \datamem|ram~5302 , datamem|ram~5302, mips_16, 1
+instance = comp, \datamem|ram~5305 , datamem|ram~5305, mips_16, 1
+instance = comp, \datamem|ram~797feeder , datamem|ram~797feeder, mips_16, 1
+instance = comp, \datamem|ram~797 , datamem|ram~797, mips_16, 1
+instance = comp, \datamem|ram~781 , datamem|ram~781, mips_16, 1
+instance = comp, \datamem|ram~813 , datamem|ram~813, mips_16, 1
+instance = comp, \datamem|ram~829 , datamem|ram~829, mips_16, 1
+instance = comp, \datamem|ram~5289 , datamem|ram~5289, mips_16, 1
+instance = comp, \datamem|ram~557feeder , datamem|ram~557feeder, mips_16, 1
+instance = comp, \datamem|ram~557 , datamem|ram~557, mips_16, 1
+instance = comp, \datamem|ram~541feeder , datamem|ram~541feeder, mips_16, 1
+instance = comp, \datamem|ram~541 , datamem|ram~541, mips_16, 1
+instance = comp, \datamem|ram~525feeder , datamem|ram~525feeder, mips_16, 1
+instance = comp, \datamem|ram~525 , datamem|ram~525, mips_16, 1
+instance = comp, \datamem|ram~573 , datamem|ram~573, mips_16, 1
+instance = comp, \datamem|ram~5288 , datamem|ram~5288, mips_16, 1
+instance = comp, \datamem|ram~317feeder , datamem|ram~317feeder, mips_16, 1
+instance = comp, \datamem|ram~317 , datamem|ram~317, mips_16, 1
+instance = comp, \datamem|ram~269 , datamem|ram~269, mips_16, 1
+instance = comp, \datamem|ram~301feeder , datamem|ram~301feeder, mips_16, 1
+instance = comp, \datamem|ram~301 , datamem|ram~301, mips_16, 1
+instance = comp, \datamem|ram~285 , datamem|ram~285, mips_16, 1
+instance = comp, \datamem|ram~5287 , datamem|ram~5287, mips_16, 1
+instance = comp, \datamem|ram~45feeder , datamem|ram~45feeder, mips_16, 1
+instance = comp, \datamem|ram~45 , datamem|ram~45, mips_16, 1
+instance = comp, \datamem|ram~61feeder , datamem|ram~61feeder, mips_16, 1
+instance = comp, \datamem|ram~61 , datamem|ram~61, mips_16, 1
+instance = comp, \datamem|ram~13feeder , datamem|ram~13feeder, mips_16, 1
+instance = comp, \datamem|ram~13 , datamem|ram~13, mips_16, 1
+instance = comp, \datamem|ram~29 , datamem|ram~29, mips_16, 1
+instance = comp, \datamem|ram~5286 , datamem|ram~5286, mips_16, 1
+instance = comp, \datamem|ram~5290 , datamem|ram~5290, mips_16, 1
+instance = comp, \datamem|ram~845 , datamem|ram~845, mips_16, 1
+instance = comp, \datamem|ram~877feeder , datamem|ram~877feeder, mips_16, 1
+instance = comp, \datamem|ram~877 , datamem|ram~877, mips_16, 1
+instance = comp, \datamem|ram~861 , datamem|ram~861, mips_16, 1
+instance = comp, \datamem|ram~893 , datamem|ram~893, mips_16, 1
+instance = comp, \datamem|ram~5294 , datamem|ram~5294, mips_16, 1
+instance = comp, \datamem|ram~125 , datamem|ram~125, mips_16, 1
+instance = comp, \datamem|ram~77 , datamem|ram~77, mips_16, 1
+instance = comp, \datamem|ram~109 , datamem|ram~109, mips_16, 1
+instance = comp, \datamem|ram~93 , datamem|ram~93, mips_16, 1
+instance = comp, \datamem|ram~5291 , datamem|ram~5291, mips_16, 1
+instance = comp, \datamem|ram~349 , datamem|ram~349, mips_16, 1
+instance = comp, \datamem|ram~381 , datamem|ram~381, mips_16, 1
+instance = comp, \datamem|ram~365 , datamem|ram~365, mips_16, 1
+instance = comp, \datamem|ram~333 , datamem|ram~333, mips_16, 1
+instance = comp, \datamem|ram~5292 , datamem|ram~5292, mips_16, 1
+instance = comp, \datamem|ram~589 , datamem|ram~589, mips_16, 1
+instance = comp, \datamem|ram~605feeder , datamem|ram~605feeder, mips_16, 1
+instance = comp, \datamem|ram~605 , datamem|ram~605, mips_16, 1
+instance = comp, \datamem|ram~621feeder , datamem|ram~621feeder, mips_16, 1
+instance = comp, \datamem|ram~621 , datamem|ram~621, mips_16, 1
+instance = comp, \datamem|ram~637 , datamem|ram~637, mips_16, 1
+instance = comp, \datamem|ram~5293 , datamem|ram~5293, mips_16, 1
+instance = comp, \datamem|ram~5295 , datamem|ram~5295, mips_16, 1
+instance = comp, \datamem|ram~5306 , datamem|ram~5306, mips_16, 1
+instance = comp, \datamem|ram~5370 , datamem|ram~5370, mips_16, 1
+instance = comp, \reg_write_data[13]~16 , reg_write_data[13]~16, mips_16, 1
+instance = comp, \reg_file|reg_array[4][13] , reg_file|reg_array[4][13], mips_16, 1
+instance = comp, \reg_file|reg_array[7][13] , reg_file|reg_array[7][13], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[13]~26 , reg_file|reg_read_data_1[13]~26, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[13]~27 , reg_file|reg_read_data_1[13]~27, mips_16, 1
+instance = comp, \JRControl_unit|Equal0~0 , JRControl_unit|Equal0~0, mips_16, 1
+instance = comp, \pc_current[13] , pc_current[13], mips_16, 1
+instance = comp, \Add0~53 , Add0~53, mips_16, 1
+instance = comp, \reg_file|reg_array[1][14] , reg_file|reg_array[1][14], mips_16, 1
+instance = comp, \reg_file|reg_array[0][14] , reg_file|reg_array[0][14], mips_16, 1
+instance = comp, \reg_file|reg_array[3][14]~feeder , reg_file|reg_array[3][14]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[3][14] , reg_file|reg_array[3][14], mips_16, 1
+instance = comp, \reg_file|reg_array[2][14] , reg_file|reg_array[2][14], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[14]~14 , reg_file|reg_read_data_2[14]~14, mips_16, 1
+instance = comp, \read_data2[14]~14 , read_data2[14]~14, mips_16, 1
+instance = comp, \alu_unit|Add0~53 , alu_unit|Add0~53, mips_16, 1
+instance = comp, \alu_unit|Add1~53 , alu_unit|Add1~53, mips_16, 1
+instance = comp, \alu_unit|Mux1~0 , alu_unit|Mux1~0, mips_16, 1
+instance = comp, \alu_unit|Mux1~1 , alu_unit|Mux1~1, mips_16, 1
+instance = comp, \alu_unit|Mux1~2 , alu_unit|Mux1~2, mips_16, 1
+instance = comp, \alu_unit|Mux1~3 , alu_unit|Mux1~3, mips_16, 1
+instance = comp, \alu_unit|Mux1~4 , alu_unit|Mux1~4, mips_16, 1
+instance = comp, \datamem|ram~2254 , datamem|ram~2254, mips_16, 1
+instance = comp, \datamem|ram~2062 , datamem|ram~2062, mips_16, 1
+instance = comp, \datamem|ram~2190feeder , datamem|ram~2190feeder, mips_16, 1
+instance = comp, \datamem|ram~2190 , datamem|ram~2190, mips_16, 1
+instance = comp, \datamem|ram~2126feeder , datamem|ram~2126feeder, mips_16, 1
+instance = comp, \datamem|ram~2126 , datamem|ram~2126, mips_16, 1
+instance = comp, \datamem|ram~5413 , datamem|ram~5413, mips_16, 1
+instance = comp, \datamem|ram~2158feeder , datamem|ram~2158feeder, mips_16, 1
+instance = comp, \datamem|ram~2158 , datamem|ram~2158, mips_16, 1
+instance = comp, \datamem|ram~2094feeder , datamem|ram~2094feeder, mips_16, 1
+instance = comp, \datamem|ram~2094 , datamem|ram~2094, mips_16, 1
+instance = comp, \datamem|ram~2286feeder , datamem|ram~2286feeder, mips_16, 1
+instance = comp, \datamem|ram~2286 , datamem|ram~2286, mips_16, 1
+instance = comp, \datamem|ram~2222 , datamem|ram~2222, mips_16, 1
+instance = comp, \datamem|ram~5415 , datamem|ram~5415, mips_16, 1
+instance = comp, \datamem|ram~2110feeder , datamem|ram~2110feeder, mips_16, 1
+instance = comp, \datamem|ram~2110 , datamem|ram~2110, mips_16, 1
+instance = comp, \datamem|ram~2238feeder , datamem|ram~2238feeder, mips_16, 1
+instance = comp, \datamem|ram~2238 , datamem|ram~2238, mips_16, 1
+instance = comp, \datamem|ram~2174feeder , datamem|ram~2174feeder, mips_16, 1
+instance = comp, \datamem|ram~2174 , datamem|ram~2174, mips_16, 1
+instance = comp, \datamem|ram~2302 , datamem|ram~2302, mips_16, 1
+instance = comp, \datamem|ram~5416 , datamem|ram~5416, mips_16, 1
+instance = comp, \datamem|ram~2142feeder , datamem|ram~2142feeder, mips_16, 1
+instance = comp, \datamem|ram~2142 , datamem|ram~2142, mips_16, 1
+instance = comp, \datamem|ram~2206feeder , datamem|ram~2206feeder, mips_16, 1
+instance = comp, \datamem|ram~2206 , datamem|ram~2206, mips_16, 1
+instance = comp, \datamem|ram~2078feeder , datamem|ram~2078feeder, mips_16, 1
+instance = comp, \datamem|ram~2078 , datamem|ram~2078, mips_16, 1
+instance = comp, \datamem|ram~2270 , datamem|ram~2270, mips_16, 1
+instance = comp, \datamem|ram~5414 , datamem|ram~5414, mips_16, 1
+instance = comp, \datamem|ram~5417 , datamem|ram~5417, mips_16, 1
+instance = comp, \datamem|ram~2382feeder , datamem|ram~2382feeder, mips_16, 1
+instance = comp, \datamem|ram~2382 , datamem|ram~2382, mips_16, 1
+instance = comp, \datamem|ram~2318feeder , datamem|ram~2318feeder, mips_16, 1
+instance = comp, \datamem|ram~2318 , datamem|ram~2318, mips_16, 1
+instance = comp, \datamem|ram~2510 , datamem|ram~2510, mips_16, 1
+instance = comp, \datamem|ram~2446 , datamem|ram~2446, mips_16, 1
+instance = comp, \datamem|ram~5418 , datamem|ram~5418, mips_16, 1
+instance = comp, \datamem|ram~2398 , datamem|ram~2398, mips_16, 1
+instance = comp, \datamem|ram~2462feeder , datamem|ram~2462feeder, mips_16, 1
+instance = comp, \datamem|ram~2462 , datamem|ram~2462, mips_16, 1
+instance = comp, \datamem|ram~2334 , datamem|ram~2334, mips_16, 1
+instance = comp, \datamem|ram~2526 , datamem|ram~2526, mips_16, 1
+instance = comp, \datamem|ram~5419 , datamem|ram~5419, mips_16, 1
+instance = comp, \datamem|ram~2430feeder , datamem|ram~2430feeder, mips_16, 1
+instance = comp, \datamem|ram~2430 , datamem|ram~2430, mips_16, 1
+instance = comp, \datamem|ram~2494feeder , datamem|ram~2494feeder, mips_16, 1
+instance = comp, \datamem|ram~2494 , datamem|ram~2494, mips_16, 1
+instance = comp, \datamem|ram~2366feeder , datamem|ram~2366feeder, mips_16, 1
+instance = comp, \datamem|ram~2366 , datamem|ram~2366, mips_16, 1
+instance = comp, \datamem|ram~2558 , datamem|ram~2558, mips_16, 1
+instance = comp, \datamem|ram~5421 , datamem|ram~5421, mips_16, 1
+instance = comp, \datamem|ram~2414 , datamem|ram~2414, mips_16, 1
+instance = comp, \datamem|ram~2478 , datamem|ram~2478, mips_16, 1
+instance = comp, \datamem|ram~2350 , datamem|ram~2350, mips_16, 1
+instance = comp, \datamem|ram~2542 , datamem|ram~2542, mips_16, 1
+instance = comp, \datamem|ram~5420 , datamem|ram~5420, mips_16, 1
+instance = comp, \datamem|ram~5422 , datamem|ram~5422, mips_16, 1
+instance = comp, \datamem|ram~2622 , datamem|ram~2622, mips_16, 1
+instance = comp, \datamem|ram~2750 , datamem|ram~2750, mips_16, 1
+instance = comp, \datamem|ram~2686 , datamem|ram~2686, mips_16, 1
+instance = comp, \datamem|ram~2814 , datamem|ram~2814, mips_16, 1
+instance = comp, \datamem|ram~5426 , datamem|ram~5426, mips_16, 1
+instance = comp, \datamem|ram~2574 , datamem|ram~2574, mips_16, 1
+instance = comp, \datamem|ram~2702feeder , datamem|ram~2702feeder, mips_16, 1
+instance = comp, \datamem|ram~2702 , datamem|ram~2702, mips_16, 1
+instance = comp, \datamem|ram~2638feeder , datamem|ram~2638feeder, mips_16, 1
+instance = comp, \datamem|ram~2638 , datamem|ram~2638, mips_16, 1
+instance = comp, \datamem|ram~2766 , datamem|ram~2766, mips_16, 1
+instance = comp, \datamem|ram~5423 , datamem|ram~5423, mips_16, 1
+instance = comp, \datamem|ram~2718 , datamem|ram~2718, mips_16, 1
+instance = comp, \datamem|ram~2590feeder , datamem|ram~2590feeder, mips_16, 1
+instance = comp, \datamem|ram~2590 , datamem|ram~2590, mips_16, 1
+instance = comp, \datamem|ram~2654 , datamem|ram~2654, mips_16, 1
+instance = comp, \datamem|ram~2782 , datamem|ram~2782, mips_16, 1
+instance = comp, \datamem|ram~5424 , datamem|ram~5424, mips_16, 1
+instance = comp, \datamem|ram~2606feeder , datamem|ram~2606feeder, mips_16, 1
+instance = comp, \datamem|ram~2606 , datamem|ram~2606, mips_16, 1
+instance = comp, \datamem|ram~2670feeder , datamem|ram~2670feeder, mips_16, 1
+instance = comp, \datamem|ram~2670 , datamem|ram~2670, mips_16, 1
+instance = comp, \datamem|ram~2734feeder , datamem|ram~2734feeder, mips_16, 1
+instance = comp, \datamem|ram~2734 , datamem|ram~2734, mips_16, 1
+instance = comp, \datamem|ram~2798 , datamem|ram~2798, mips_16, 1
+instance = comp, \datamem|ram~5425 , datamem|ram~5425, mips_16, 1
+instance = comp, \datamem|ram~5427 , datamem|ram~5427, mips_16, 1
+instance = comp, \datamem|ram~2990 , datamem|ram~2990, mips_16, 1
+instance = comp, \datamem|ram~2974feeder , datamem|ram~2974feeder, mips_16, 1
+instance = comp, \datamem|ram~2974 , datamem|ram~2974, mips_16, 1
+instance = comp, \datamem|ram~2958feeder , datamem|ram~2958feeder, mips_16, 1
+instance = comp, \datamem|ram~2958 , datamem|ram~2958, mips_16, 1
+instance = comp, \datamem|ram~3006 , datamem|ram~3006, mips_16, 1
+instance = comp, \datamem|ram~5430 , datamem|ram~5430, mips_16, 1
+instance = comp, \datamem|ram~2830feeder , datamem|ram~2830feeder, mips_16, 1
+instance = comp, \datamem|ram~2830 , datamem|ram~2830, mips_16, 1
+instance = comp, \datamem|ram~2862feeder , datamem|ram~2862feeder, mips_16, 1
+instance = comp, \datamem|ram~2862 , datamem|ram~2862, mips_16, 1
+instance = comp, \datamem|ram~2846 , datamem|ram~2846, mips_16, 1
+instance = comp, \datamem|ram~2878feeder , datamem|ram~2878feeder, mips_16, 1
+instance = comp, \datamem|ram~2878 , datamem|ram~2878, mips_16, 1
+instance = comp, \datamem|ram~5428 , datamem|ram~5428, mips_16, 1
+instance = comp, \datamem|ram~2926 , datamem|ram~2926, mips_16, 1
+instance = comp, \datamem|ram~2894feeder , datamem|ram~2894feeder, mips_16, 1
+instance = comp, \datamem|ram~2894 , datamem|ram~2894, mips_16, 1
+instance = comp, \datamem|ram~2910feeder , datamem|ram~2910feeder, mips_16, 1
+instance = comp, \datamem|ram~2910 , datamem|ram~2910, mips_16, 1
+instance = comp, \datamem|ram~2942 , datamem|ram~2942, mips_16, 1
+instance = comp, \datamem|ram~5429 , datamem|ram~5429, mips_16, 1
+instance = comp, \datamem|ram~3038feeder , datamem|ram~3038feeder, mips_16, 1
+instance = comp, \datamem|ram~3038 , datamem|ram~3038, mips_16, 1
+instance = comp, \datamem|ram~3022feeder , datamem|ram~3022feeder, mips_16, 1
+instance = comp, \datamem|ram~3022 , datamem|ram~3022, mips_16, 1
+instance = comp, \datamem|ram~3054 , datamem|ram~3054, mips_16, 1
+instance = comp, \datamem|ram~3070 , datamem|ram~3070, mips_16, 1
+instance = comp, \datamem|ram~5431 , datamem|ram~5431, mips_16, 1
+instance = comp, \datamem|ram~5432 , datamem|ram~5432, mips_16, 1
+instance = comp, \datamem|ram~5433 , datamem|ram~5433, mips_16, 1
+instance = comp, \datamem|ram~94 , datamem|ram~94, mips_16, 1
+instance = comp, \datamem|ram~606feeder , datamem|ram~606feeder, mips_16, 1
+instance = comp, \datamem|ram~606 , datamem|ram~606, mips_16, 1
+instance = comp, \datamem|ram~862feeder , datamem|ram~862feeder, mips_16, 1
+instance = comp, \datamem|ram~862 , datamem|ram~862, mips_16, 1
+instance = comp, \datamem|ram~350 , datamem|ram~350, mips_16, 1
+instance = comp, \datamem|ram~5377 , datamem|ram~5377, mips_16, 1
+instance = comp, \datamem|ram~30 , datamem|ram~30, mips_16, 1
+instance = comp, \datamem|ram~542 , datamem|ram~542, mips_16, 1
+instance = comp, \datamem|ram~798 , datamem|ram~798, mips_16, 1
+instance = comp, \datamem|ram~286 , datamem|ram~286, mips_16, 1
+instance = comp, \datamem|ram~5376 , datamem|ram~5376, mips_16, 1
+instance = comp, \datamem|ram~734feeder , datamem|ram~734feeder, mips_16, 1
+instance = comp, \datamem|ram~734 , datamem|ram~734, mips_16, 1
+instance = comp, \datamem|ram~222feeder , datamem|ram~222feeder, mips_16, 1
+instance = comp, \datamem|ram~222 , datamem|ram~222, mips_16, 1
+instance = comp, \datamem|ram~478feeder , datamem|ram~478feeder, mips_16, 1
+instance = comp, \datamem|ram~478 , datamem|ram~478, mips_16, 1
+instance = comp, \datamem|ram~990 , datamem|ram~990, mips_16, 1
+instance = comp, \datamem|ram~5379 , datamem|ram~5379, mips_16, 1
+instance = comp, \datamem|ram~670feeder , datamem|ram~670feeder, mips_16, 1
+instance = comp, \datamem|ram~670 , datamem|ram~670, mips_16, 1
+instance = comp, \datamem|ram~158 , datamem|ram~158, mips_16, 1
+instance = comp, \datamem|ram~414 , datamem|ram~414, mips_16, 1
+instance = comp, \datamem|ram~926 , datamem|ram~926, mips_16, 1
+instance = comp, \datamem|ram~5378 , datamem|ram~5378, mips_16, 1
+instance = comp, \datamem|ram~5380 , datamem|ram~5380, mips_16, 1
+instance = comp, \datamem|ram~382feeder , datamem|ram~382feeder, mips_16, 1
+instance = comp, \datamem|ram~382 , datamem|ram~382, mips_16, 1
+instance = comp, \datamem|ram~446 , datamem|ram~446, mips_16, 1
+instance = comp, \datamem|ram~318 , datamem|ram~318, mips_16, 1
+instance = comp, \datamem|ram~510 , datamem|ram~510, mips_16, 1
+instance = comp, \datamem|ram~5387 , datamem|ram~5387, mips_16, 1
+instance = comp, \datamem|ram~958 , datamem|ram~958, mips_16, 1
+instance = comp, \datamem|ram~830 , datamem|ram~830, mips_16, 1
+instance = comp, \datamem|ram~894feeder , datamem|ram~894feeder, mips_16, 1
+instance = comp, \datamem|ram~894 , datamem|ram~894, mips_16, 1
+instance = comp, \datamem|ram~1022 , datamem|ram~1022, mips_16, 1
+instance = comp, \datamem|ram~5389 , datamem|ram~5389, mips_16, 1
+instance = comp, \datamem|ram~126 , datamem|ram~126, mips_16, 1
+instance = comp, \datamem|ram~62feeder , datamem|ram~62feeder, mips_16, 1
+instance = comp, \datamem|ram~62 , datamem|ram~62, mips_16, 1
+instance = comp, \datamem|ram~190 , datamem|ram~190, mips_16, 1
+instance = comp, \datamem|ram~254 , datamem|ram~254, mips_16, 1
+instance = comp, \datamem|ram~5386 , datamem|ram~5386, mips_16, 1
+instance = comp, \datamem|ram~702 , datamem|ram~702, mips_16, 1
+instance = comp, \datamem|ram~638 , datamem|ram~638, mips_16, 1
+instance = comp, \datamem|ram~574 , datamem|ram~574, mips_16, 1
+instance = comp, \datamem|ram~766 , datamem|ram~766, mips_16, 1
+instance = comp, \datamem|ram~5388 , datamem|ram~5388, mips_16, 1
+instance = comp, \datamem|ram~5390 , datamem|ram~5390, mips_16, 1
+instance = comp, \datamem|ram~238feeder , datamem|ram~238feeder, mips_16, 1
+instance = comp, \datamem|ram~238 , datamem|ram~238, mips_16, 1
+instance = comp, \datamem|ram~750 , datamem|ram~750, mips_16, 1
+instance = comp, \datamem|ram~494 , datamem|ram~494, mips_16, 1
+instance = comp, \datamem|ram~1006 , datamem|ram~1006, mips_16, 1
+instance = comp, \datamem|ram~5384 , datamem|ram~5384, mips_16, 1
+instance = comp, \datamem|ram~558 , datamem|ram~558, mips_16, 1
+instance = comp, \datamem|ram~814 , datamem|ram~814, mips_16, 1
+instance = comp, \datamem|ram~302 , datamem|ram~302, mips_16, 1
+instance = comp, \datamem|ram~46 , datamem|ram~46, mips_16, 1
+instance = comp, \datamem|ram~5381 , datamem|ram~5381, mips_16, 1
+instance = comp, \datamem|ram~686 , datamem|ram~686, mips_16, 1
+instance = comp, \datamem|ram~430 , datamem|ram~430, mips_16, 1
+instance = comp, \datamem|ram~942feeder , datamem|ram~942feeder, mips_16, 1
+instance = comp, \datamem|ram~942 , datamem|ram~942, mips_16, 1
+instance = comp, \datamem|ram~174feeder , datamem|ram~174feeder, mips_16, 1
+instance = comp, \datamem|ram~174 , datamem|ram~174, mips_16, 1
+instance = comp, \datamem|ram~5383 , datamem|ram~5383, mips_16, 1
+instance = comp, \datamem|ram~366 , datamem|ram~366, mips_16, 1
+instance = comp, \datamem|ram~622 , datamem|ram~622, mips_16, 1
+instance = comp, \datamem|ram~110feeder , datamem|ram~110feeder, mips_16, 1
+instance = comp, \datamem|ram~110 , datamem|ram~110, mips_16, 1
+instance = comp, \datamem|ram~878 , datamem|ram~878, mips_16, 1
+instance = comp, \datamem|ram~5382 , datamem|ram~5382, mips_16, 1
+instance = comp, \datamem|ram~5385 , datamem|ram~5385, mips_16, 1
+instance = comp, \datamem|ram~910 , datamem|ram~910, mips_16, 1
+instance = comp, \datamem|ram~142 , datamem|ram~142, mips_16, 1
+instance = comp, \datamem|ram~398 , datamem|ram~398, mips_16, 1
+instance = comp, \datamem|ram~654 , datamem|ram~654, mips_16, 1
+instance = comp, \datamem|ram~5373 , datamem|ram~5373, mips_16, 1
+instance = comp, \datamem|ram~78 , datamem|ram~78, mips_16, 1
+instance = comp, \datamem|ram~846 , datamem|ram~846, mips_16, 1
+instance = comp, \datamem|ram~590feeder , datamem|ram~590feeder, mips_16, 1
+instance = comp, \datamem|ram~590 , datamem|ram~590, mips_16, 1
+instance = comp, \datamem|ram~334 , datamem|ram~334, mips_16, 1
+instance = comp, \datamem|ram~5372 , datamem|ram~5372, mips_16, 1
+instance = comp, \datamem|ram~462 , datamem|ram~462, mips_16, 1
+instance = comp, \datamem|ram~206feeder , datamem|ram~206feeder, mips_16, 1
+instance = comp, \datamem|ram~206 , datamem|ram~206, mips_16, 1
+instance = comp, \datamem|ram~718 , datamem|ram~718, mips_16, 1
+instance = comp, \datamem|ram~974 , datamem|ram~974, mips_16, 1
+instance = comp, \datamem|ram~5374 , datamem|ram~5374, mips_16, 1
+instance = comp, \datamem|ram~14 , datamem|ram~14, mips_16, 1
+instance = comp, \datamem|ram~526 , datamem|ram~526, mips_16, 1
+instance = comp, \datamem|ram~782 , datamem|ram~782, mips_16, 1
+instance = comp, \datamem|ram~270 , datamem|ram~270, mips_16, 1
+instance = comp, \datamem|ram~5371 , datamem|ram~5371, mips_16, 1
+instance = comp, \datamem|ram~5375 , datamem|ram~5375, mips_16, 1
+instance = comp, \datamem|ram~5391 , datamem|ram~5391, mips_16, 1
+instance = comp, \datamem|ram~3438 , datamem|ram~3438, mips_16, 1
+instance = comp, \datamem|ram~3182feeder , datamem|ram~3182feeder, mips_16, 1
+instance = comp, \datamem|ram~3182 , datamem|ram~3182, mips_16, 1
+instance = comp, \datamem|ram~3694 , datamem|ram~3694, mips_16, 1
+instance = comp, \datamem|ram~3950 , datamem|ram~3950, mips_16, 1
+instance = comp, \datamem|ram~5445 , datamem|ram~5445, mips_16, 1
+instance = comp, \datamem|ram~3246 , datamem|ram~3246, mips_16, 1
+instance = comp, \datamem|ram~3502feeder , datamem|ram~3502feeder, mips_16, 1
+instance = comp, \datamem|ram~3502 , datamem|ram~3502, mips_16, 1
+instance = comp, \datamem|ram~3758 , datamem|ram~3758, mips_16, 1
+instance = comp, \datamem|ram~4014 , datamem|ram~4014, mips_16, 1
+instance = comp, \datamem|ram~5446 , datamem|ram~5446, mips_16, 1
+instance = comp, \datamem|ram~3374 , datamem|ram~3374, mips_16, 1
+instance = comp, \datamem|ram~3630 , datamem|ram~3630, mips_16, 1
+instance = comp, \datamem|ram~3118feeder , datamem|ram~3118feeder, mips_16, 1
+instance = comp, \datamem|ram~3118 , datamem|ram~3118, mips_16, 1
+instance = comp, \datamem|ram~3886 , datamem|ram~3886, mips_16, 1
+instance = comp, \datamem|ram~5444 , datamem|ram~5444, mips_16, 1
+instance = comp, \datamem|ram~3822 , datamem|ram~3822, mips_16, 1
+instance = comp, \datamem|ram~3310feeder , datamem|ram~3310feeder, mips_16, 1
+instance = comp, \datamem|ram~3310 , datamem|ram~3310, mips_16, 1
+instance = comp, \datamem|ram~3566feeder , datamem|ram~3566feeder, mips_16, 1
+instance = comp, \datamem|ram~3566 , datamem|ram~3566, mips_16, 1
+instance = comp, \datamem|ram~4078feeder , datamem|ram~4078feeder, mips_16, 1
+instance = comp, \datamem|ram~4078 , datamem|ram~4078, mips_16, 1
+instance = comp, \datamem|ram~5447 , datamem|ram~5447, mips_16, 1
+instance = comp, \datamem|ram~5448 , datamem|ram~5448, mips_16, 1
+instance = comp, \datamem|ram~3790 , datamem|ram~3790, mips_16, 1
+instance = comp, \datamem|ram~3278 , datamem|ram~3278, mips_16, 1
+instance = comp, \datamem|ram~3534feeder , datamem|ram~3534feeder, mips_16, 1
+instance = comp, \datamem|ram~3534 , datamem|ram~3534, mips_16, 1
+instance = comp, \datamem|ram~4046 , datamem|ram~4046, mips_16, 1
+instance = comp, \datamem|ram~5437 , datamem|ram~5437, mips_16, 1
+instance = comp, \datamem|ram~3086 , datamem|ram~3086, mips_16, 1
+instance = comp, \datamem|ram~3342feeder , datamem|ram~3342feeder, mips_16, 1
+instance = comp, \datamem|ram~3342 , datamem|ram~3342, mips_16, 1
+instance = comp, \datamem|ram~3598feeder , datamem|ram~3598feeder, mips_16, 1
+instance = comp, \datamem|ram~3598 , datamem|ram~3598, mips_16, 1
+instance = comp, \datamem|ram~3854 , datamem|ram~3854, mips_16, 1
+instance = comp, \datamem|ram~5434 , datamem|ram~5434, mips_16, 1
+instance = comp, \datamem|ram~3726 , datamem|ram~3726, mips_16, 1
+instance = comp, \datamem|ram~3214 , datamem|ram~3214, mips_16, 1
+instance = comp, \datamem|ram~3470 , datamem|ram~3470, mips_16, 1
+instance = comp, \datamem|ram~3982 , datamem|ram~3982, mips_16, 1
+instance = comp, \datamem|ram~5436 , datamem|ram~5436, mips_16, 1
+instance = comp, \datamem|ram~3150 , datamem|ram~3150, mips_16, 1
+instance = comp, \datamem|ram~3406 , datamem|ram~3406, mips_16, 1
+instance = comp, \datamem|ram~3662 , datamem|ram~3662, mips_16, 1
+instance = comp, \datamem|ram~3918 , datamem|ram~3918, mips_16, 1
+instance = comp, \datamem|ram~5435 , datamem|ram~5435, mips_16, 1
+instance = comp, \datamem|ram~5438 , datamem|ram~5438, mips_16, 1
+instance = comp, \datamem|ram~3134feeder , datamem|ram~3134feeder, mips_16, 1
+instance = comp, \datamem|ram~3134 , datamem|ram~3134, mips_16, 1
+instance = comp, \datamem|ram~3262feeder , datamem|ram~3262feeder, mips_16, 1
+instance = comp, \datamem|ram~3262 , datamem|ram~3262, mips_16, 1
+instance = comp, \datamem|ram~3198 , datamem|ram~3198, mips_16, 1
+instance = comp, \datamem|ram~3326 , datamem|ram~3326, mips_16, 1
+instance = comp, \datamem|ram~5449 , datamem|ram~5449, mips_16, 1
+instance = comp, \datamem|ram~3518 , datamem|ram~3518, mips_16, 1
+instance = comp, \datamem|ram~3454 , datamem|ram~3454, mips_16, 1
+instance = comp, \datamem|ram~3390 , datamem|ram~3390, mips_16, 1
+instance = comp, \datamem|ram~3582 , datamem|ram~3582, mips_16, 1
+instance = comp, \datamem|ram~5450 , datamem|ram~5450, mips_16, 1
+instance = comp, \datamem|ram~3710 , datamem|ram~3710, mips_16, 1
+instance = comp, \datamem|ram~3774 , datamem|ram~3774, mips_16, 1
+instance = comp, \datamem|ram~3646 , datamem|ram~3646, mips_16, 1
+instance = comp, \datamem|ram~3838 , datamem|ram~3838, mips_16, 1
+instance = comp, \datamem|ram~5451 , datamem|ram~5451, mips_16, 1
+instance = comp, \datamem|ram~4094 , datamem|ram~4094, mips_16, 1
+instance = comp, \datamem|ram~3966 , datamem|ram~3966, mips_16, 1
+instance = comp, \datamem|ram~3902 , datamem|ram~3902, mips_16, 1
+instance = comp, \datamem|ram~4030 , datamem|ram~4030, mips_16, 1
+instance = comp, \datamem|ram~5452 , datamem|ram~5452, mips_16, 1
+instance = comp, \datamem|ram~5453 , datamem|ram~5453, mips_16, 1
+instance = comp, \datamem|ram~3486 , datamem|ram~3486, mips_16, 1
+instance = comp, \datamem|ram~3742 , datamem|ram~3742, mips_16, 1
+instance = comp, \datamem|ram~3230 , datamem|ram~3230, mips_16, 1
+instance = comp, \datamem|ram~3998 , datamem|ram~3998, mips_16, 1
+instance = comp, \datamem|ram~5441 , datamem|ram~5441, mips_16, 1
+instance = comp, \datamem|ram~3422 , datamem|ram~3422, mips_16, 1
+instance = comp, \datamem|ram~3678feeder , datamem|ram~3678feeder, mips_16, 1
+instance = comp, \datamem|ram~3678 , datamem|ram~3678, mips_16, 1
+instance = comp, \datamem|ram~3166 , datamem|ram~3166, mips_16, 1
+instance = comp, \datamem|ram~3934 , datamem|ram~3934, mips_16, 1
+instance = comp, \datamem|ram~5440 , datamem|ram~5440, mips_16, 1
+instance = comp, \datamem|ram~3550 , datamem|ram~3550, mips_16, 1
+instance = comp, \datamem|ram~3806feeder , datamem|ram~3806feeder, mips_16, 1
+instance = comp, \datamem|ram~3806 , datamem|ram~3806, mips_16, 1
+instance = comp, \datamem|ram~3294 , datamem|ram~3294, mips_16, 1
+instance = comp, \datamem|ram~4062 , datamem|ram~4062, mips_16, 1
+instance = comp, \datamem|ram~5442 , datamem|ram~5442, mips_16, 1
+instance = comp, \datamem|ram~3358 , datamem|ram~3358, mips_16, 1
+instance = comp, \datamem|ram~3614feeder , datamem|ram~3614feeder, mips_16, 1
+instance = comp, \datamem|ram~3614 , datamem|ram~3614, mips_16, 1
+instance = comp, \datamem|ram~3102 , datamem|ram~3102, mips_16, 1
+instance = comp, \datamem|ram~3870 , datamem|ram~3870, mips_16, 1
+instance = comp, \datamem|ram~5439 , datamem|ram~5439, mips_16, 1
+instance = comp, \datamem|ram~5443 , datamem|ram~5443, mips_16, 1
+instance = comp, \datamem|ram~5454 , datamem|ram~5454, mips_16, 1
+instance = comp, \datamem|ram~1502 , datamem|ram~1502, mips_16, 1
+instance = comp, \datamem|ram~1518 , datamem|ram~1518, mips_16, 1
+instance = comp, \datamem|ram~1486 , datamem|ram~1486, mips_16, 1
+instance = comp, \datamem|ram~1534 , datamem|ram~1534, mips_16, 1
+instance = comp, \datamem|ram~5408 , datamem|ram~5408, mips_16, 1
+instance = comp, \datamem|ram~2030 , datamem|ram~2030, mips_16, 1
+instance = comp, \datamem|ram~2014feeder , datamem|ram~2014feeder, mips_16, 1
+instance = comp, \datamem|ram~2014 , datamem|ram~2014, mips_16, 1
+instance = comp, \datamem|ram~1998 , datamem|ram~1998, mips_16, 1
+instance = comp, \datamem|ram~2046 , datamem|ram~2046, mips_16, 1
+instance = comp, \datamem|ram~5410 , datamem|ram~5410, mips_16, 1
+instance = comp, \datamem|ram~1742 , datamem|ram~1742, mips_16, 1
+instance = comp, \datamem|ram~1758 , datamem|ram~1758, mips_16, 1
+instance = comp, \datamem|ram~1774 , datamem|ram~1774, mips_16, 1
+instance = comp, \datamem|ram~1790 , datamem|ram~1790, mips_16, 1
+instance = comp, \datamem|ram~5409 , datamem|ram~5409, mips_16, 1
+instance = comp, \datamem|ram~1246feeder , datamem|ram~1246feeder, mips_16, 1
+instance = comp, \datamem|ram~1246 , datamem|ram~1246, mips_16, 1
+instance = comp, \datamem|ram~1262 , datamem|ram~1262, mips_16, 1
+instance = comp, \datamem|ram~1230feeder , datamem|ram~1230feeder, mips_16, 1
+instance = comp, \datamem|ram~1230 , datamem|ram~1230, mips_16, 1
+instance = comp, \datamem|ram~1278 , datamem|ram~1278, mips_16, 1
+instance = comp, \datamem|ram~5407 , datamem|ram~5407, mips_16, 1
+instance = comp, \datamem|ram~5411 , datamem|ram~5411, mips_16, 1
+instance = comp, \datamem|ram~1870 , datamem|ram~1870, mips_16, 1
+instance = comp, \datamem|ram~1902 , datamem|ram~1902, mips_16, 1
+instance = comp, \datamem|ram~1886 , datamem|ram~1886, mips_16, 1
+instance = comp, \datamem|ram~1918 , datamem|ram~1918, mips_16, 1
+instance = comp, \datamem|ram~5400 , datamem|ram~5400, mips_16, 1
+instance = comp, \datamem|ram~1630 , datamem|ram~1630, mips_16, 1
+instance = comp, \datamem|ram~1614feeder , datamem|ram~1614feeder, mips_16, 1
+instance = comp, \datamem|ram~1614 , datamem|ram~1614, mips_16, 1
+instance = comp, \datamem|ram~1646feeder , datamem|ram~1646feeder, mips_16, 1
+instance = comp, \datamem|ram~1646 , datamem|ram~1646, mips_16, 1
+instance = comp, \datamem|ram~1662 , datamem|ram~1662, mips_16, 1
+instance = comp, \datamem|ram~5399 , datamem|ram~5399, mips_16, 1
+instance = comp, \datamem|ram~1102 , datamem|ram~1102, mips_16, 1
+instance = comp, \datamem|ram~1134feeder , datamem|ram~1134feeder, mips_16, 1
+instance = comp, \datamem|ram~1134 , datamem|ram~1134, mips_16, 1
+instance = comp, \datamem|ram~1150feeder , datamem|ram~1150feeder, mips_16, 1
+instance = comp, \datamem|ram~1150 , datamem|ram~1150, mips_16, 1
+instance = comp, \datamem|ram~1118 , datamem|ram~1118, mips_16, 1
+instance = comp, \datamem|ram~5397 , datamem|ram~5397, mips_16, 1
+instance = comp, \datamem|ram~1358 , datamem|ram~1358, mips_16, 1
+instance = comp, \datamem|ram~1390feeder , datamem|ram~1390feeder, mips_16, 1
+instance = comp, \datamem|ram~1390 , datamem|ram~1390, mips_16, 1
+instance = comp, \datamem|ram~1406feeder , datamem|ram~1406feeder, mips_16, 1
+instance = comp, \datamem|ram~1406 , datamem|ram~1406, mips_16, 1
+instance = comp, \datamem|ram~1374 , datamem|ram~1374, mips_16, 1
+instance = comp, \datamem|ram~5398 , datamem|ram~5398, mips_16, 1
+instance = comp, \datamem|ram~5401 , datamem|ram~5401, mips_16, 1
+instance = comp, \datamem|ram~1294 , datamem|ram~1294, mips_16, 1
+instance = comp, \datamem|ram~1342feeder , datamem|ram~1342feeder, mips_16, 1
+instance = comp, \datamem|ram~1342 , datamem|ram~1342, mips_16, 1
+instance = comp, \datamem|ram~1326 , datamem|ram~1326, mips_16, 1
+instance = comp, \datamem|ram~1310 , datamem|ram~1310, mips_16, 1
+instance = comp, \datamem|ram~5393 , datamem|ram~5393, mips_16, 1
+instance = comp, \datamem|ram~1598feeder , datamem|ram~1598feeder, mips_16, 1
+instance = comp, \datamem|ram~1598 , datamem|ram~1598, mips_16, 1
+instance = comp, \datamem|ram~1582feeder , datamem|ram~1582feeder, mips_16, 1
+instance = comp, \datamem|ram~1582 , datamem|ram~1582, mips_16, 1
+instance = comp, \datamem|ram~1550feeder , datamem|ram~1550feeder, mips_16, 1
+instance = comp, \datamem|ram~1550 , datamem|ram~1550, mips_16, 1
+instance = comp, \datamem|ram~1566 , datamem|ram~1566, mips_16, 1
+instance = comp, \datamem|ram~5394 , datamem|ram~5394, mips_16, 1
+instance = comp, \datamem|ram~1806 , datamem|ram~1806, mips_16, 1
+instance = comp, \datamem|ram~1838 , datamem|ram~1838, mips_16, 1
+instance = comp, \datamem|ram~1822 , datamem|ram~1822, mips_16, 1
+instance = comp, \datamem|ram~1854 , datamem|ram~1854, mips_16, 1
+instance = comp, \datamem|ram~5395 , datamem|ram~5395, mips_16, 1
+instance = comp, \datamem|ram~1070 , datamem|ram~1070, mips_16, 1
+instance = comp, \datamem|ram~1038 , datamem|ram~1038, mips_16, 1
+instance = comp, \datamem|ram~1086feeder , datamem|ram~1086feeder, mips_16, 1
+instance = comp, \datamem|ram~1086 , datamem|ram~1086, mips_16, 1
+instance = comp, \datamem|ram~1054 , datamem|ram~1054, mips_16, 1
+instance = comp, \datamem|ram~5392 , datamem|ram~5392, mips_16, 1
+instance = comp, \datamem|ram~5396 , datamem|ram~5396, mips_16, 1
+instance = comp, \datamem|ram~1438 , datamem|ram~1438, mips_16, 1
+instance = comp, \datamem|ram~1182 , datamem|ram~1182, mips_16, 1
+instance = comp, \datamem|ram~1694 , datamem|ram~1694, mips_16, 1
+instance = comp, \datamem|ram~1950 , datamem|ram~1950, mips_16, 1
+instance = comp, \datamem|ram~5403 , datamem|ram~5403, mips_16, 1
+instance = comp, \datamem|ram~1678feeder , datamem|ram~1678feeder, mips_16, 1
+instance = comp, \datamem|ram~1678 , datamem|ram~1678, mips_16, 1
+instance = comp, \datamem|ram~1166feeder , datamem|ram~1166feeder, mips_16, 1
+instance = comp, \datamem|ram~1166 , datamem|ram~1166, mips_16, 1
+instance = comp, \datamem|ram~1422 , datamem|ram~1422, mips_16, 1
+instance = comp, \datamem|ram~1934 , datamem|ram~1934, mips_16, 1
+instance = comp, \datamem|ram~5402 , datamem|ram~5402, mips_16, 1
+instance = comp, \datamem|ram~1198feeder , datamem|ram~1198feeder, mips_16, 1
+instance = comp, \datamem|ram~1198 , datamem|ram~1198, mips_16, 1
+instance = comp, \datamem|ram~1454 , datamem|ram~1454, mips_16, 1
+instance = comp, \datamem|ram~1710feeder , datamem|ram~1710feeder, mips_16, 1
+instance = comp, \datamem|ram~1710 , datamem|ram~1710, mips_16, 1
+instance = comp, \datamem|ram~1966 , datamem|ram~1966, mips_16, 1
+instance = comp, \datamem|ram~5404 , datamem|ram~5404, mips_16, 1
+instance = comp, \datamem|ram~1214 , datamem|ram~1214, mips_16, 1
+instance = comp, \datamem|ram~1726feeder , datamem|ram~1726feeder, mips_16, 1
+instance = comp, \datamem|ram~1726 , datamem|ram~1726, mips_16, 1
+instance = comp, \datamem|ram~1470 , datamem|ram~1470, mips_16, 1
+instance = comp, \datamem|ram~1982 , datamem|ram~1982, mips_16, 1
+instance = comp, \datamem|ram~5405 , datamem|ram~5405, mips_16, 1
+instance = comp, \datamem|ram~5406 , datamem|ram~5406, mips_16, 1
+instance = comp, \datamem|ram~5412 , datamem|ram~5412, mips_16, 1
+instance = comp, \datamem|ram~5455 , datamem|ram~5455, mips_16, 1
+instance = comp, \reg_write_data[14]~17 , reg_write_data[14]~17, mips_16, 1
+instance = comp, \reg_file|reg_array[7][14] , reg_file|reg_array[7][14], mips_16, 1
+instance = comp, \reg_file|reg_array[4][14] , reg_file|reg_array[4][14], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[14]~28 , reg_file|reg_read_data_1[14]~28, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[1]~5 , alu_unit|shifter_right|st2[1]~5, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[1]~6 , alu_unit|shifter_right|st2[1]~6, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[9]~4 , alu_unit|shifter_right|st2[9]~4, mips_16, 1
+instance = comp, \alu_unit|Mux9~2 , alu_unit|Mux9~2, mips_16, 1
+instance = comp, \alu_unit|Mux11~5 , alu_unit|Mux11~5, mips_16, 1
+instance = comp, \alu_unit|Mux9~1 , alu_unit|Mux9~1, mips_16, 1
+instance = comp, \alu_unit|Mux9~6 , alu_unit|Mux9~6, mips_16, 1
+instance = comp, \alu_unit|Mux9~0 , alu_unit|Mux9~0, mips_16, 1
+instance = comp, \alu_unit|Mux9~4 , alu_unit|Mux9~4, mips_16, 1
+instance = comp, \datamem|ram~1334 , datamem|ram~1334, mips_16, 1
+instance = comp, \datamem|ram~1526 , datamem|ram~1526, mips_16, 1
+instance = comp, \datamem|ram~1462 , datamem|ram~1462, mips_16, 1
+instance = comp, \datamem|ram~1398feeder , datamem|ram~1398feeder, mips_16, 1
+instance = comp, \datamem|ram~1398 , datamem|ram~1398, mips_16, 1
+instance = comp, \datamem|ram~4983 , datamem|ram~4983, mips_16, 1
+instance = comp, \datamem|ram~1590 , datamem|ram~1590, mips_16, 1
+instance = comp, \datamem|ram~1654feeder , datamem|ram~1654feeder, mips_16, 1
+instance = comp, \datamem|ram~1654 , datamem|ram~1654, mips_16, 1
+instance = comp, \datamem|ram~1718 , datamem|ram~1718, mips_16, 1
+instance = comp, \datamem|ram~1782 , datamem|ram~1782, mips_16, 1
+instance = comp, \datamem|ram~4984 , datamem|ram~4984, mips_16, 1
+instance = comp, \datamem|ram~1078feeder , datamem|ram~1078feeder, mips_16, 1
+instance = comp, \datamem|ram~1078 , datamem|ram~1078, mips_16, 1
+instance = comp, \datamem|ram~1142 , datamem|ram~1142, mips_16, 1
+instance = comp, \datamem|ram~1206feeder , datamem|ram~1206feeder, mips_16, 1
+instance = comp, \datamem|ram~1206 , datamem|ram~1206, mips_16, 1
+instance = comp, \datamem|ram~1270 , datamem|ram~1270, mips_16, 1
+instance = comp, \datamem|ram~4982 , datamem|ram~4982, mips_16, 1
+instance = comp, \datamem|ram~1846 , datamem|ram~1846, mips_16, 1
+instance = comp, \datamem|ram~1974 , datamem|ram~1974, mips_16, 1
+instance = comp, \datamem|ram~1910 , datamem|ram~1910, mips_16, 1
+instance = comp, \datamem|ram~2038 , datamem|ram~2038, mips_16, 1
+instance = comp, \datamem|ram~4985 , datamem|ram~4985, mips_16, 1
+instance = comp, \datamem|ram~4986 , datamem|ram~4986, mips_16, 1
+instance = comp, \datamem|ram~1542feeder , datamem|ram~1542feeder, mips_16, 1
+instance = comp, \datamem|ram~1542 , datamem|ram~1542, mips_16, 1
+instance = comp, \datamem|ram~1798feeder , datamem|ram~1798feeder, mips_16, 1
+instance = comp, \datamem|ram~1798 , datamem|ram~1798, mips_16, 1
+instance = comp, \datamem|ram~1030feeder , datamem|ram~1030feeder, mips_16, 1
+instance = comp, \datamem|ram~1030 , datamem|ram~1030, mips_16, 1
+instance = comp, \datamem|ram~1286 , datamem|ram~1286, mips_16, 1
+instance = comp, \datamem|ram~4967 , datamem|ram~4967, mips_16, 1
+instance = comp, \datamem|ram~1222 , datamem|ram~1222, mips_16, 1
+instance = comp, \datamem|ram~1734feeder , datamem|ram~1734feeder, mips_16, 1
+instance = comp, \datamem|ram~1734 , datamem|ram~1734, mips_16, 1
+instance = comp, \datamem|ram~1478feeder , datamem|ram~1478feeder, mips_16, 1
+instance = comp, \datamem|ram~1478 , datamem|ram~1478, mips_16, 1
+instance = comp, \datamem|ram~1990 , datamem|ram~1990, mips_16, 1
+instance = comp, \datamem|ram~4970 , datamem|ram~4970, mips_16, 1
+instance = comp, \datamem|ram~1094feeder , datamem|ram~1094feeder, mips_16, 1
+instance = comp, \datamem|ram~1094 , datamem|ram~1094, mips_16, 1
+instance = comp, \datamem|ram~1862 , datamem|ram~1862, mips_16, 1
+instance = comp, \datamem|ram~1606 , datamem|ram~1606, mips_16, 1
+instance = comp, \datamem|ram~1350 , datamem|ram~1350, mips_16, 1
+instance = comp, \datamem|ram~4968 , datamem|ram~4968, mips_16, 1
+instance = comp, \datamem|ram~1414 , datamem|ram~1414, mips_16, 1
+instance = comp, \datamem|ram~1158 , datamem|ram~1158, mips_16, 1
+instance = comp, \datamem|ram~1670feeder , datamem|ram~1670feeder, mips_16, 1
+instance = comp, \datamem|ram~1670 , datamem|ram~1670, mips_16, 1
+instance = comp, \datamem|ram~1926 , datamem|ram~1926, mips_16, 1
+instance = comp, \datamem|ram~4969 , datamem|ram~4969, mips_16, 1
+instance = comp, \datamem|ram~4971 , datamem|ram~4971, mips_16, 1
+instance = comp, \datamem|ram~1750feeder , datamem|ram~1750feeder, mips_16, 1
+instance = comp, \datamem|ram~1750 , datamem|ram~1750, mips_16, 1
+instance = comp, \datamem|ram~1238feeder , datamem|ram~1238feeder, mips_16, 1
+instance = comp, \datamem|ram~1238 , datamem|ram~1238, mips_16, 1
+instance = comp, \datamem|ram~1494feeder , datamem|ram~1494feeder, mips_16, 1
+instance = comp, \datamem|ram~1494 , datamem|ram~1494, mips_16, 1
+instance = comp, \datamem|ram~2006 , datamem|ram~2006, mips_16, 1
+instance = comp, \datamem|ram~4975 , datamem|ram~4975, mips_16, 1
+instance = comp, \datamem|ram~1622 , datamem|ram~1622, mips_16, 1
+instance = comp, \datamem|ram~1110feeder , datamem|ram~1110feeder, mips_16, 1
+instance = comp, \datamem|ram~1110 , datamem|ram~1110, mips_16, 1
+instance = comp, \datamem|ram~1878 , datamem|ram~1878, mips_16, 1
+instance = comp, \datamem|ram~1366 , datamem|ram~1366, mips_16, 1
+instance = comp, \datamem|ram~4973 , datamem|ram~4973, mips_16, 1
+instance = comp, \datamem|ram~1046feeder , datamem|ram~1046feeder, mips_16, 1
+instance = comp, \datamem|ram~1046 , datamem|ram~1046, mips_16, 1
+instance = comp, \datamem|ram~1814feeder , datamem|ram~1814feeder, mips_16, 1
+instance = comp, \datamem|ram~1814 , datamem|ram~1814, mips_16, 1
+instance = comp, \datamem|ram~1558feeder , datamem|ram~1558feeder, mips_16, 1
+instance = comp, \datamem|ram~1558 , datamem|ram~1558, mips_16, 1
+instance = comp, \datamem|ram~1302 , datamem|ram~1302, mips_16, 1
+instance = comp, \datamem|ram~4972 , datamem|ram~4972, mips_16, 1
+instance = comp, \datamem|ram~1430feeder , datamem|ram~1430feeder, mips_16, 1
+instance = comp, \datamem|ram~1430 , datamem|ram~1430, mips_16, 1
+instance = comp, \datamem|ram~1174feeder , datamem|ram~1174feeder, mips_16, 1
+instance = comp, \datamem|ram~1174 , datamem|ram~1174, mips_16, 1
+instance = comp, \datamem|ram~1686 , datamem|ram~1686, mips_16, 1
+instance = comp, \datamem|ram~1942 , datamem|ram~1942, mips_16, 1
+instance = comp, \datamem|ram~4974 , datamem|ram~4974, mips_16, 1
+instance = comp, \datamem|ram~4976 , datamem|ram~4976, mips_16, 1
+instance = comp, \datamem|ram~1510feeder , datamem|ram~1510feeder, mips_16, 1
+instance = comp, \datamem|ram~1510 , datamem|ram~1510, mips_16, 1
+instance = comp, \datamem|ram~1254feeder , datamem|ram~1254feeder, mips_16, 1
+instance = comp, \datamem|ram~1254 , datamem|ram~1254, mips_16, 1
+instance = comp, \datamem|ram~1766 , datamem|ram~1766, mips_16, 1
+instance = comp, \datamem|ram~2022 , datamem|ram~2022, mips_16, 1
+instance = comp, \datamem|ram~4980 , datamem|ram~4980, mips_16, 1
+instance = comp, \datamem|ram~1446feeder , datamem|ram~1446feeder, mips_16, 1
+instance = comp, \datamem|ram~1446 , datamem|ram~1446, mips_16, 1
+instance = comp, \datamem|ram~1702 , datamem|ram~1702, mips_16, 1
+instance = comp, \datamem|ram~1190 , datamem|ram~1190, mips_16, 1
+instance = comp, \datamem|ram~1958 , datamem|ram~1958, mips_16, 1
+instance = comp, \datamem|ram~4979 , datamem|ram~4979, mips_16, 1
+instance = comp, \datamem|ram~1382 , datamem|ram~1382, mips_16, 1
+instance = comp, \datamem|ram~1638 , datamem|ram~1638, mips_16, 1
+instance = comp, \datamem|ram~1126feeder , datamem|ram~1126feeder, mips_16, 1
+instance = comp, \datamem|ram~1126 , datamem|ram~1126, mips_16, 1
+instance = comp, \datamem|ram~1894 , datamem|ram~1894, mips_16, 1
+instance = comp, \datamem|ram~4978 , datamem|ram~4978, mips_16, 1
+instance = comp, \datamem|ram~1062feeder , datamem|ram~1062feeder, mips_16, 1
+instance = comp, \datamem|ram~1062 , datamem|ram~1062, mips_16, 1
+instance = comp, \datamem|ram~1574 , datamem|ram~1574, mips_16, 1
+instance = comp, \datamem|ram~1318feeder , datamem|ram~1318feeder, mips_16, 1
+instance = comp, \datamem|ram~1318 , datamem|ram~1318, mips_16, 1
+instance = comp, \datamem|ram~1830 , datamem|ram~1830, mips_16, 1
+instance = comp, \datamem|ram~4977 , datamem|ram~4977, mips_16, 1
+instance = comp, \datamem|ram~4981 , datamem|ram~4981, mips_16, 1
+instance = comp, \datamem|ram~4987 , datamem|ram~4987, mips_16, 1
+instance = comp, \datamem|ram~3222feeder , datamem|ram~3222feeder, mips_16, 1
+instance = comp, \datamem|ram~3222 , datamem|ram~3222, mips_16, 1
+instance = comp, \datamem|ram~3158feeder , datamem|ram~3158feeder, mips_16, 1
+instance = comp, \datamem|ram~3158 , datamem|ram~3158, mips_16, 1
+instance = comp, \datamem|ram~3094 , datamem|ram~3094, mips_16, 1
+instance = comp, \datamem|ram~3286 , datamem|ram~3286, mips_16, 1
+instance = comp, \datamem|ram~5010 , datamem|ram~5010, mips_16, 1
+instance = comp, \datamem|ram~3110feeder , datamem|ram~3110feeder, mips_16, 1
+instance = comp, \datamem|ram~3110 , datamem|ram~3110, mips_16, 1
+instance = comp, \datamem|ram~3238 , datamem|ram~3238, mips_16, 1
+instance = comp, \datamem|ram~3174feeder , datamem|ram~3174feeder, mips_16, 1
+instance = comp, \datamem|ram~3174 , datamem|ram~3174, mips_16, 1
+instance = comp, \datamem|ram~3302 , datamem|ram~3302, mips_16, 1
+instance = comp, \datamem|ram~5011 , datamem|ram~5011, mips_16, 1
+instance = comp, \datamem|ram~3078feeder , datamem|ram~3078feeder, mips_16, 1
+instance = comp, \datamem|ram~3078 , datamem|ram~3078, mips_16, 1
+instance = comp, \datamem|ram~3142feeder , datamem|ram~3142feeder, mips_16, 1
+instance = comp, \datamem|ram~3142 , datamem|ram~3142, mips_16, 1
+instance = comp, \datamem|ram~3206feeder , datamem|ram~3206feeder, mips_16, 1
+instance = comp, \datamem|ram~3206 , datamem|ram~3206, mips_16, 1
+instance = comp, \datamem|ram~3270 , datamem|ram~3270, mips_16, 1
+instance = comp, \datamem|ram~5009 , datamem|ram~5009, mips_16, 1
+instance = comp, \datamem|ram~3126 , datamem|ram~3126, mips_16, 1
+instance = comp, \datamem|ram~3254 , datamem|ram~3254, mips_16, 1
+instance = comp, \datamem|ram~3190 , datamem|ram~3190, mips_16, 1
+instance = comp, \datamem|ram~3318 , datamem|ram~3318, mips_16, 1
+instance = comp, \datamem|ram~5012 , datamem|ram~5012, mips_16, 1
+instance = comp, \datamem|ram~5013 , datamem|ram~5013, mips_16, 1
+instance = comp, \datamem|ram~3414feeder , datamem|ram~3414feeder, mips_16, 1
+instance = comp, \datamem|ram~3414 , datamem|ram~3414, mips_16, 1
+instance = comp, \datamem|ram~3478feeder , datamem|ram~3478feeder, mips_16, 1
+instance = comp, \datamem|ram~3478 , datamem|ram~3478, mips_16, 1
+instance = comp, \datamem|ram~3350feeder , datamem|ram~3350feeder, mips_16, 1
+instance = comp, \datamem|ram~3350 , datamem|ram~3350, mips_16, 1
+instance = comp, \datamem|ram~3542 , datamem|ram~3542, mips_16, 1
+instance = comp, \datamem|ram~5015 , datamem|ram~5015, mips_16, 1
+instance = comp, \datamem|ram~3430feeder , datamem|ram~3430feeder, mips_16, 1
+instance = comp, \datamem|ram~3430 , datamem|ram~3430, mips_16, 1
+instance = comp, \datamem|ram~3494 , datamem|ram~3494, mips_16, 1
+instance = comp, \datamem|ram~3366 , datamem|ram~3366, mips_16, 1
+instance = comp, \datamem|ram~3558 , datamem|ram~3558, mips_16, 1
+instance = comp, \datamem|ram~5016 , datamem|ram~5016, mips_16, 1
+instance = comp, \datamem|ram~3462feeder , datamem|ram~3462feeder, mips_16, 1
+instance = comp, \datamem|ram~3462 , datamem|ram~3462, mips_16, 1
+instance = comp, \datamem|ram~3398feeder , datamem|ram~3398feeder, mips_16, 1
+instance = comp, \datamem|ram~3398 , datamem|ram~3398, mips_16, 1
+instance = comp, \datamem|ram~3334 , datamem|ram~3334, mips_16, 1
+instance = comp, \datamem|ram~3526 , datamem|ram~3526, mips_16, 1
+instance = comp, \datamem|ram~5014 , datamem|ram~5014, mips_16, 1
+instance = comp, \datamem|ram~3446feeder , datamem|ram~3446feeder, mips_16, 1
+instance = comp, \datamem|ram~3446 , datamem|ram~3446, mips_16, 1
+instance = comp, \datamem|ram~3382 , datamem|ram~3382, mips_16, 1
+instance = comp, \datamem|ram~3510feeder , datamem|ram~3510feeder, mips_16, 1
+instance = comp, \datamem|ram~3510 , datamem|ram~3510, mips_16, 1
+instance = comp, \datamem|ram~3574 , datamem|ram~3574, mips_16, 1
+instance = comp, \datamem|ram~5017 , datamem|ram~5017, mips_16, 1
+instance = comp, \datamem|ram~5018 , datamem|ram~5018, mips_16, 1
+instance = comp, \datamem|ram~3878 , datamem|ram~3878, mips_16, 1
+instance = comp, \datamem|ram~3846feeder , datamem|ram~3846feeder, mips_16, 1
+instance = comp, \datamem|ram~3846 , datamem|ram~3846, mips_16, 1
+instance = comp, \datamem|ram~3862 , datamem|ram~3862, mips_16, 1
+instance = comp, \datamem|ram~3894 , datamem|ram~3894, mips_16, 1
+instance = comp, \datamem|ram~5024 , datamem|ram~5024, mips_16, 1
+instance = comp, \datamem|ram~4006 , datamem|ram~4006, mips_16, 1
+instance = comp, \datamem|ram~3974 , datamem|ram~3974, mips_16, 1
+instance = comp, \datamem|ram~3990 , datamem|ram~3990, mips_16, 1
+instance = comp, \datamem|ram~4022 , datamem|ram~4022, mips_16, 1
+instance = comp, \datamem|ram~5026 , datamem|ram~5026, mips_16, 1
+instance = comp, \datamem|ram~3942feeder , datamem|ram~3942feeder, mips_16, 1
+instance = comp, \datamem|ram~3942 , datamem|ram~3942, mips_16, 1
+instance = comp, \datamem|ram~3926 , datamem|ram~3926, mips_16, 1
+instance = comp, \datamem|ram~3910 , datamem|ram~3910, mips_16, 1
+instance = comp, \datamem|ram~3958 , datamem|ram~3958, mips_16, 1
+instance = comp, \datamem|ram~5025 , datamem|ram~5025, mips_16, 1
+instance = comp, \datamem|ram~4038feeder , datamem|ram~4038feeder, mips_16, 1
+instance = comp, \datamem|ram~4038 , datamem|ram~4038, mips_16, 1
+instance = comp, \datamem|ram~4070feeder , datamem|ram~4070feeder, mips_16, 1
+instance = comp, \datamem|ram~4070 , datamem|ram~4070, mips_16, 1
+instance = comp, \datamem|ram~4054 , datamem|ram~4054, mips_16, 1
+instance = comp, \datamem|ram~4086 , datamem|ram~4086, mips_16, 1
+instance = comp, \datamem|ram~5027 , datamem|ram~5027, mips_16, 1
+instance = comp, \datamem|ram~5028 , datamem|ram~5028, mips_16, 1
+instance = comp, \datamem|ram~3622feeder , datamem|ram~3622feeder, mips_16, 1
+instance = comp, \datamem|ram~3622 , datamem|ram~3622, mips_16, 1
+instance = comp, \datamem|ram~3750 , datamem|ram~3750, mips_16, 1
+instance = comp, \datamem|ram~3686 , datamem|ram~3686, mips_16, 1
+instance = comp, \datamem|ram~3814 , datamem|ram~3814, mips_16, 1
+instance = comp, \datamem|ram~5021 , datamem|ram~5021, mips_16, 1
+instance = comp, \datamem|ram~3638 , datamem|ram~3638, mips_16, 1
+instance = comp, \datamem|ram~3702 , datamem|ram~3702, mips_16, 1
+instance = comp, \datamem|ram~3766feeder , datamem|ram~3766feeder, mips_16, 1
+instance = comp, \datamem|ram~3766 , datamem|ram~3766, mips_16, 1
+instance = comp, \datamem|ram~3830 , datamem|ram~3830, mips_16, 1
+instance = comp, \datamem|ram~5022 , datamem|ram~5022, mips_16, 1
+instance = comp, \datamem|ram~3654feeder , datamem|ram~3654feeder, mips_16, 1
+instance = comp, \datamem|ram~3654 , datamem|ram~3654, mips_16, 1
+instance = comp, \datamem|ram~3590feeder , datamem|ram~3590feeder, mips_16, 1
+instance = comp, \datamem|ram~3590 , datamem|ram~3590, mips_16, 1
+instance = comp, \datamem|ram~3718feeder , datamem|ram~3718feeder, mips_16, 1
+instance = comp, \datamem|ram~3718 , datamem|ram~3718, mips_16, 1
+instance = comp, \datamem|ram~3782 , datamem|ram~3782, mips_16, 1
+instance = comp, \datamem|ram~5019 , datamem|ram~5019, mips_16, 1
+instance = comp, \datamem|ram~3734feeder , datamem|ram~3734feeder, mips_16, 1
+instance = comp, \datamem|ram~3734 , datamem|ram~3734, mips_16, 1
+instance = comp, \datamem|ram~3670 , datamem|ram~3670, mips_16, 1
+instance = comp, \datamem|ram~3606feeder , datamem|ram~3606feeder, mips_16, 1
+instance = comp, \datamem|ram~3606 , datamem|ram~3606, mips_16, 1
+instance = comp, \datamem|ram~3798 , datamem|ram~3798, mips_16, 1
+instance = comp, \datamem|ram~5020 , datamem|ram~5020, mips_16, 1
+instance = comp, \datamem|ram~5023 , datamem|ram~5023, mips_16, 1
+instance = comp, \datamem|ram~5029 , datamem|ram~5029, mips_16, 1
+instance = comp, \datamem|ram~3014feeder , datamem|ram~3014feeder, mips_16, 1
+instance = comp, \datamem|ram~3014 , datamem|ram~3014, mips_16, 1
+instance = comp, \datamem|ram~3030feeder , datamem|ram~3030feeder, mips_16, 1
+instance = comp, \datamem|ram~3030 , datamem|ram~3030, mips_16, 1
+instance = comp, \datamem|ram~3046 , datamem|ram~3046, mips_16, 1
+instance = comp, \datamem|ram~3062 , datamem|ram~3062, mips_16, 1
+instance = comp, \datamem|ram~5006 , datamem|ram~5006, mips_16, 1
+instance = comp, \datamem|ram~2534feeder , datamem|ram~2534feeder, mips_16, 1
+instance = comp, \datamem|ram~2534 , datamem|ram~2534, mips_16, 1
+instance = comp, \datamem|ram~2518 , datamem|ram~2518, mips_16, 1
+instance = comp, \datamem|ram~2502 , datamem|ram~2502, mips_16, 1
+instance = comp, \datamem|ram~2550 , datamem|ram~2550, mips_16, 1
+instance = comp, \datamem|ram~5004 , datamem|ram~5004, mips_16, 1
+instance = comp, \datamem|ram~2774 , datamem|ram~2774, mips_16, 1
+instance = comp, \datamem|ram~2790 , datamem|ram~2790, mips_16, 1
+instance = comp, \datamem|ram~2758 , datamem|ram~2758, mips_16, 1
+instance = comp, \datamem|ram~2806 , datamem|ram~2806, mips_16, 1
+instance = comp, \datamem|ram~5005 , datamem|ram~5005, mips_16, 1
+instance = comp, \datamem|ram~2246 , datamem|ram~2246, mips_16, 1
+instance = comp, \datamem|ram~2278feeder , datamem|ram~2278feeder, mips_16, 1
+instance = comp, \datamem|ram~2278 , datamem|ram~2278, mips_16, 1
+instance = comp, \datamem|ram~2262 , datamem|ram~2262, mips_16, 1
+instance = comp, \datamem|ram~2294 , datamem|ram~2294, mips_16, 1
+instance = comp, \datamem|ram~5003 , datamem|ram~5003, mips_16, 1
+instance = comp, \datamem|ram~5007 , datamem|ram~5007, mips_16, 1
+instance = comp, \datamem|ram~2838 , datamem|ram~2838, mips_16, 1
+instance = comp, \datamem|ram~2854feeder , datamem|ram~2854feeder, mips_16, 1
+instance = comp, \datamem|ram~2854 , datamem|ram~2854, mips_16, 1
+instance = comp, \datamem|ram~2822feeder , datamem|ram~2822feeder, mips_16, 1
+instance = comp, \datamem|ram~2822 , datamem|ram~2822, mips_16, 1
+instance = comp, \datamem|ram~2870 , datamem|ram~2870, mips_16, 1
+instance = comp, \datamem|ram~4991 , datamem|ram~4991, mips_16, 1
+instance = comp, \datamem|ram~2358 , datamem|ram~2358, mips_16, 1
+instance = comp, \datamem|ram~2342feeder , datamem|ram~2342feeder, mips_16, 1
+instance = comp, \datamem|ram~2342 , datamem|ram~2342, mips_16, 1
+instance = comp, \datamem|ram~2326feeder , datamem|ram~2326feeder, mips_16, 1
+instance = comp, \datamem|ram~2326 , datamem|ram~2326, mips_16, 1
+instance = comp, \datamem|ram~2310 , datamem|ram~2310, mips_16, 1
+instance = comp, \datamem|ram~4989 , datamem|ram~4989, mips_16, 1
+instance = comp, \datamem|ram~2566 , datamem|ram~2566, mips_16, 1
+instance = comp, \datamem|ram~2598 , datamem|ram~2598, mips_16, 1
+instance = comp, \datamem|ram~2582 , datamem|ram~2582, mips_16, 1
+instance = comp, \datamem|ram~2614 , datamem|ram~2614, mips_16, 1
+instance = comp, \datamem|ram~4990 , datamem|ram~4990, mips_16, 1
+instance = comp, \datamem|ram~2054 , datamem|ram~2054, mips_16, 1
+instance = comp, \datamem|ram~2070feeder , datamem|ram~2070feeder, mips_16, 1
+instance = comp, \datamem|ram~2070 , datamem|ram~2070, mips_16, 1
+instance = comp, \datamem|ram~2086 , datamem|ram~2086, mips_16, 1
+instance = comp, \datamem|ram~2102 , datamem|ram~2102, mips_16, 1
+instance = comp, \datamem|ram~4988 , datamem|ram~4988, mips_16, 1
+instance = comp, \datamem|ram~4992 , datamem|ram~4992, mips_16, 1
+instance = comp, \datamem|ram~2198 , datamem|ram~2198, mips_16, 1
+instance = comp, \datamem|ram~2966 , datamem|ram~2966, mips_16, 1
+instance = comp, \datamem|ram~2454feeder , datamem|ram~2454feeder, mips_16, 1
+instance = comp, \datamem|ram~2454 , datamem|ram~2454, mips_16, 1
+instance = comp, \datamem|ram~2710 , datamem|ram~2710, mips_16, 1
+instance = comp, \datamem|ram~4999 , datamem|ram~4999, mips_16, 1
+instance = comp, \datamem|ram~2438feeder , datamem|ram~2438feeder, mips_16, 1
+instance = comp, \datamem|ram~2438 , datamem|ram~2438, mips_16, 1
+instance = comp, \datamem|ram~2694feeder , datamem|ram~2694feeder, mips_16, 1
+instance = comp, \datamem|ram~2694 , datamem|ram~2694, mips_16, 1
+instance = comp, \datamem|ram~2182 , datamem|ram~2182, mips_16, 1
+instance = comp, \datamem|ram~2950 , datamem|ram~2950, mips_16, 1
+instance = comp, \datamem|ram~4998 , datamem|ram~4998, mips_16, 1
+instance = comp, \datamem|ram~2742 , datamem|ram~2742, mips_16, 1
+instance = comp, \datamem|ram~2230 , datamem|ram~2230, mips_16, 1
+instance = comp, \datamem|ram~2486feeder , datamem|ram~2486feeder, mips_16, 1
+instance = comp, \datamem|ram~2486 , datamem|ram~2486, mips_16, 1
+instance = comp, \datamem|ram~2998 , datamem|ram~2998, mips_16, 1
+instance = comp, \datamem|ram~5001 , datamem|ram~5001, mips_16, 1
+instance = comp, \datamem|ram~2726 , datamem|ram~2726, mips_16, 1
+instance = comp, \datamem|ram~2470feeder , datamem|ram~2470feeder, mips_16, 1
+instance = comp, \datamem|ram~2470 , datamem|ram~2470, mips_16, 1
+instance = comp, \datamem|ram~2214 , datamem|ram~2214, mips_16, 1
+instance = comp, \datamem|ram~2982 , datamem|ram~2982, mips_16, 1
+instance = comp, \datamem|ram~5000 , datamem|ram~5000, mips_16, 1
+instance = comp, \datamem|ram~5002 , datamem|ram~5002, mips_16, 1
+instance = comp, \datamem|ram~2390 , datamem|ram~2390, mips_16, 1
+instance = comp, \datamem|ram~2406 , datamem|ram~2406, mips_16, 1
+instance = comp, \datamem|ram~2374feeder , datamem|ram~2374feeder, mips_16, 1
+instance = comp, \datamem|ram~2374 , datamem|ram~2374, mips_16, 1
+instance = comp, \datamem|ram~2422 , datamem|ram~2422, mips_16, 1
+instance = comp, \datamem|ram~4994 , datamem|ram~4994, mips_16, 1
+instance = comp, \datamem|ram~2150feeder , datamem|ram~2150feeder, mips_16, 1
+instance = comp, \datamem|ram~2150 , datamem|ram~2150, mips_16, 1
+instance = comp, \datamem|ram~2134 , datamem|ram~2134, mips_16, 1
+instance = comp, \datamem|ram~2118 , datamem|ram~2118, mips_16, 1
+instance = comp, \datamem|ram~2166 , datamem|ram~2166, mips_16, 1
+instance = comp, \datamem|ram~4993 , datamem|ram~4993, mips_16, 1
+instance = comp, \datamem|ram~2902feeder , datamem|ram~2902feeder, mips_16, 1
+instance = comp, \datamem|ram~2902 , datamem|ram~2902, mips_16, 1
+instance = comp, \datamem|ram~2918 , datamem|ram~2918, mips_16, 1
+instance = comp, \datamem|ram~2934 , datamem|ram~2934, mips_16, 1
+instance = comp, \datamem|ram~2886 , datamem|ram~2886, mips_16, 1
+instance = comp, \datamem|ram~4996 , datamem|ram~4996, mips_16, 1
+instance = comp, \datamem|ram~2630 , datamem|ram~2630, mips_16, 1
+instance = comp, \datamem|ram~2646feeder , datamem|ram~2646feeder, mips_16, 1
+instance = comp, \datamem|ram~2646 , datamem|ram~2646, mips_16, 1
+instance = comp, \datamem|ram~2662 , datamem|ram~2662, mips_16, 1
+instance = comp, \datamem|ram~2678 , datamem|ram~2678, mips_16, 1
+instance = comp, \datamem|ram~4995 , datamem|ram~4995, mips_16, 1
+instance = comp, \datamem|ram~4997 , datamem|ram~4997, mips_16, 1
+instance = comp, \datamem|ram~5008 , datamem|ram~5008, mips_16, 1
+instance = comp, \datamem|ram~38 , datamem|ram~38, mips_16, 1
+instance = comp, \datamem|ram~102feeder , datamem|ram~102feeder, mips_16, 1
+instance = comp, \datamem|ram~102 , datamem|ram~102, mips_16, 1
+instance = comp, \datamem|ram~166 , datamem|ram~166, mips_16, 1
+instance = comp, \datamem|ram~230 , datamem|ram~230, mips_16, 1
+instance = comp, \datamem|ram~4948 , datamem|ram~4948, mips_16, 1
+instance = comp, \datamem|ram~54 , datamem|ram~54, mips_16, 1
+instance = comp, \datamem|ram~118feeder , datamem|ram~118feeder, mips_16, 1
+instance = comp, \datamem|ram~118 , datamem|ram~118, mips_16, 1
+instance = comp, \datamem|ram~182feeder , datamem|ram~182feeder, mips_16, 1
+instance = comp, \datamem|ram~182 , datamem|ram~182, mips_16, 1
+instance = comp, \datamem|ram~246 , datamem|ram~246, mips_16, 1
+instance = comp, \datamem|ram~4949 , datamem|ram~4949, mips_16, 1
+instance = comp, \datamem|ram~22 , datamem|ram~22, mips_16, 1
+instance = comp, \datamem|ram~214 , datamem|ram~214, mips_16, 1
+instance = comp, \datamem|ram~150 , datamem|ram~150, mips_16, 1
+instance = comp, \datamem|ram~86 , datamem|ram~86, mips_16, 1
+instance = comp, \datamem|ram~4947 , datamem|ram~4947, mips_16, 1
+instance = comp, \datamem|ram~134 , datamem|ram~134, mips_16, 1
+instance = comp, \datamem|ram~198feeder , datamem|ram~198feeder, mips_16, 1
+instance = comp, \datamem|ram~198 , datamem|ram~198, mips_16, 1
+instance = comp, \datamem|ram~6feeder , datamem|ram~6feeder, mips_16, 1
+instance = comp, \datamem|ram~6 , datamem|ram~6, mips_16, 1
+instance = comp, \datamem|ram~70 , datamem|ram~70, mips_16, 1
+instance = comp, \datamem|ram~4946 , datamem|ram~4946, mips_16, 1
+instance = comp, \datamem|ram~4950 , datamem|ram~4950, mips_16, 1
+instance = comp, \datamem|ram~358feeder , datamem|ram~358feeder, mips_16, 1
+instance = comp, \datamem|ram~358 , datamem|ram~358, mips_16, 1
+instance = comp, \datamem|ram~294 , datamem|ram~294, mips_16, 1
+instance = comp, \datamem|ram~422feeder , datamem|ram~422feeder, mips_16, 1
+instance = comp, \datamem|ram~422 , datamem|ram~422, mips_16, 1
+instance = comp, \datamem|ram~486 , datamem|ram~486, mips_16, 1
+instance = comp, \datamem|ram~4953 , datamem|ram~4953, mips_16, 1
+instance = comp, \datamem|ram~262 , datamem|ram~262, mips_16, 1
+instance = comp, \datamem|ram~390 , datamem|ram~390, mips_16, 1
+instance = comp, \datamem|ram~454 , datamem|ram~454, mips_16, 1
+instance = comp, \datamem|ram~326 , datamem|ram~326, mips_16, 1
+instance = comp, \datamem|ram~4951 , datamem|ram~4951, mips_16, 1
+instance = comp, \datamem|ram~406 , datamem|ram~406, mips_16, 1
+instance = comp, \datamem|ram~470 , datamem|ram~470, mips_16, 1
+instance = comp, \datamem|ram~278 , datamem|ram~278, mips_16, 1
+instance = comp, \datamem|ram~342 , datamem|ram~342, mips_16, 1
+instance = comp, \datamem|ram~4952 , datamem|ram~4952, mips_16, 1
+instance = comp, \datamem|ram~438 , datamem|ram~438, mips_16, 1
+instance = comp, \datamem|ram~374feeder , datamem|ram~374feeder, mips_16, 1
+instance = comp, \datamem|ram~374 , datamem|ram~374, mips_16, 1
+instance = comp, \datamem|ram~310feeder , datamem|ram~310feeder, mips_16, 1
+instance = comp, \datamem|ram~310 , datamem|ram~310, mips_16, 1
+instance = comp, \datamem|ram~502 , datamem|ram~502, mips_16, 1
+instance = comp, \datamem|ram~4954 , datamem|ram~4954, mips_16, 1
+instance = comp, \datamem|ram~4955 , datamem|ram~4955, mips_16, 1
+instance = comp, \datamem|ram~678 , datamem|ram~678, mips_16, 1
+instance = comp, \datamem|ram~614 , datamem|ram~614, mips_16, 1
+instance = comp, \datamem|ram~550 , datamem|ram~550, mips_16, 1
+instance = comp, \datamem|ram~742 , datamem|ram~742, mips_16, 1
+instance = comp, \datamem|ram~4958 , datamem|ram~4958, mips_16, 1
+instance = comp, \datamem|ram~566 , datamem|ram~566, mips_16, 1
+instance = comp, \datamem|ram~630 , datamem|ram~630, mips_16, 1
+instance = comp, \datamem|ram~694 , datamem|ram~694, mips_16, 1
+instance = comp, \datamem|ram~758 , datamem|ram~758, mips_16, 1
+instance = comp, \datamem|ram~4959 , datamem|ram~4959, mips_16, 1
+instance = comp, \datamem|ram~582feeder , datamem|ram~582feeder, mips_16, 1
+instance = comp, \datamem|ram~582 , datamem|ram~582, mips_16, 1
+instance = comp, \datamem|ram~646feeder , datamem|ram~646feeder, mips_16, 1
+instance = comp, \datamem|ram~646 , datamem|ram~646, mips_16, 1
+instance = comp, \datamem|ram~518 , datamem|ram~518, mips_16, 1
+instance = comp, \datamem|ram~710 , datamem|ram~710, mips_16, 1
+instance = comp, \datamem|ram~4956 , datamem|ram~4956, mips_16, 1
+instance = comp, \datamem|ram~534 , datamem|ram~534, mips_16, 1
+instance = comp, \datamem|ram~662 , datamem|ram~662, mips_16, 1
+instance = comp, \datamem|ram~598feeder , datamem|ram~598feeder, mips_16, 1
+instance = comp, \datamem|ram~598 , datamem|ram~598, mips_16, 1
+instance = comp, \datamem|ram~726 , datamem|ram~726, mips_16, 1
+instance = comp, \datamem|ram~4957 , datamem|ram~4957, mips_16, 1
+instance = comp, \datamem|ram~4960 , datamem|ram~4960, mips_16, 1
+instance = comp, \datamem|ram~934 , datamem|ram~934, mips_16, 1
+instance = comp, \datamem|ram~918feeder , datamem|ram~918feeder, mips_16, 1
+instance = comp, \datamem|ram~918 , datamem|ram~918, mips_16, 1
+instance = comp, \datamem|ram~902feeder , datamem|ram~902feeder, mips_16, 1
+instance = comp, \datamem|ram~902 , datamem|ram~902, mips_16, 1
+instance = comp, \datamem|ram~950 , datamem|ram~950, mips_16, 1
+instance = comp, \datamem|ram~4963 , datamem|ram~4963, mips_16, 1
+instance = comp, \datamem|ram~998 , datamem|ram~998, mips_16, 1
+instance = comp, \datamem|ram~982 , datamem|ram~982, mips_16, 1
+instance = comp, \datamem|ram~966 , datamem|ram~966, mips_16, 1
+instance = comp, \datamem|ram~1014 , datamem|ram~1014, mips_16, 1
+instance = comp, \datamem|ram~4964 , datamem|ram~4964, mips_16, 1
+instance = comp, \datamem|ram~870 , datamem|ram~870, mips_16, 1
+instance = comp, \datamem|ram~854 , datamem|ram~854, mips_16, 1
+instance = comp, \datamem|ram~838feeder , datamem|ram~838feeder, mips_16, 1
+instance = comp, \datamem|ram~838 , datamem|ram~838, mips_16, 1
+instance = comp, \datamem|ram~886 , datamem|ram~886, mips_16, 1
+instance = comp, \datamem|ram~4962 , datamem|ram~4962, mips_16, 1
+instance = comp, \datamem|ram~774 , datamem|ram~774, mips_16, 1
+instance = comp, \datamem|ram~806 , datamem|ram~806, mips_16, 1
+instance = comp, \datamem|ram~790feeder , datamem|ram~790feeder, mips_16, 1
+instance = comp, \datamem|ram~790 , datamem|ram~790, mips_16, 1
+instance = comp, \datamem|ram~822 , datamem|ram~822, mips_16, 1
+instance = comp, \datamem|ram~4961 , datamem|ram~4961, mips_16, 1
+instance = comp, \datamem|ram~4965 , datamem|ram~4965, mips_16, 1
+instance = comp, \datamem|ram~4966 , datamem|ram~4966, mips_16, 1
+instance = comp, \datamem|ram~5030 , datamem|ram~5030, mips_16, 1
+instance = comp, \reg_write_data[6]~12 , reg_write_data[6]~12, mips_16, 1
+instance = comp, \reg_file|reg_array[3][6] , reg_file|reg_array[3][6], mips_16, 1
+instance = comp, \reg_file|reg_array[4][6] , reg_file|reg_array[4][6], mips_16, 1
+instance = comp, \reg_file|reg_array[7][6] , reg_file|reg_array[7][6], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[6]~18 , reg_file|reg_read_data_1[6]~18, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[6]~19 , reg_file|reg_read_data_1[6]~19, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[10]~1 , alu_unit|shifter_right|st2[10]~1, mips_16, 1
+instance = comp, \alu_unit|Mux14~1 , alu_unit|Mux14~1, mips_16, 1
+instance = comp, \alu_unit|Mux14~2 , alu_unit|Mux14~2, mips_16, 1
+instance = comp, \alu_unit|Add0~61 , alu_unit|Add0~61, mips_16, 1
+instance = comp, \alu_unit|Add0~1 , alu_unit|Add0~1, mips_16, 1
+instance = comp, \alu_unit|Mux14~0 , alu_unit|Mux14~0, mips_16, 1
+instance = comp, \alu_unit|Mux14~7 , alu_unit|Mux14~7, mips_16, 1
+instance = comp, \alu_unit|Mux14~6 , alu_unit|Mux14~6, mips_16, 1
+instance = comp, \datamem|ram~961feeder , datamem|ram~961feeder, mips_16, 1
+instance = comp, \datamem|ram~961 , datamem|ram~961, mips_16, 1
+instance = comp, \datamem|ram~977 , datamem|ram~977, mips_16, 1
+instance = comp, \datamem|ram~993 , datamem|ram~993, mips_16, 1
+instance = comp, \datamem|ram~1009 , datamem|ram~1009, mips_16, 1
+instance = comp, \datamem|ram~4114 , datamem|ram~4114, mips_16, 1
+instance = comp, \datamem|ram~721feeder , datamem|ram~721feeder, mips_16, 1
+instance = comp, \datamem|ram~721 , datamem|ram~721, mips_16, 1
+instance = comp, \datamem|ram~737feeder , datamem|ram~737feeder, mips_16, 1
+instance = comp, \datamem|ram~737 , datamem|ram~737, mips_16, 1
+instance = comp, \datamem|ram~705 , datamem|ram~705, mips_16, 1
+instance = comp, \datamem|ram~753 , datamem|ram~753, mips_16, 1
+instance = comp, \datamem|ram~4113 , datamem|ram~4113, mips_16, 1
+instance = comp, \datamem|ram~193 , datamem|ram~193, mips_16, 1
+instance = comp, \datamem|ram~209 , datamem|ram~209, mips_16, 1
+instance = comp, \datamem|ram~225 , datamem|ram~225, mips_16, 1
+instance = comp, \datamem|ram~241 , datamem|ram~241, mips_16, 1
+instance = comp, \datamem|ram~4111 , datamem|ram~4111, mips_16, 1
+instance = comp, \datamem|ram~449feeder , datamem|ram~449feeder, mips_16, 1
+instance = comp, \datamem|ram~449 , datamem|ram~449, mips_16, 1
+instance = comp, \datamem|ram~481feeder , datamem|ram~481feeder, mips_16, 1
+instance = comp, \datamem|ram~481 , datamem|ram~481, mips_16, 1
+instance = comp, \datamem|ram~465 , datamem|ram~465, mips_16, 1
+instance = comp, \datamem|ram~497 , datamem|ram~497, mips_16, 1
+instance = comp, \datamem|ram~4112 , datamem|ram~4112, mips_16, 1
+instance = comp, \datamem|ram~4115 , datamem|ram~4115, mips_16, 1
+instance = comp, \datamem|ram~321 , datamem|ram~321, mips_16, 1
+instance = comp, \datamem|ram~353 , datamem|ram~353, mips_16, 1
+instance = comp, \datamem|ram~369 , datamem|ram~369, mips_16, 1
+instance = comp, \datamem|ram~337 , datamem|ram~337, mips_16, 1
+instance = comp, \datamem|ram~4102 , datamem|ram~4102, mips_16, 1
+instance = comp, \datamem|ram~865 , datamem|ram~865, mips_16, 1
+instance = comp, \datamem|ram~849feeder , datamem|ram~849feeder, mips_16, 1
+instance = comp, \datamem|ram~849 , datamem|ram~849, mips_16, 1
+instance = comp, \datamem|ram~833feeder , datamem|ram~833feeder, mips_16, 1
+instance = comp, \datamem|ram~833 , datamem|ram~833, mips_16, 1
+instance = comp, \datamem|ram~881 , datamem|ram~881, mips_16, 1
+instance = comp, \datamem|ram~4104 , datamem|ram~4104, mips_16, 1
+instance = comp, \datamem|ram~97feeder , datamem|ram~97feeder, mips_16, 1
+instance = comp, \datamem|ram~97 , datamem|ram~97, mips_16, 1
+instance = comp, \datamem|ram~113 , datamem|ram~113, mips_16, 1
+instance = comp, \datamem|ram~65 , datamem|ram~65, mips_16, 1
+instance = comp, \datamem|ram~81 , datamem|ram~81, mips_16, 1
+instance = comp, \datamem|ram~4101 , datamem|ram~4101, mips_16, 1
+instance = comp, \datamem|ram~593 , datamem|ram~593, mips_16, 1
+instance = comp, \datamem|ram~577feeder , datamem|ram~577feeder, mips_16, 1
+instance = comp, \datamem|ram~577 , datamem|ram~577, mips_16, 1
+instance = comp, \datamem|ram~625 , datamem|ram~625, mips_16, 1
+instance = comp, \datamem|ram~609 , datamem|ram~609, mips_16, 1
+instance = comp, \datamem|ram~4103 , datamem|ram~4103, mips_16, 1
+instance = comp, \datamem|ram~4105 , datamem|ram~4105, mips_16, 1
+instance = comp, \datamem|ram~945 , datamem|ram~945, mips_16, 1
+instance = comp, \datamem|ram~689feeder , datamem|ram~689feeder, mips_16, 1
+instance = comp, \datamem|ram~689 , datamem|ram~689, mips_16, 1
+instance = comp, \datamem|ram~433feeder , datamem|ram~433feeder, mips_16, 1
+instance = comp, \datamem|ram~433 , datamem|ram~433, mips_16, 1
+instance = comp, \datamem|ram~177 , datamem|ram~177, mips_16, 1
+instance = comp, \datamem|ram~4109 , datamem|ram~4109, mips_16, 1
+instance = comp, \datamem|ram~913 , datamem|ram~913, mips_16, 1
+instance = comp, \datamem|ram~657 , datamem|ram~657, mips_16, 1
+instance = comp, \datamem|ram~145 , datamem|ram~145, mips_16, 1
+instance = comp, \datamem|ram~401 , datamem|ram~401, mips_16, 1
+instance = comp, \datamem|ram~4107 , datamem|ram~4107, mips_16, 1
+instance = comp, \datamem|ram~641 , datamem|ram~641, mips_16, 1
+instance = comp, \datamem|ram~129feeder , datamem|ram~129feeder, mips_16, 1
+instance = comp, \datamem|ram~129 , datamem|ram~129, mips_16, 1
+instance = comp, \datamem|ram~385 , datamem|ram~385, mips_16, 1
+instance = comp, \datamem|ram~897 , datamem|ram~897, mips_16, 1
+instance = comp, \datamem|ram~4106 , datamem|ram~4106, mips_16, 1
+instance = comp, \datamem|ram~673 , datamem|ram~673, mips_16, 1
+instance = comp, \datamem|ram~929 , datamem|ram~929, mips_16, 1
+instance = comp, \datamem|ram~161 , datamem|ram~161, mips_16, 1
+instance = comp, \datamem|ram~417 , datamem|ram~417, mips_16, 1
+instance = comp, \datamem|ram~4108 , datamem|ram~4108, mips_16, 1
+instance = comp, \datamem|ram~4110 , datamem|ram~4110, mips_16, 1
+instance = comp, \datamem|ram~257feeder , datamem|ram~257feeder, mips_16, 1
+instance = comp, \datamem|ram~257 , datamem|ram~257, mips_16, 1
+instance = comp, \datamem|ram~273 , datamem|ram~273, mips_16, 1
+instance = comp, \datamem|ram~305feeder , datamem|ram~305feeder, mips_16, 1
+instance = comp, \datamem|ram~305 , datamem|ram~305, mips_16, 1
+instance = comp, \datamem|ram~289 , datamem|ram~289, mips_16, 1
+instance = comp, \datamem|ram~4097 , datamem|ram~4097, mips_16, 1
+instance = comp, \datamem|ram~529feeder , datamem|ram~529feeder, mips_16, 1
+instance = comp, \datamem|ram~529 , datamem|ram~529, mips_16, 1
+instance = comp, \datamem|ram~545 , datamem|ram~545, mips_16, 1
+instance = comp, \datamem|ram~513 , datamem|ram~513, mips_16, 1
+instance = comp, \datamem|ram~561 , datamem|ram~561, mips_16, 1
+instance = comp, \datamem|ram~4098 , datamem|ram~4098, mips_16, 1
+instance = comp, \datamem|ram~1feeder , datamem|ram~1feeder, mips_16, 1
+instance = comp, \datamem|ram~1 , datamem|ram~1, mips_16, 1
+instance = comp, \datamem|ram~33 , datamem|ram~33, mips_16, 1
+instance = comp, \datamem|ram~17 , datamem|ram~17, mips_16, 1
+instance = comp, \datamem|ram~49 , datamem|ram~49, mips_16, 1
+instance = comp, \datamem|ram~4096 , datamem|ram~4096, mips_16, 1
+instance = comp, \datamem|ram~785 , datamem|ram~785, mips_16, 1
+instance = comp, \datamem|ram~769 , datamem|ram~769, mips_16, 1
+instance = comp, \datamem|ram~801 , datamem|ram~801, mips_16, 1
+instance = comp, \datamem|ram~817 , datamem|ram~817, mips_16, 1
+instance = comp, \datamem|ram~4099 , datamem|ram~4099, mips_16, 1
+instance = comp, \datamem|ram~4100 , datamem|ram~4100, mips_16, 1
+instance = comp, \datamem|ram~4116 , datamem|ram~4116, mips_16, 1
+instance = comp, \datamem|ram~3137feeder , datamem|ram~3137feeder, mips_16, 1
+instance = comp, \datamem|ram~3137 , datamem|ram~3137, mips_16, 1
+instance = comp, \datamem|ram~3153feeder , datamem|ram~3153feeder, mips_16, 1
+instance = comp, \datamem|ram~3153 , datamem|ram~3153, mips_16, 1
+instance = comp, \datamem|ram~3169 , datamem|ram~3169, mips_16, 1
+instance = comp, \datamem|ram~3185 , datamem|ram~3185, mips_16, 1
+instance = comp, \datamem|ram~4164 , datamem|ram~4164, mips_16, 1
+instance = comp, \datamem|ram~3409 , datamem|ram~3409, mips_16, 1
+instance = comp, \datamem|ram~3393 , datamem|ram~3393, mips_16, 1
+instance = comp, \datamem|ram~3425 , datamem|ram~3425, mips_16, 1
+instance = comp, \datamem|ram~3441feeder , datamem|ram~3441feeder, mips_16, 1
+instance = comp, \datamem|ram~3441 , datamem|ram~3441, mips_16, 1
+instance = comp, \datamem|ram~4165 , datamem|ram~4165, mips_16, 1
+instance = comp, \datamem|ram~3681feeder , datamem|ram~3681feeder, mips_16, 1
+instance = comp, \datamem|ram~3681 , datamem|ram~3681, mips_16, 1
+instance = comp, \datamem|ram~3649feeder , datamem|ram~3649feeder, mips_16, 1
+instance = comp, \datamem|ram~3649 , datamem|ram~3649, mips_16, 1
+instance = comp, \datamem|ram~3665 , datamem|ram~3665, mips_16, 1
+instance = comp, \datamem|ram~3697 , datamem|ram~3697, mips_16, 1
+instance = comp, \datamem|ram~4166 , datamem|ram~4166, mips_16, 1
+instance = comp, \datamem|ram~3921 , datamem|ram~3921, mips_16, 1
+instance = comp, \datamem|ram~3905 , datamem|ram~3905, mips_16, 1
+instance = comp, \datamem|ram~3937feeder , datamem|ram~3937feeder, mips_16, 1
+instance = comp, \datamem|ram~3937 , datamem|ram~3937, mips_16, 1
+instance = comp, \datamem|ram~3953 , datamem|ram~3953, mips_16, 1
+instance = comp, \datamem|ram~4167 , datamem|ram~4167, mips_16, 1
+instance = comp, \datamem|ram~4168 , datamem|ram~4168, mips_16, 1
+instance = comp, \datamem|ram~3889 , datamem|ram~3889, mips_16, 1
+instance = comp, \datamem|ram~3857feeder , datamem|ram~3857feeder, mips_16, 1
+instance = comp, \datamem|ram~3857 , datamem|ram~3857, mips_16, 1
+instance = comp, \datamem|ram~3841feeder , datamem|ram~3841feeder, mips_16, 1
+instance = comp, \datamem|ram~3841 , datamem|ram~3841, mips_16, 1
+instance = comp, \datamem|ram~3873 , datamem|ram~3873, mips_16, 1
+instance = comp, \datamem|ram~4162 , datamem|ram~4162, mips_16, 1
+instance = comp, \datamem|ram~3601feeder , datamem|ram~3601feeder, mips_16, 1
+instance = comp, \datamem|ram~3601 , datamem|ram~3601, mips_16, 1
+instance = comp, \datamem|ram~3633feeder , datamem|ram~3633feeder, mips_16, 1
+instance = comp, \datamem|ram~3633 , datamem|ram~3633, mips_16, 1
+instance = comp, \datamem|ram~3617 , datamem|ram~3617, mips_16, 1
+instance = comp, \datamem|ram~3585 , datamem|ram~3585, mips_16, 1
+instance = comp, \datamem|ram~4161 , datamem|ram~4161, mips_16, 1
+instance = comp, \datamem|ram~3073 , datamem|ram~3073, mips_16, 1
+instance = comp, \datamem|ram~3105 , datamem|ram~3105, mips_16, 1
+instance = comp, \datamem|ram~3089feeder , datamem|ram~3089feeder, mips_16, 1
+instance = comp, \datamem|ram~3089 , datamem|ram~3089, mips_16, 1
+instance = comp, \datamem|ram~3121 , datamem|ram~3121, mips_16, 1
+instance = comp, \datamem|ram~4159 , datamem|ram~4159, mips_16, 1
+instance = comp, \datamem|ram~3361 , datamem|ram~3361, mips_16, 1
+instance = comp, \datamem|ram~3345feeder , datamem|ram~3345feeder, mips_16, 1
+instance = comp, \datamem|ram~3345 , datamem|ram~3345, mips_16, 1
+instance = comp, \datamem|ram~3329feeder , datamem|ram~3329feeder, mips_16, 1
+instance = comp, \datamem|ram~3329 , datamem|ram~3329, mips_16, 1
+instance = comp, \datamem|ram~3377 , datamem|ram~3377, mips_16, 1
+instance = comp, \datamem|ram~4160 , datamem|ram~4160, mips_16, 1
+instance = comp, \datamem|ram~4163 , datamem|ram~4163, mips_16, 1
+instance = comp, \datamem|ram~3265 , datamem|ram~3265, mips_16, 1
+instance = comp, \datamem|ram~3297 , datamem|ram~3297, mips_16, 1
+instance = comp, \datamem|ram~3281feeder , datamem|ram~3281feeder, mips_16, 1
+instance = comp, \datamem|ram~3281 , datamem|ram~3281, mips_16, 1
+instance = comp, \datamem|ram~3313 , datamem|ram~3313, mips_16, 1
+instance = comp, \datamem|ram~4174 , datamem|ram~4174, mips_16, 1
+instance = comp, \datamem|ram~3809 , datamem|ram~3809, mips_16, 1
+instance = comp, \datamem|ram~3777feeder , datamem|ram~3777feeder, mips_16, 1
+instance = comp, \datamem|ram~3777 , datamem|ram~3777, mips_16, 1
+instance = comp, \datamem|ram~3793feeder , datamem|ram~3793feeder, mips_16, 1
+instance = comp, \datamem|ram~3793 , datamem|ram~3793, mips_16, 1
+instance = comp, \datamem|ram~3825 , datamem|ram~3825, mips_16, 1
+instance = comp, \datamem|ram~4176 , datamem|ram~4176, mips_16, 1
+instance = comp, \datamem|ram~4033feeder , datamem|ram~4033feeder, mips_16, 1
+instance = comp, \datamem|ram~4033 , datamem|ram~4033, mips_16, 1
+instance = comp, \datamem|ram~4065 , datamem|ram~4065, mips_16, 1
+instance = comp, \datamem|ram~4049 , datamem|ram~4049, mips_16, 1
+instance = comp, \datamem|ram~4081 , datamem|ram~4081, mips_16, 1
+instance = comp, \datamem|ram~4177 , datamem|ram~4177, mips_16, 1
+instance = comp, \datamem|ram~3521 , datamem|ram~3521, mips_16, 1
+instance = comp, \datamem|ram~3537feeder , datamem|ram~3537feeder, mips_16, 1
+instance = comp, \datamem|ram~3537 , datamem|ram~3537, mips_16, 1
+instance = comp, \datamem|ram~3553 , datamem|ram~3553, mips_16, 1
+instance = comp, \datamem|ram~3569 , datamem|ram~3569, mips_16, 1
+instance = comp, \datamem|ram~4175 , datamem|ram~4175, mips_16, 1
+instance = comp, \datamem|ram~4178 , datamem|ram~4178, mips_16, 1
+instance = comp, \datamem|ram~3249 , datamem|ram~3249, mips_16, 1
+instance = comp, \datamem|ram~3505 , datamem|ram~3505, mips_16, 1
+instance = comp, \datamem|ram~4017 , datamem|ram~4017, mips_16, 1
+instance = comp, \datamem|ram~3761 , datamem|ram~3761, mips_16, 1
+instance = comp, \datamem|ram~4172 , datamem|ram~4172, mips_16, 1
+instance = comp, \datamem|ram~3489feeder , datamem|ram~3489feeder, mips_16, 1
+instance = comp, \datamem|ram~3489 , datamem|ram~3489, mips_16, 1
+instance = comp, \datamem|ram~3233feeder , datamem|ram~3233feeder, mips_16, 1
+instance = comp, \datamem|ram~3233 , datamem|ram~3233, mips_16, 1
+instance = comp, \datamem|ram~3745 , datamem|ram~3745, mips_16, 1
+instance = comp, \datamem|ram~4001 , datamem|ram~4001, mips_16, 1
+instance = comp, \datamem|ram~4171 , datamem|ram~4171, mips_16, 1
+instance = comp, \datamem|ram~3985 , datamem|ram~3985, mips_16, 1
+instance = comp, \datamem|ram~3217 , datamem|ram~3217, mips_16, 1
+instance = comp, \datamem|ram~3473 , datamem|ram~3473, mips_16, 1
+instance = comp, \datamem|ram~3729feeder , datamem|ram~3729feeder, mips_16, 1
+instance = comp, \datamem|ram~3729 , datamem|ram~3729, mips_16, 1
+instance = comp, \datamem|ram~4170 , datamem|ram~4170, mips_16, 1
+instance = comp, \datamem|ram~3713feeder , datamem|ram~3713feeder, mips_16, 1
+instance = comp, \datamem|ram~3713 , datamem|ram~3713, mips_16, 1
+instance = comp, \datamem|ram~3457 , datamem|ram~3457, mips_16, 1
+instance = comp, \datamem|ram~3201feeder , datamem|ram~3201feeder, mips_16, 1
+instance = comp, \datamem|ram~3201 , datamem|ram~3201, mips_16, 1
+instance = comp, \datamem|ram~3969 , datamem|ram~3969, mips_16, 1
+instance = comp, \datamem|ram~4169 , datamem|ram~4169, mips_16, 1
+instance = comp, \datamem|ram~4173 , datamem|ram~4173, mips_16, 1
+instance = comp, \datamem|ram~4179 , datamem|ram~4179, mips_16, 1
+instance = comp, \datamem|ram~2225 , datamem|ram~2225, mips_16, 1
+instance = comp, \datamem|ram~2161 , datamem|ram~2161, mips_16, 1
+instance = comp, \datamem|ram~2097feeder , datamem|ram~2097feeder, mips_16, 1
+instance = comp, \datamem|ram~2097 , datamem|ram~2097, mips_16, 1
+instance = comp, \datamem|ram~2289 , datamem|ram~2289, mips_16, 1
+instance = comp, \datamem|ram~4153 , datamem|ram~4153, mips_16, 1
+instance = comp, \datamem|ram~2353feeder , datamem|ram~2353feeder, mips_16, 1
+instance = comp, \datamem|ram~2353 , datamem|ram~2353, mips_16, 1
+instance = comp, \datamem|ram~2417feeder , datamem|ram~2417feeder, mips_16, 1
+instance = comp, \datamem|ram~2417 , datamem|ram~2417, mips_16, 1
+instance = comp, \datamem|ram~2481 , datamem|ram~2481, mips_16, 1
+instance = comp, \datamem|ram~2545 , datamem|ram~2545, mips_16, 1
+instance = comp, \datamem|ram~4154 , datamem|ram~4154, mips_16, 1
+instance = comp, \datamem|ram~2737 , datamem|ram~2737, mips_16, 1
+instance = comp, \datamem|ram~2673 , datamem|ram~2673, mips_16, 1
+instance = comp, \datamem|ram~2609 , datamem|ram~2609, mips_16, 1
+instance = comp, \datamem|ram~2801 , datamem|ram~2801, mips_16, 1
+instance = comp, \datamem|ram~4155 , datamem|ram~4155, mips_16, 1
+instance = comp, \datamem|ram~2993 , datamem|ram~2993, mips_16, 1
+instance = comp, \datamem|ram~2929 , datamem|ram~2929, mips_16, 1
+instance = comp, \datamem|ram~2865 , datamem|ram~2865, mips_16, 1
+instance = comp, \datamem|ram~3057 , datamem|ram~3057, mips_16, 1
+instance = comp, \datamem|ram~4156 , datamem|ram~4156, mips_16, 1
+instance = comp, \datamem|ram~4157 , datamem|ram~4157, mips_16, 1
+instance = comp, \datamem|ram~2065 , datamem|ram~2065, mips_16, 1
+instance = comp, \datamem|ram~2577feeder , datamem|ram~2577feeder, mips_16, 1
+instance = comp, \datamem|ram~2577 , datamem|ram~2577, mips_16, 1
+instance = comp, \datamem|ram~2321feeder , datamem|ram~2321feeder, mips_16, 1
+instance = comp, \datamem|ram~2321 , datamem|ram~2321, mips_16, 1
+instance = comp, \datamem|ram~2833 , datamem|ram~2833, mips_16, 1
+instance = comp, \datamem|ram~4143 , datamem|ram~4143, mips_16, 1
+instance = comp, \datamem|ram~3025 , datamem|ram~3025, mips_16, 1
+instance = comp, \datamem|ram~2769 , datamem|ram~2769, mips_16, 1
+instance = comp, \datamem|ram~2257 , datamem|ram~2257, mips_16, 1
+instance = comp, \datamem|ram~2513feeder , datamem|ram~2513feeder, mips_16, 1
+instance = comp, \datamem|ram~2513 , datamem|ram~2513, mips_16, 1
+instance = comp, \datamem|ram~4146 , datamem|ram~4146, mips_16, 1
+instance = comp, \datamem|ram~2449 , datamem|ram~2449, mips_16, 1
+instance = comp, \datamem|ram~2193 , datamem|ram~2193, mips_16, 1
+instance = comp, \datamem|ram~2705 , datamem|ram~2705, mips_16, 1
+instance = comp, \datamem|ram~2961 , datamem|ram~2961, mips_16, 1
+instance = comp, \datamem|ram~4145 , datamem|ram~4145, mips_16, 1
+instance = comp, \datamem|ram~2385feeder , datamem|ram~2385feeder, mips_16, 1
+instance = comp, \datamem|ram~2385 , datamem|ram~2385, mips_16, 1
+instance = comp, \datamem|ram~2641 , datamem|ram~2641, mips_16, 1
+instance = comp, \datamem|ram~2129feeder , datamem|ram~2129feeder, mips_16, 1
+instance = comp, \datamem|ram~2129 , datamem|ram~2129, mips_16, 1
+instance = comp, \datamem|ram~2897 , datamem|ram~2897, mips_16, 1
+instance = comp, \datamem|ram~4144 , datamem|ram~4144, mips_16, 1
+instance = comp, \datamem|ram~4147 , datamem|ram~4147, mips_16, 1
+instance = comp, \datamem|ram~2241 , datamem|ram~2241, mips_16, 1
+instance = comp, \datamem|ram~2753feeder , datamem|ram~2753feeder, mips_16, 1
+instance = comp, \datamem|ram~2753 , datamem|ram~2753, mips_16, 1
+instance = comp, \datamem|ram~2497 , datamem|ram~2497, mips_16, 1
+instance = comp, \datamem|ram~3009 , datamem|ram~3009, mips_16, 1
+instance = comp, \datamem|ram~4141 , datamem|ram~4141, mips_16, 1
+instance = comp, \datamem|ram~2625 , datamem|ram~2625, mips_16, 1
+instance = comp, \datamem|ram~2369 , datamem|ram~2369, mips_16, 1
+instance = comp, \datamem|ram~2113 , datamem|ram~2113, mips_16, 1
+instance = comp, \datamem|ram~2881 , datamem|ram~2881, mips_16, 1
+instance = comp, \datamem|ram~4139 , datamem|ram~4139, mips_16, 1
+instance = comp, \datamem|ram~2177 , datamem|ram~2177, mips_16, 1
+instance = comp, \datamem|ram~2689feeder , datamem|ram~2689feeder, mips_16, 1
+instance = comp, \datamem|ram~2689 , datamem|ram~2689, mips_16, 1
+instance = comp, \datamem|ram~2433feeder , datamem|ram~2433feeder, mips_16, 1
+instance = comp, \datamem|ram~2433 , datamem|ram~2433, mips_16, 1
+instance = comp, \datamem|ram~2945 , datamem|ram~2945, mips_16, 1
+instance = comp, \datamem|ram~4140 , datamem|ram~4140, mips_16, 1
+instance = comp, \datamem|ram~2305 , datamem|ram~2305, mips_16, 1
+instance = comp, \datamem|ram~2049feeder , datamem|ram~2049feeder, mips_16, 1
+instance = comp, \datamem|ram~2049 , datamem|ram~2049, mips_16, 1
+instance = comp, \datamem|ram~2561 , datamem|ram~2561, mips_16, 1
+instance = comp, \datamem|ram~2817 , datamem|ram~2817, mips_16, 1
+instance = comp, \datamem|ram~4138 , datamem|ram~4138, mips_16, 1
+instance = comp, \datamem|ram~4142 , datamem|ram~4142, mips_16, 1
+instance = comp, \datamem|ram~2465feeder , datamem|ram~2465feeder, mips_16, 1
+instance = comp, \datamem|ram~2465 , datamem|ram~2465, mips_16, 1
+instance = comp, \datamem|ram~2209feeder , datamem|ram~2209feeder, mips_16, 1
+instance = comp, \datamem|ram~2209 , datamem|ram~2209, mips_16, 1
+instance = comp, \datamem|ram~2721feeder , datamem|ram~2721feeder, mips_16, 1
+instance = comp, \datamem|ram~2721 , datamem|ram~2721, mips_16, 1
+instance = comp, \datamem|ram~2977 , datamem|ram~2977, mips_16, 1
+instance = comp, \datamem|ram~4150 , datamem|ram~4150, mips_16, 1
+instance = comp, \datamem|ram~2401 , datamem|ram~2401, mips_16, 1
+instance = comp, \datamem|ram~2913 , datamem|ram~2913, mips_16, 1
+instance = comp, \datamem|ram~2145feeder , datamem|ram~2145feeder, mips_16, 1
+instance = comp, \datamem|ram~2145 , datamem|ram~2145, mips_16, 1
+instance = comp, \datamem|ram~2657 , datamem|ram~2657, mips_16, 1
+instance = comp, \datamem|ram~4149 , datamem|ram~4149, mips_16, 1
+instance = comp, \datamem|ram~2081 , datamem|ram~2081, mips_16, 1
+instance = comp, \datamem|ram~2337 , datamem|ram~2337, mips_16, 1
+instance = comp, \datamem|ram~2593 , datamem|ram~2593, mips_16, 1
+instance = comp, \datamem|ram~2849 , datamem|ram~2849, mips_16, 1
+instance = comp, \datamem|ram~4148 , datamem|ram~4148, mips_16, 1
+instance = comp, \datamem|ram~2273feeder , datamem|ram~2273feeder, mips_16, 1
+instance = comp, \datamem|ram~2273 , datamem|ram~2273, mips_16, 1
+instance = comp, \datamem|ram~2785 , datamem|ram~2785, mips_16, 1
+instance = comp, \datamem|ram~2529feeder , datamem|ram~2529feeder, mips_16, 1
+instance = comp, \datamem|ram~2529 , datamem|ram~2529, mips_16, 1
+instance = comp, \datamem|ram~3041 , datamem|ram~3041, mips_16, 1
+instance = comp, \datamem|ram~4151 , datamem|ram~4151, mips_16, 1
+instance = comp, \datamem|ram~4152 , datamem|ram~4152, mips_16, 1
+instance = comp, \datamem|ram~4158 , datamem|ram~4158, mips_16, 1
+instance = comp, \datamem|ram~1425feeder , datamem|ram~1425feeder, mips_16, 1
+instance = comp, \datamem|ram~1425 , datamem|ram~1425, mips_16, 1
+instance = comp, \datamem|ram~1489feeder , datamem|ram~1489feeder, mips_16, 1
+instance = comp, \datamem|ram~1489 , datamem|ram~1489, mips_16, 1
+instance = comp, \datamem|ram~1297 , datamem|ram~1297, mips_16, 1
+instance = comp, \datamem|ram~1361 , datamem|ram~1361, mips_16, 1
+instance = comp, \datamem|ram~4123 , datamem|ram~4123, mips_16, 1
+instance = comp, \datamem|ram~1313feeder , datamem|ram~1313feeder, mips_16, 1
+instance = comp, \datamem|ram~1313 , datamem|ram~1313, mips_16, 1
+instance = comp, \datamem|ram~1441feeder , datamem|ram~1441feeder, mips_16, 1
+instance = comp, \datamem|ram~1441 , datamem|ram~1441, mips_16, 1
+instance = comp, \datamem|ram~1377 , datamem|ram~1377, mips_16, 1
+instance = comp, \datamem|ram~1505 , datamem|ram~1505, mips_16, 1
+instance = comp, \datamem|ram~4124 , datamem|ram~4124, mips_16, 1
+instance = comp, \datamem|ram~1473 , datamem|ram~1473, mips_16, 1
+instance = comp, \datamem|ram~1281 , datamem|ram~1281, mips_16, 1
+instance = comp, \datamem|ram~1409 , datamem|ram~1409, mips_16, 1
+instance = comp, \datamem|ram~1345 , datamem|ram~1345, mips_16, 1
+instance = comp, \datamem|ram~4122 , datamem|ram~4122, mips_16, 1
+instance = comp, \datamem|ram~1329feeder , datamem|ram~1329feeder, mips_16, 1
+instance = comp, \datamem|ram~1329 , datamem|ram~1329, mips_16, 1
+instance = comp, \datamem|ram~1393feeder , datamem|ram~1393feeder, mips_16, 1
+instance = comp, \datamem|ram~1393 , datamem|ram~1393, mips_16, 1
+instance = comp, \datamem|ram~1521feeder , datamem|ram~1521feeder, mips_16, 1
+instance = comp, \datamem|ram~1521 , datamem|ram~1521, mips_16, 1
+instance = comp, \datamem|ram~1457 , datamem|ram~1457, mips_16, 1
+instance = comp, \datamem|ram~4125 , datamem|ram~4125, mips_16, 1
+instance = comp, \datamem|ram~4126 , datamem|ram~4126, mips_16, 1
+instance = comp, \datamem|ram~1233 , datamem|ram~1233, mips_16, 1
+instance = comp, \datamem|ram~1041 , datamem|ram~1041, mips_16, 1
+instance = comp, \datamem|ram~1105 , datamem|ram~1105, mips_16, 1
+instance = comp, \datamem|ram~1169 , datamem|ram~1169, mips_16, 1
+instance = comp, \datamem|ram~4118 , datamem|ram~4118, mips_16, 1
+instance = comp, \datamem|ram~1137 , datamem|ram~1137, mips_16, 1
+instance = comp, \datamem|ram~1201 , datamem|ram~1201, mips_16, 1
+instance = comp, \datamem|ram~1073 , datamem|ram~1073, mips_16, 1
+instance = comp, \datamem|ram~1265 , datamem|ram~1265, mips_16, 1
+instance = comp, \datamem|ram~4120 , datamem|ram~4120, mips_16, 1
+instance = comp, \datamem|ram~1217feeder , datamem|ram~1217feeder, mips_16, 1
+instance = comp, \datamem|ram~1217 , datamem|ram~1217, mips_16, 1
+instance = comp, \datamem|ram~1089 , datamem|ram~1089, mips_16, 1
+instance = comp, \datamem|ram~1025 , datamem|ram~1025, mips_16, 1
+instance = comp, \datamem|ram~1153feeder , datamem|ram~1153feeder, mips_16, 1
+instance = comp, \datamem|ram~1153 , datamem|ram~1153, mips_16, 1
+instance = comp, \datamem|ram~4117 , datamem|ram~4117, mips_16, 1
+instance = comp, \datamem|ram~1185 , datamem|ram~1185, mips_16, 1
+instance = comp, \datamem|ram~1121feeder , datamem|ram~1121feeder, mips_16, 1
+instance = comp, \datamem|ram~1121 , datamem|ram~1121, mips_16, 1
+instance = comp, \datamem|ram~1057feeder , datamem|ram~1057feeder, mips_16, 1
+instance = comp, \datamem|ram~1057 , datamem|ram~1057, mips_16, 1
+instance = comp, \datamem|ram~1249 , datamem|ram~1249, mips_16, 1
+instance = comp, \datamem|ram~4119 , datamem|ram~4119, mips_16, 1
+instance = comp, \datamem|ram~4121 , datamem|ram~4121, mips_16, 1
+instance = comp, \datamem|ram~1873 , datamem|ram~1873, mips_16, 1
+instance = comp, \datamem|ram~1905 , datamem|ram~1905, mips_16, 1
+instance = comp, \datamem|ram~1857 , datamem|ram~1857, mips_16, 1
+instance = comp, \datamem|ram~1889 , datamem|ram~1889, mips_16, 1
+instance = comp, \datamem|ram~4133 , datamem|ram~4133, mips_16, 1
+instance = comp, \datamem|ram~1841 , datamem|ram~1841, mips_16, 1
+instance = comp, \datamem|ram~1793 , datamem|ram~1793, mips_16, 1
+instance = comp, \datamem|ram~1809 , datamem|ram~1809, mips_16, 1
+instance = comp, \datamem|ram~1825 , datamem|ram~1825, mips_16, 1
+instance = comp, \datamem|ram~4132 , datamem|ram~4132, mips_16, 1
+instance = comp, \datamem|ram~2033feeder , datamem|ram~2033feeder, mips_16, 1
+instance = comp, \datamem|ram~2033 , datamem|ram~2033, mips_16, 1
+instance = comp, \datamem|ram~1985feeder , datamem|ram~1985feeder, mips_16, 1
+instance = comp, \datamem|ram~1985 , datamem|ram~1985, mips_16, 1
+instance = comp, \datamem|ram~2001 , datamem|ram~2001, mips_16, 1
+instance = comp, \datamem|ram~2017 , datamem|ram~2017, mips_16, 1
+instance = comp, \datamem|ram~4135 , datamem|ram~4135, mips_16, 1
+instance = comp, \datamem|ram~1953feeder , datamem|ram~1953feeder, mips_16, 1
+instance = comp, \datamem|ram~1953 , datamem|ram~1953, mips_16, 1
+instance = comp, \datamem|ram~1921 , datamem|ram~1921, mips_16, 1
+instance = comp, \datamem|ram~1937 , datamem|ram~1937, mips_16, 1
+instance = comp, \datamem|ram~1969 , datamem|ram~1969, mips_16, 1
+instance = comp, \datamem|ram~4134 , datamem|ram~4134, mips_16, 1
+instance = comp, \datamem|ram~4136 , datamem|ram~4136, mips_16, 1
+instance = comp, \datamem|ram~1537 , datamem|ram~1537, mips_16, 1
+instance = comp, \datamem|ram~1665feeder , datamem|ram~1665feeder, mips_16, 1
+instance = comp, \datamem|ram~1665 , datamem|ram~1665, mips_16, 1
+instance = comp, \datamem|ram~1601 , datamem|ram~1601, mips_16, 1
+instance = comp, \datamem|ram~1729 , datamem|ram~1729, mips_16, 1
+instance = comp, \datamem|ram~4127 , datamem|ram~4127, mips_16, 1
+instance = comp, \datamem|ram~1569feeder , datamem|ram~1569feeder, mips_16, 1
+instance = comp, \datamem|ram~1569 , datamem|ram~1569, mips_16, 1
+instance = comp, \datamem|ram~1633 , datamem|ram~1633, mips_16, 1
+instance = comp, \datamem|ram~1697feeder , datamem|ram~1697feeder, mips_16, 1
+instance = comp, \datamem|ram~1697 , datamem|ram~1697, mips_16, 1
+instance = comp, \datamem|ram~1761 , datamem|ram~1761, mips_16, 1
+instance = comp, \datamem|ram~4129 , datamem|ram~4129, mips_16, 1
+instance = comp, \datamem|ram~1681 , datamem|ram~1681, mips_16, 1
+instance = comp, \datamem|ram~1553 , datamem|ram~1553, mips_16, 1
+instance = comp, \datamem|ram~1617feeder , datamem|ram~1617feeder, mips_16, 1
+instance = comp, \datamem|ram~1617 , datamem|ram~1617, mips_16, 1
+instance = comp, \datamem|ram~1745 , datamem|ram~1745, mips_16, 1
+instance = comp, \datamem|ram~4128 , datamem|ram~4128, mips_16, 1
+instance = comp, \datamem|ram~1585feeder , datamem|ram~1585feeder, mips_16, 1
+instance = comp, \datamem|ram~1585 , datamem|ram~1585, mips_16, 1
+instance = comp, \datamem|ram~1713feeder , datamem|ram~1713feeder, mips_16, 1
+instance = comp, \datamem|ram~1713 , datamem|ram~1713, mips_16, 1
+instance = comp, \datamem|ram~1649 , datamem|ram~1649, mips_16, 1
+instance = comp, \datamem|ram~1777 , datamem|ram~1777, mips_16, 1
+instance = comp, \datamem|ram~4130 , datamem|ram~4130, mips_16, 1
+instance = comp, \datamem|ram~4131 , datamem|ram~4131, mips_16, 1
+instance = comp, \datamem|ram~4137 , datamem|ram~4137, mips_16, 1
+instance = comp, \datamem|ram~4180 , datamem|ram~4180, mips_16, 1
+instance = comp, \reg_write_data[1]~2 , reg_write_data[1]~2, mips_16, 1
+instance = comp, \reg_file|reg_array[0][1]~feeder , reg_file|reg_array[0][1]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[0][1] , reg_file|reg_array[0][1], mips_16, 1
+instance = comp, \reg_file|reg_array[1][1] , reg_file|reg_array[1][1], mips_16, 1
+instance = comp, \reg_file|reg_array[2][1] , reg_file|reg_array[2][1], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[1]~0 , reg_file|reg_read_data_2[1]~0, mips_16, 1
+instance = comp, \read_data2[1]~0 , read_data2[1]~0, mips_16, 1
+instance = comp, \alu_unit|Mux13~2 , alu_unit|Mux13~2, mips_16, 1
+instance = comp, \alu_unit|Mux13~3 , alu_unit|Mux13~3, mips_16, 1
+instance = comp, \alu_unit|Add0~5 , alu_unit|Add0~5, mips_16, 1
+instance = comp, \alu_unit|Mux13~1 , alu_unit|Mux13~1, mips_16, 1
+instance = comp, \alu_unit|Mux13~6 , alu_unit|Mux13~6, mips_16, 1
+instance = comp, \alu_unit|Mux13~4 , alu_unit|Mux13~4, mips_16, 1
+instance = comp, \datamem|ram~2754 , datamem|ram~2754, mips_16, 1
+instance = comp, \datamem|ram~2626 , datamem|ram~2626, mips_16, 1
+instance = comp, \datamem|ram~2690 , datamem|ram~2690, mips_16, 1
+instance = comp, \datamem|ram~2562 , datamem|ram~2562, mips_16, 1
+instance = comp, \datamem|ram~4233 , datamem|ram~4233, mips_16, 1
+instance = comp, \datamem|ram~2674 , datamem|ram~2674, mips_16, 1
+instance = comp, \datamem|ram~2738 , datamem|ram~2738, mips_16, 1
+instance = comp, \datamem|ram~2610feeder , datamem|ram~2610feeder, mips_16, 1
+instance = comp, \datamem|ram~2610 , datamem|ram~2610, mips_16, 1
+instance = comp, \datamem|ram~2802 , datamem|ram~2802, mips_16, 1
+instance = comp, \datamem|ram~4236 , datamem|ram~4236, mips_16, 1
+instance = comp, \datamem|ram~2658 , datamem|ram~2658, mips_16, 1
+instance = comp, \datamem|ram~2786 , datamem|ram~2786, mips_16, 1
+instance = comp, \datamem|ram~2594 , datamem|ram~2594, mips_16, 1
+instance = comp, \datamem|ram~2722 , datamem|ram~2722, mips_16, 1
+instance = comp, \datamem|ram~4235 , datamem|ram~4235, mips_16, 1
+instance = comp, \datamem|ram~2706feeder , datamem|ram~2706feeder, mips_16, 1
+instance = comp, \datamem|ram~2706 , datamem|ram~2706, mips_16, 1
+instance = comp, \datamem|ram~2578 , datamem|ram~2578, mips_16, 1
+instance = comp, \datamem|ram~2642feeder , datamem|ram~2642feeder, mips_16, 1
+instance = comp, \datamem|ram~2642 , datamem|ram~2642, mips_16, 1
+instance = comp, \datamem|ram~2770 , datamem|ram~2770, mips_16, 1
+instance = comp, \datamem|ram~4234 , datamem|ram~4234, mips_16, 1
+instance = comp, \datamem|ram~4237 , datamem|ram~4237, mips_16, 1
+instance = comp, \datamem|ram~2402feeder , datamem|ram~2402feeder, mips_16, 1
+instance = comp, \datamem|ram~2402 , datamem|ram~2402, mips_16, 1
+instance = comp, \datamem|ram~2466 , datamem|ram~2466, mips_16, 1
+instance = comp, \datamem|ram~2338feeder , datamem|ram~2338feeder, mips_16, 1
+instance = comp, \datamem|ram~2338 , datamem|ram~2338, mips_16, 1
+instance = comp, \datamem|ram~2530 , datamem|ram~2530, mips_16, 1
+instance = comp, \datamem|ram~4230 , datamem|ram~4230, mips_16, 1
+instance = comp, \datamem|ram~2434 , datamem|ram~2434, mips_16, 1
+instance = comp, \datamem|ram~2370feeder , datamem|ram~2370feeder, mips_16, 1
+instance = comp, \datamem|ram~2370 , datamem|ram~2370, mips_16, 1
+instance = comp, \datamem|ram~2306feeder , datamem|ram~2306feeder, mips_16, 1
+instance = comp, \datamem|ram~2306 , datamem|ram~2306, mips_16, 1
+instance = comp, \datamem|ram~2498 , datamem|ram~2498, mips_16, 1
+instance = comp, \datamem|ram~4228 , datamem|ram~4228, mips_16, 1
+instance = comp, \datamem|ram~2386feeder , datamem|ram~2386feeder, mips_16, 1
+instance = comp, \datamem|ram~2386 , datamem|ram~2386, mips_16, 1
+instance = comp, \datamem|ram~2450 , datamem|ram~2450, mips_16, 1
+instance = comp, \datamem|ram~2322 , datamem|ram~2322, mips_16, 1
+instance = comp, \datamem|ram~2514 , datamem|ram~2514, mips_16, 1
+instance = comp, \datamem|ram~4229 , datamem|ram~4229, mips_16, 1
+instance = comp, \datamem|ram~2354feeder , datamem|ram~2354feeder, mips_16, 1
+instance = comp, \datamem|ram~2354 , datamem|ram~2354, mips_16, 1
+instance = comp, \datamem|ram~2482 , datamem|ram~2482, mips_16, 1
+instance = comp, \datamem|ram~2418 , datamem|ram~2418, mips_16, 1
+instance = comp, \datamem|ram~2546 , datamem|ram~2546, mips_16, 1
+instance = comp, \datamem|ram~4231 , datamem|ram~4231, mips_16, 1
+instance = comp, \datamem|ram~4232 , datamem|ram~4232, mips_16, 1
+instance = comp, \datamem|ram~2210feeder , datamem|ram~2210feeder, mips_16, 1
+instance = comp, \datamem|ram~2210 , datamem|ram~2210, mips_16, 1
+instance = comp, \datamem|ram~2082 , datamem|ram~2082, mips_16, 1
+instance = comp, \datamem|ram~2146 , datamem|ram~2146, mips_16, 1
+instance = comp, \datamem|ram~2274 , datamem|ram~2274, mips_16, 1
+instance = comp, \datamem|ram~4225 , datamem|ram~4225, mips_16, 1
+instance = comp, \datamem|ram~2130feeder , datamem|ram~2130feeder, mips_16, 1
+instance = comp, \datamem|ram~2130 , datamem|ram~2130, mips_16, 1
+instance = comp, \datamem|ram~2194feeder , datamem|ram~2194feeder, mips_16, 1
+instance = comp, \datamem|ram~2194 , datamem|ram~2194, mips_16, 1
+instance = comp, \datamem|ram~2066feeder , datamem|ram~2066feeder, mips_16, 1
+instance = comp, \datamem|ram~2066 , datamem|ram~2066, mips_16, 1
+instance = comp, \datamem|ram~2258 , datamem|ram~2258, mips_16, 1
+instance = comp, \datamem|ram~4224 , datamem|ram~4224, mips_16, 1
+instance = comp, \datamem|ram~2162 , datamem|ram~2162, mips_16, 1
+instance = comp, \datamem|ram~2098 , datamem|ram~2098, mips_16, 1
+instance = comp, \datamem|ram~2226feeder , datamem|ram~2226feeder, mips_16, 1
+instance = comp, \datamem|ram~2226 , datamem|ram~2226, mips_16, 1
+instance = comp, \datamem|ram~2290 , datamem|ram~2290, mips_16, 1
+instance = comp, \datamem|ram~4226 , datamem|ram~4226, mips_16, 1
+instance = comp, \datamem|ram~2178 , datamem|ram~2178, mips_16, 1
+instance = comp, \datamem|ram~2114feeder , datamem|ram~2114feeder, mips_16, 1
+instance = comp, \datamem|ram~2114 , datamem|ram~2114, mips_16, 1
+instance = comp, \datamem|ram~2050feeder , datamem|ram~2050feeder, mips_16, 1
+instance = comp, \datamem|ram~2050 , datamem|ram~2050, mips_16, 1
+instance = comp, \datamem|ram~2242 , datamem|ram~2242, mips_16, 1
+instance = comp, \datamem|ram~4223 , datamem|ram~4223, mips_16, 1
+instance = comp, \datamem|ram~4227 , datamem|ram~4227, mips_16, 1
+instance = comp, \datamem|ram~2914 , datamem|ram~2914, mips_16, 1
+instance = comp, \datamem|ram~2898 , datamem|ram~2898, mips_16, 1
+instance = comp, \datamem|ram~2882 , datamem|ram~2882, mips_16, 1
+instance = comp, \datamem|ram~2930 , datamem|ram~2930, mips_16, 1
+instance = comp, \datamem|ram~4239 , datamem|ram~4239, mips_16, 1
+instance = comp, \datamem|ram~2866feeder , datamem|ram~2866feeder, mips_16, 1
+instance = comp, \datamem|ram~2866 , datamem|ram~2866, mips_16, 1
+instance = comp, \datamem|ram~2850 , datamem|ram~2850, mips_16, 1
+instance = comp, \datamem|ram~2834 , datamem|ram~2834, mips_16, 1
+instance = comp, \datamem|ram~2818 , datamem|ram~2818, mips_16, 1
+instance = comp, \datamem|ram~4238 , datamem|ram~4238, mips_16, 1
+instance = comp, \datamem|ram~2978feeder , datamem|ram~2978feeder, mips_16, 1
+instance = comp, \datamem|ram~2978 , datamem|ram~2978, mips_16, 1
+instance = comp, \datamem|ram~2962 , datamem|ram~2962, mips_16, 1
+instance = comp, \datamem|ram~2946 , datamem|ram~2946, mips_16, 1
+instance = comp, \datamem|ram~2994 , datamem|ram~2994, mips_16, 1
+instance = comp, \datamem|ram~4240 , datamem|ram~4240, mips_16, 1
+instance = comp, \datamem|ram~3010 , datamem|ram~3010, mips_16, 1
+instance = comp, \datamem|ram~3026 , datamem|ram~3026, mips_16, 1
+instance = comp, \datamem|ram~3042 , datamem|ram~3042, mips_16, 1
+instance = comp, \datamem|ram~3058 , datamem|ram~3058, mips_16, 1
+instance = comp, \datamem|ram~4241 , datamem|ram~4241, mips_16, 1
+instance = comp, \datamem|ram~4242 , datamem|ram~4242, mips_16, 1
+instance = comp, \datamem|ram~4243 , datamem|ram~4243, mips_16, 1
+instance = comp, \datamem|ram~4066 , datamem|ram~4066, mips_16, 1
+instance = comp, \datamem|ram~3554 , datamem|ram~3554, mips_16, 1
+instance = comp, \datamem|ram~3810 , datamem|ram~3810, mips_16, 1
+instance = comp, \datamem|ram~3298 , datamem|ram~3298, mips_16, 1
+instance = comp, \datamem|ram~4257 , datamem|ram~4257, mips_16, 1
+instance = comp, \datamem|ram~3426feeder , datamem|ram~3426feeder, mips_16, 1
+instance = comp, \datamem|ram~3426 , datamem|ram~3426, mips_16, 1
+instance = comp, \datamem|ram~3682feeder , datamem|ram~3682feeder, mips_16, 1
+instance = comp, \datamem|ram~3682 , datamem|ram~3682, mips_16, 1
+instance = comp, \datamem|ram~3170 , datamem|ram~3170, mips_16, 1
+instance = comp, \datamem|ram~3938 , datamem|ram~3938, mips_16, 1
+instance = comp, \datamem|ram~4255 , datamem|ram~4255, mips_16, 1
+instance = comp, \datamem|ram~3234feeder , datamem|ram~3234feeder, mips_16, 1
+instance = comp, \datamem|ram~3234 , datamem|ram~3234, mips_16, 1
+instance = comp, \datamem|ram~3746feeder , datamem|ram~3746feeder, mips_16, 1
+instance = comp, \datamem|ram~3746 , datamem|ram~3746, mips_16, 1
+instance = comp, \datamem|ram~4002feeder , datamem|ram~4002feeder, mips_16, 1
+instance = comp, \datamem|ram~4002 , datamem|ram~4002, mips_16, 1
+instance = comp, \datamem|ram~3490 , datamem|ram~3490, mips_16, 1
+instance = comp, \datamem|ram~4256 , datamem|ram~4256, mips_16, 1
+instance = comp, \datamem|ram~3106 , datamem|ram~3106, mips_16, 1
+instance = comp, \datamem|ram~3618 , datamem|ram~3618, mips_16, 1
+instance = comp, \datamem|ram~3362 , datamem|ram~3362, mips_16, 1
+instance = comp, \datamem|ram~3874 , datamem|ram~3874, mips_16, 1
+instance = comp, \datamem|ram~4254 , datamem|ram~4254, mips_16, 1
+instance = comp, \datamem|ram~4258 , datamem|ram~4258, mips_16, 1
+instance = comp, \datamem|ram~3762feeder , datamem|ram~3762feeder, mips_16, 1
+instance = comp, \datamem|ram~3762 , datamem|ram~3762, mips_16, 1
+instance = comp, \datamem|ram~3698 , datamem|ram~3698, mips_16, 1
+instance = comp, \datamem|ram~3634feeder , datamem|ram~3634feeder, mips_16, 1
+instance = comp, \datamem|ram~3634 , datamem|ram~3634, mips_16, 1
+instance = comp, \datamem|ram~3826 , datamem|ram~3826, mips_16, 1
+instance = comp, \datamem|ram~4261 , datamem|ram~4261, mips_16, 1
+instance = comp, \datamem|ram~3122feeder , datamem|ram~3122feeder, mips_16, 1
+instance = comp, \datamem|ram~3122 , datamem|ram~3122, mips_16, 1
+instance = comp, \datamem|ram~3186 , datamem|ram~3186, mips_16, 1
+instance = comp, \datamem|ram~3250 , datamem|ram~3250, mips_16, 1
+instance = comp, \datamem|ram~3314 , datamem|ram~3314, mips_16, 1
+instance = comp, \datamem|ram~4259 , datamem|ram~4259, mips_16, 1
+instance = comp, \datamem|ram~3890feeder , datamem|ram~3890feeder, mips_16, 1
+instance = comp, \datamem|ram~3890 , datamem|ram~3890, mips_16, 1
+instance = comp, \datamem|ram~3954 , datamem|ram~3954, mips_16, 1
+instance = comp, \datamem|ram~4018feeder , datamem|ram~4018feeder, mips_16, 1
+instance = comp, \datamem|ram~4018 , datamem|ram~4018, mips_16, 1
+instance = comp, \datamem|ram~4082feeder , datamem|ram~4082feeder, mips_16, 1
+instance = comp, \datamem|ram~4082 , datamem|ram~4082, mips_16, 1
+instance = comp, \datamem|ram~4262 , datamem|ram~4262, mips_16, 1
+instance = comp, \datamem|ram~3378 , datamem|ram~3378, mips_16, 1
+instance = comp, \datamem|ram~3442 , datamem|ram~3442, mips_16, 1
+instance = comp, \datamem|ram~3506 , datamem|ram~3506, mips_16, 1
+instance = comp, \datamem|ram~3570 , datamem|ram~3570, mips_16, 1
+instance = comp, \datamem|ram~4260 , datamem|ram~4260, mips_16, 1
+instance = comp, \datamem|ram~4263 , datamem|ram~4263, mips_16, 1
+instance = comp, \datamem|ram~3410feeder , datamem|ram~3410feeder, mips_16, 1
+instance = comp, \datamem|ram~3410 , datamem|ram~3410, mips_16, 1
+instance = comp, \datamem|ram~3154 , datamem|ram~3154, mips_16, 1
+instance = comp, \datamem|ram~3666feeder , datamem|ram~3666feeder, mips_16, 1
+instance = comp, \datamem|ram~3666 , datamem|ram~3666, mips_16, 1
+instance = comp, \datamem|ram~3922 , datamem|ram~3922, mips_16, 1
+instance = comp, \datamem|ram~4250 , datamem|ram~4250, mips_16, 1
+instance = comp, \datamem|ram~3730 , datamem|ram~3730, mips_16, 1
+instance = comp, \datamem|ram~3218feeder , datamem|ram~3218feeder, mips_16, 1
+instance = comp, \datamem|ram~3218 , datamem|ram~3218, mips_16, 1
+instance = comp, \datamem|ram~3474 , datamem|ram~3474, mips_16, 1
+instance = comp, \datamem|ram~3986 , datamem|ram~3986, mips_16, 1
+instance = comp, \datamem|ram~4251 , datamem|ram~4251, mips_16, 1
+instance = comp, \datamem|ram~3794 , datamem|ram~3794, mips_16, 1
+instance = comp, \datamem|ram~3538 , datamem|ram~3538, mips_16, 1
+instance = comp, \datamem|ram~3282 , datamem|ram~3282, mips_16, 1
+instance = comp, \datamem|ram~4050 , datamem|ram~4050, mips_16, 1
+instance = comp, \datamem|ram~4252 , datamem|ram~4252, mips_16, 1
+instance = comp, \datamem|ram~3346feeder , datamem|ram~3346feeder, mips_16, 1
+instance = comp, \datamem|ram~3346 , datamem|ram~3346, mips_16, 1
+instance = comp, \datamem|ram~3090 , datamem|ram~3090, mips_16, 1
+instance = comp, \datamem|ram~3602 , datamem|ram~3602, mips_16, 1
+instance = comp, \datamem|ram~3858 , datamem|ram~3858, mips_16, 1
+instance = comp, \datamem|ram~4249 , datamem|ram~4249, mips_16, 1
+instance = comp, \datamem|ram~4253 , datamem|ram~4253, mips_16, 1
+instance = comp, \datamem|ram~3202 , datamem|ram~3202, mips_16, 1
+instance = comp, \datamem|ram~3714 , datamem|ram~3714, mips_16, 1
+instance = comp, \datamem|ram~3458feeder , datamem|ram~3458feeder, mips_16, 1
+instance = comp, \datamem|ram~3458 , datamem|ram~3458, mips_16, 1
+instance = comp, \datamem|ram~3970 , datamem|ram~3970, mips_16, 1
+instance = comp, \datamem|ram~4246 , datamem|ram~4246, mips_16, 1
+instance = comp, \datamem|ram~3074feeder , datamem|ram~3074feeder, mips_16, 1
+instance = comp, \datamem|ram~3074 , datamem|ram~3074, mips_16, 1
+instance = comp, \datamem|ram~3586 , datamem|ram~3586, mips_16, 1
+instance = comp, \datamem|ram~3330feeder , datamem|ram~3330feeder, mips_16, 1
+instance = comp, \datamem|ram~3330 , datamem|ram~3330, mips_16, 1
+instance = comp, \datamem|ram~3842 , datamem|ram~3842, mips_16, 1
+instance = comp, \datamem|ram~4244 , datamem|ram~4244, mips_16, 1
+instance = comp, \datamem|ram~3522 , datamem|ram~3522, mips_16, 1
+instance = comp, \datamem|ram~3266 , datamem|ram~3266, mips_16, 1
+instance = comp, \datamem|ram~3778 , datamem|ram~3778, mips_16, 1
+instance = comp, \datamem|ram~4034 , datamem|ram~4034, mips_16, 1
+instance = comp, \datamem|ram~4247 , datamem|ram~4247, mips_16, 1
+instance = comp, \datamem|ram~3138feeder , datamem|ram~3138feeder, mips_16, 1
+instance = comp, \datamem|ram~3138 , datamem|ram~3138, mips_16, 1
+instance = comp, \datamem|ram~3650 , datamem|ram~3650, mips_16, 1
+instance = comp, \datamem|ram~3394 , datamem|ram~3394, mips_16, 1
+instance = comp, \datamem|ram~3906 , datamem|ram~3906, mips_16, 1
+instance = comp, \datamem|ram~4245 , datamem|ram~4245, mips_16, 1
+instance = comp, \datamem|ram~4248 , datamem|ram~4248, mips_16, 1
+instance = comp, \datamem|ram~4264 , datamem|ram~4264, mips_16, 1
+instance = comp, \datamem|ram~1122 , datamem|ram~1122, mips_16, 1
+instance = comp, \datamem|ram~1090 , datamem|ram~1090, mips_16, 1
+instance = comp, \datamem|ram~1138feeder , datamem|ram~1138feeder, mips_16, 1
+instance = comp, \datamem|ram~1138 , datamem|ram~1138, mips_16, 1
+instance = comp, \datamem|ram~1106 , datamem|ram~1106, mips_16, 1
+instance = comp, \datamem|ram~4207 , datamem|ram~4207, mips_16, 1
+instance = comp, \datamem|ram~1858 , datamem|ram~1858, mips_16, 1
+instance = comp, \datamem|ram~1874 , datamem|ram~1874, mips_16, 1
+instance = comp, \datamem|ram~1890 , datamem|ram~1890, mips_16, 1
+instance = comp, \datamem|ram~1906 , datamem|ram~1906, mips_16, 1
+instance = comp, \datamem|ram~4210 , datamem|ram~4210, mips_16, 1
+instance = comp, \datamem|ram~1378feeder , datamem|ram~1378feeder, mips_16, 1
+instance = comp, \datamem|ram~1378 , datamem|ram~1378, mips_16, 1
+instance = comp, \datamem|ram~1346 , datamem|ram~1346, mips_16, 1
+instance = comp, \datamem|ram~1394 , datamem|ram~1394, mips_16, 1
+instance = comp, \datamem|ram~1362 , datamem|ram~1362, mips_16, 1
+instance = comp, \datamem|ram~4208 , datamem|ram~4208, mips_16, 1
+instance = comp, \datamem|ram~1634feeder , datamem|ram~1634feeder, mips_16, 1
+instance = comp, \datamem|ram~1634 , datamem|ram~1634, mips_16, 1
+instance = comp, \datamem|ram~1618 , datamem|ram~1618, mips_16, 1
+instance = comp, \datamem|ram~1602feeder , datamem|ram~1602feeder, mips_16, 1
+instance = comp, \datamem|ram~1602 , datamem|ram~1602, mips_16, 1
+instance = comp, \datamem|ram~1650 , datamem|ram~1650, mips_16, 1
+instance = comp, \datamem|ram~4209 , datamem|ram~4209, mips_16, 1
+instance = comp, \datamem|ram~4211 , datamem|ram~4211, mips_16, 1
+instance = comp, \datamem|ram~1186feeder , datamem|ram~1186feeder, mips_16, 1
+instance = comp, \datamem|ram~1186 , datamem|ram~1186, mips_16, 1
+instance = comp, \datamem|ram~1442feeder , datamem|ram~1442feeder, mips_16, 1
+instance = comp, \datamem|ram~1442 , datamem|ram~1442, mips_16, 1
+instance = comp, \datamem|ram~1698feeder , datamem|ram~1698feeder, mips_16, 1
+instance = comp, \datamem|ram~1698 , datamem|ram~1698, mips_16, 1
+instance = comp, \datamem|ram~1954 , datamem|ram~1954, mips_16, 1
+instance = comp, \datamem|ram~4214 , datamem|ram~4214, mips_16, 1
+instance = comp, \datamem|ram~1714 , datamem|ram~1714, mips_16, 1
+instance = comp, \datamem|ram~1970feeder , datamem|ram~1970feeder, mips_16, 1
+instance = comp, \datamem|ram~1970 , datamem|ram~1970, mips_16, 1
+instance = comp, \datamem|ram~1202 , datamem|ram~1202, mips_16, 1
+instance = comp, \datamem|ram~1458feeder , datamem|ram~1458feeder, mips_16, 1
+instance = comp, \datamem|ram~1458 , datamem|ram~1458, mips_16, 1
+instance = comp, \datamem|ram~4215 , datamem|ram~4215, mips_16, 1
+instance = comp, \datamem|ram~1682feeder , datamem|ram~1682feeder, mips_16, 1
+instance = comp, \datamem|ram~1682 , datamem|ram~1682, mips_16, 1
+instance = comp, \datamem|ram~1426 , datamem|ram~1426, mips_16, 1
+instance = comp, \datamem|ram~1170 , datamem|ram~1170, mips_16, 1
+instance = comp, \datamem|ram~1938 , datamem|ram~1938, mips_16, 1
+instance = comp, \datamem|ram~4213 , datamem|ram~4213, mips_16, 1
+instance = comp, \datamem|ram~1410feeder , datamem|ram~1410feeder, mips_16, 1
+instance = comp, \datamem|ram~1410 , datamem|ram~1410, mips_16, 1
+instance = comp, \datamem|ram~1666 , datamem|ram~1666, mips_16, 1
+instance = comp, \datamem|ram~1154 , datamem|ram~1154, mips_16, 1
+instance = comp, \datamem|ram~1922 , datamem|ram~1922, mips_16, 1
+instance = comp, \datamem|ram~4212 , datamem|ram~4212, mips_16, 1
+instance = comp, \datamem|ram~4216 , datamem|ram~4216, mips_16, 1
+instance = comp, \datamem|ram~1506 , datamem|ram~1506, mips_16, 1
+instance = comp, \datamem|ram~1474 , datamem|ram~1474, mips_16, 1
+instance = comp, \datamem|ram~1490feeder , datamem|ram~1490feeder, mips_16, 1
+instance = comp, \datamem|ram~1490 , datamem|ram~1490, mips_16, 1
+instance = comp, \datamem|ram~1522 , datamem|ram~1522, mips_16, 1
+instance = comp, \datamem|ram~4218 , datamem|ram~4218, mips_16, 1
+instance = comp, \datamem|ram~2018 , datamem|ram~2018, mips_16, 1
+instance = comp, \datamem|ram~1986 , datamem|ram~1986, mips_16, 1
+instance = comp, \datamem|ram~2002 , datamem|ram~2002, mips_16, 1
+instance = comp, \datamem|ram~2034 , datamem|ram~2034, mips_16, 1
+instance = comp, \datamem|ram~4220 , datamem|ram~4220, mips_16, 1
+instance = comp, \datamem|ram~1266 , datamem|ram~1266, mips_16, 1
+instance = comp, \datamem|ram~1250 , datamem|ram~1250, mips_16, 1
+instance = comp, \datamem|ram~1234 , datamem|ram~1234, mips_16, 1
+instance = comp, \datamem|ram~1218 , datamem|ram~1218, mips_16, 1
+instance = comp, \datamem|ram~4217 , datamem|ram~4217, mips_16, 1
+instance = comp, \datamem|ram~1746 , datamem|ram~1746, mips_16, 1
+instance = comp, \datamem|ram~1730 , datamem|ram~1730, mips_16, 1
+instance = comp, \datamem|ram~1762 , datamem|ram~1762, mips_16, 1
+instance = comp, \datamem|ram~1778 , datamem|ram~1778, mips_16, 1
+instance = comp, \datamem|ram~4219 , datamem|ram~4219, mips_16, 1
+instance = comp, \datamem|ram~4221 , datamem|ram~4221, mips_16, 1
+instance = comp, \datamem|ram~1314 , datamem|ram~1314, mips_16, 1
+instance = comp, \datamem|ram~1282 , datamem|ram~1282, mips_16, 1
+instance = comp, \datamem|ram~1330feeder , datamem|ram~1330feeder, mips_16, 1
+instance = comp, \datamem|ram~1330 , datamem|ram~1330, mips_16, 1
+instance = comp, \datamem|ram~1298 , datamem|ram~1298, mips_16, 1
+instance = comp, \datamem|ram~4203 , datamem|ram~4203, mips_16, 1
+instance = comp, \datamem|ram~1810 , datamem|ram~1810, mips_16, 1
+instance = comp, \datamem|ram~1826feeder , datamem|ram~1826feeder, mips_16, 1
+instance = comp, \datamem|ram~1826 , datamem|ram~1826, mips_16, 1
+instance = comp, \datamem|ram~1794feeder , datamem|ram~1794feeder, mips_16, 1
+instance = comp, \datamem|ram~1794 , datamem|ram~1794, mips_16, 1
+instance = comp, \datamem|ram~1842 , datamem|ram~1842, mips_16, 1
+instance = comp, \datamem|ram~4205 , datamem|ram~4205, mips_16, 1
+instance = comp, \datamem|ram~1074 , datamem|ram~1074, mips_16, 1
+instance = comp, \datamem|ram~1058feeder , datamem|ram~1058feeder, mips_16, 1
+instance = comp, \datamem|ram~1058 , datamem|ram~1058, mips_16, 1
+instance = comp, \datamem|ram~1026feeder , datamem|ram~1026feeder, mips_16, 1
+instance = comp, \datamem|ram~1026 , datamem|ram~1026, mips_16, 1
+instance = comp, \datamem|ram~1042 , datamem|ram~1042, mips_16, 1
+instance = comp, \datamem|ram~4202 , datamem|ram~4202, mips_16, 1
+instance = comp, \datamem|ram~1570feeder , datamem|ram~1570feeder, mips_16, 1
+instance = comp, \datamem|ram~1570 , datamem|ram~1570, mips_16, 1
+instance = comp, \datamem|ram~1538feeder , datamem|ram~1538feeder, mips_16, 1
+instance = comp, \datamem|ram~1538 , datamem|ram~1538, mips_16, 1
+instance = comp, \datamem|ram~1554 , datamem|ram~1554, mips_16, 1
+instance = comp, \datamem|ram~1586 , datamem|ram~1586, mips_16, 1
+instance = comp, \datamem|ram~4204 , datamem|ram~4204, mips_16, 1
+instance = comp, \datamem|ram~4206 , datamem|ram~4206, mips_16, 1
+instance = comp, \datamem|ram~4222 , datamem|ram~4222, mips_16, 1
+instance = comp, \datamem|ram~946 , datamem|ram~946, mips_16, 1
+instance = comp, \datamem|ram~882 , datamem|ram~882, mips_16, 1
+instance = comp, \datamem|ram~818feeder , datamem|ram~818feeder, mips_16, 1
+instance = comp, \datamem|ram~818 , datamem|ram~818, mips_16, 1
+instance = comp, \datamem|ram~1010 , datamem|ram~1010, mips_16, 1
+instance = comp, \datamem|ram~4199 , datamem|ram~4199, mips_16, 1
+instance = comp, \datamem|ram~50 , datamem|ram~50, mips_16, 1
+instance = comp, \datamem|ram~178 , datamem|ram~178, mips_16, 1
+instance = comp, \datamem|ram~114 , datamem|ram~114, mips_16, 1
+instance = comp, \datamem|ram~242 , datamem|ram~242, mips_16, 1
+instance = comp, \datamem|ram~4196 , datamem|ram~4196, mips_16, 1
+instance = comp, \datamem|ram~626 , datamem|ram~626, mips_16, 1
+instance = comp, \datamem|ram~562 , datamem|ram~562, mips_16, 1
+instance = comp, \datamem|ram~690 , datamem|ram~690, mips_16, 1
+instance = comp, \datamem|ram~754 , datamem|ram~754, mips_16, 1
+instance = comp, \datamem|ram~4198 , datamem|ram~4198, mips_16, 1
+instance = comp, \datamem|ram~370 , datamem|ram~370, mips_16, 1
+instance = comp, \datamem|ram~434 , datamem|ram~434, mips_16, 1
+instance = comp, \datamem|ram~306feeder , datamem|ram~306feeder, mips_16, 1
+instance = comp, \datamem|ram~306 , datamem|ram~306, mips_16, 1
+instance = comp, \datamem|ram~498 , datamem|ram~498, mips_16, 1
+instance = comp, \datamem|ram~4197 , datamem|ram~4197, mips_16, 1
+instance = comp, \datamem|ram~4200 , datamem|ram~4200, mips_16, 1
+instance = comp, \datamem|ram~962 , datamem|ram~962, mips_16, 1
+instance = comp, \datamem|ram~194feeder , datamem|ram~194feeder, mips_16, 1
+instance = comp, \datamem|ram~194 , datamem|ram~194, mips_16, 1
+instance = comp, \datamem|ram~450 , datamem|ram~450, mips_16, 1
+instance = comp, \datamem|ram~706feeder , datamem|ram~706feeder, mips_16, 1
+instance = comp, \datamem|ram~706 , datamem|ram~706, mips_16, 1
+instance = comp, \datamem|ram~4184 , datamem|ram~4184, mips_16, 1
+instance = comp, \datamem|ram~386 , datamem|ram~386, mips_16, 1
+instance = comp, \datamem|ram~642feeder , datamem|ram~642feeder, mips_16, 1
+instance = comp, \datamem|ram~642 , datamem|ram~642, mips_16, 1
+instance = comp, \datamem|ram~130feeder , datamem|ram~130feeder, mips_16, 1
+instance = comp, \datamem|ram~130 , datamem|ram~130, mips_16, 1
+instance = comp, \datamem|ram~898 , datamem|ram~898, mips_16, 1
+instance = comp, \datamem|ram~4183 , datamem|ram~4183, mips_16, 1
+instance = comp, \datamem|ram~514 , datamem|ram~514, mips_16, 1
+instance = comp, \datamem|ram~770feeder , datamem|ram~770feeder, mips_16, 1
+instance = comp, \datamem|ram~770 , datamem|ram~770, mips_16, 1
+instance = comp, \datamem|ram~2feeder , datamem|ram~2feeder, mips_16, 1
+instance = comp, \datamem|ram~2 , datamem|ram~2, mips_16, 1
+instance = comp, \datamem|ram~258 , datamem|ram~258, mips_16, 1
+instance = comp, \datamem|ram~4181 , datamem|ram~4181, mips_16, 1
+instance = comp, \datamem|ram~834feeder , datamem|ram~834feeder, mips_16, 1
+instance = comp, \datamem|ram~834 , datamem|ram~834, mips_16, 1
+instance = comp, \datamem|ram~66 , datamem|ram~66, mips_16, 1
+instance = comp, \datamem|ram~578feeder , datamem|ram~578feeder, mips_16, 1
+instance = comp, \datamem|ram~578 , datamem|ram~578, mips_16, 1
+instance = comp, \datamem|ram~322 , datamem|ram~322, mips_16, 1
+instance = comp, \datamem|ram~4182 , datamem|ram~4182, mips_16, 1
+instance = comp, \datamem|ram~4185 , datamem|ram~4185, mips_16, 1
+instance = comp, \datamem|ram~226 , datamem|ram~226, mips_16, 1
+instance = comp, \datamem|ram~994 , datamem|ram~994, mips_16, 1
+instance = comp, \datamem|ram~738 , datamem|ram~738, mips_16, 1
+instance = comp, \datamem|ram~482 , datamem|ram~482, mips_16, 1
+instance = comp, \datamem|ram~4194 , datamem|ram~4194, mips_16, 1
+instance = comp, \datamem|ram~674 , datamem|ram~674, mips_16, 1
+instance = comp, \datamem|ram~162 , datamem|ram~162, mips_16, 1
+instance = comp, \datamem|ram~418feeder , datamem|ram~418feeder, mips_16, 1
+instance = comp, \datamem|ram~418 , datamem|ram~418, mips_16, 1
+instance = comp, \datamem|ram~930 , datamem|ram~930, mips_16, 1
+instance = comp, \datamem|ram~4193 , datamem|ram~4193, mips_16, 1
+instance = comp, \datamem|ram~98feeder , datamem|ram~98feeder, mips_16, 1
+instance = comp, \datamem|ram~98 , datamem|ram~98, mips_16, 1
+instance = comp, \datamem|ram~354 , datamem|ram~354, mips_16, 1
+instance = comp, \datamem|ram~610 , datamem|ram~610, mips_16, 1
+instance = comp, \datamem|ram~866 , datamem|ram~866, mips_16, 1
+instance = comp, \datamem|ram~4192 , datamem|ram~4192, mips_16, 1
+instance = comp, \datamem|ram~546feeder , datamem|ram~546feeder, mips_16, 1
+instance = comp, \datamem|ram~546 , datamem|ram~546, mips_16, 1
+instance = comp, \datamem|ram~34feeder , datamem|ram~34feeder, mips_16, 1
+instance = comp, \datamem|ram~34 , datamem|ram~34, mips_16, 1
+instance = comp, \datamem|ram~290 , datamem|ram~290, mips_16, 1
+instance = comp, \datamem|ram~802 , datamem|ram~802, mips_16, 1
+instance = comp, \datamem|ram~4191 , datamem|ram~4191, mips_16, 1
+instance = comp, \datamem|ram~4195 , datamem|ram~4195, mips_16, 1
+instance = comp, \datamem|ram~146 , datamem|ram~146, mips_16, 1
+instance = comp, \datamem|ram~402 , datamem|ram~402, mips_16, 1
+instance = comp, \datamem|ram~658 , datamem|ram~658, mips_16, 1
+instance = comp, \datamem|ram~914 , datamem|ram~914, mips_16, 1
+instance = comp, \datamem|ram~4188 , datamem|ram~4188, mips_16, 1
+instance = comp, \datamem|ram~850 , datamem|ram~850, mips_16, 1
+instance = comp, \datamem|ram~82 , datamem|ram~82, mips_16, 1
+instance = comp, \datamem|ram~594 , datamem|ram~594, mips_16, 1
+instance = comp, \datamem|ram~338 , datamem|ram~338, mips_16, 1
+instance = comp, \datamem|ram~4187 , datamem|ram~4187, mips_16, 1
+instance = comp, \datamem|ram~210 , datamem|ram~210, mips_16, 1
+instance = comp, \datamem|ram~722feeder , datamem|ram~722feeder, mips_16, 1
+instance = comp, \datamem|ram~722 , datamem|ram~722, mips_16, 1
+instance = comp, \datamem|ram~466feeder , datamem|ram~466feeder, mips_16, 1
+instance = comp, \datamem|ram~466 , datamem|ram~466, mips_16, 1
+instance = comp, \datamem|ram~978 , datamem|ram~978, mips_16, 1
+instance = comp, \datamem|ram~4189 , datamem|ram~4189, mips_16, 1
+instance = comp, \datamem|ram~786feeder , datamem|ram~786feeder, mips_16, 1
+instance = comp, \datamem|ram~786 , datamem|ram~786, mips_16, 1
+instance = comp, \datamem|ram~18 , datamem|ram~18, mips_16, 1
+instance = comp, \datamem|ram~530 , datamem|ram~530, mips_16, 1
+instance = comp, \datamem|ram~274 , datamem|ram~274, mips_16, 1
+instance = comp, \datamem|ram~4186 , datamem|ram~4186, mips_16, 1
+instance = comp, \datamem|ram~4190 , datamem|ram~4190, mips_16, 1
+instance = comp, \datamem|ram~4201 , datamem|ram~4201, mips_16, 1
+instance = comp, \datamem|ram~4265 , datamem|ram~4265, mips_16, 1
+instance = comp, \reg_write_data[2]~3 , reg_write_data[2]~3, mips_16, 1
+instance = comp, \reg_file|reg_array[0][2] , reg_file|reg_array[0][2], mips_16, 1
+instance = comp, \reg_file|reg_array[2][2] , reg_file|reg_array[2][2], mips_16, 1
+instance = comp, \reg_file|reg_array[1][2] , reg_file|reg_array[1][2], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[2]~3 , reg_file|reg_read_data_2[2]~3, mips_16, 1
+instance = comp, \read_data2[2]~3 , read_data2[2]~3, mips_16, 1
+instance = comp, \alu_unit|shifter_left|op[0]~0 , alu_unit|shifter_left|op[0]~0, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[15]~14 , alu_unit|shifter_right|st2[15]~14, mips_16, 1
+instance = comp, \alu_unit|shifter_right|st2[11]~11 , alu_unit|shifter_right|st2[11]~11, mips_16, 1
+instance = comp, \alu_unit|shifter_right|op[15]~0 , alu_unit|shifter_right|op[15]~0, mips_16, 1
+instance = comp, \alu_unit|LessThan0~13 , alu_unit|LessThan0~13, mips_16, 1
+instance = comp, \alu_unit|LessThan0~14 , alu_unit|LessThan0~14, mips_16, 1
+instance = comp, \alu_unit|LessThan0~9 , alu_unit|LessThan0~9, mips_16, 1
+instance = comp, \alu_unit|LessThan0~8 , alu_unit|LessThan0~8, mips_16, 1
+instance = comp, \alu_unit|LessThan0~7 , alu_unit|LessThan0~7, mips_16, 1
+instance = comp, \alu_unit|LessThan0~10 , alu_unit|LessThan0~10, mips_16, 1
+instance = comp, \alu_unit|LessThan0~11 , alu_unit|LessThan0~11, mips_16, 1
+instance = comp, \alu_unit|LessThan0~15 , alu_unit|LessThan0~15, mips_16, 1
+instance = comp, \alu_unit|LessThan0~16 , alu_unit|LessThan0~16, mips_16, 1
+instance = comp, \alu_unit|LessThan0~17 , alu_unit|LessThan0~17, mips_16, 1
+instance = comp, \alu_unit|LessThan0~12 , alu_unit|LessThan0~12, mips_16, 1
+instance = comp, \reg_file|reg_array[2][15] , reg_file|reg_array[2][15], mips_16, 1
+instance = comp, \reg_file|reg_array[0][15] , reg_file|reg_array[0][15], mips_16, 1
+instance = comp, \reg_file|reg_array[1][15] , reg_file|reg_array[1][15], mips_16, 1
+instance = comp, \reg_file|reg_array[3][15]~feeder , reg_file|reg_array[3][15]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[3][15] , reg_file|reg_array[3][15], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[15]~15 , reg_file|reg_read_data_2[15]~15, mips_16, 1
+instance = comp, \read_data2[15]~15 , read_data2[15]~15, mips_16, 1
+instance = comp, \alu_unit|LessThan0~3 , alu_unit|LessThan0~3, mips_16, 1
+instance = comp, \alu_unit|LessThan0~5 , alu_unit|LessThan0~5, mips_16, 1
+instance = comp, \alu_unit|LessThan0~6 , alu_unit|LessThan0~6, mips_16, 1
+instance = comp, \alu_unit|LessThan0~0 , alu_unit|LessThan0~0, mips_16, 1
+instance = comp, \alu_unit|LessThan0~1 , alu_unit|LessThan0~1, mips_16, 1
+instance = comp, \alu_unit|LessThan0~2 , alu_unit|LessThan0~2, mips_16, 1
+instance = comp, \alu_unit|LessThan0~4 , alu_unit|LessThan0~4, mips_16, 1
+instance = comp, \alu_unit|LessThan0~18 , alu_unit|LessThan0~18, mips_16, 1
+instance = comp, \alu_unit|result~0 , alu_unit|result~0, mips_16, 1
+instance = comp, \alu_unit|result~1 , alu_unit|result~1, mips_16, 1
+instance = comp, \alu_unit|Mux15~4 , alu_unit|Mux15~4, mips_16, 1
+instance = comp, \alu_unit|Mux15~0 , alu_unit|Mux15~0, mips_16, 1
+instance = comp, \datamem|ram~3088 , datamem|ram~3088, mips_16, 1
+instance = comp, \datamem|ram~3152feeder , datamem|ram~3152feeder, mips_16, 1
+instance = comp, \datamem|ram~3152 , datamem|ram~3152, mips_16, 1
+instance = comp, \datamem|ram~3216feeder , datamem|ram~3216feeder, mips_16, 1
+instance = comp, \datamem|ram~3216 , datamem|ram~3216, mips_16, 1
+instance = comp, \datamem|ram~3280 , datamem|ram~3280, mips_16, 1
+instance = comp, \datamem|ram~4500 , datamem|ram~4500, mips_16, 1
+instance = comp, \datamem|ram~3248feeder , datamem|ram~3248feeder, mips_16, 1
+instance = comp, \datamem|ram~3248 , datamem|ram~3248, mips_16, 1
+instance = comp, \datamem|ram~3184 , datamem|ram~3184, mips_16, 1
+instance = comp, \datamem|ram~3312feeder , datamem|ram~3312feeder, mips_16, 1
+instance = comp, \datamem|ram~3312 , datamem|ram~3312, mips_16, 1
+instance = comp, \datamem|ram~3120 , datamem|ram~3120, mips_16, 1
+instance = comp, \datamem|ram~4502 , datamem|ram~4502, mips_16, 1
+instance = comp, \datamem|ram~3136 , datamem|ram~3136, mips_16, 1
+instance = comp, \datamem|ram~3200 , datamem|ram~3200, mips_16, 1
+instance = comp, \datamem|ram~3072 , datamem|ram~3072, mips_16, 1
+instance = comp, \datamem|ram~3264 , datamem|ram~3264, mips_16, 1
+instance = comp, \datamem|ram~4499 , datamem|ram~4499, mips_16, 1
+instance = comp, \datamem|ram~3104feeder , datamem|ram~3104feeder, mips_16, 1
+instance = comp, \datamem|ram~3104 , datamem|ram~3104, mips_16, 1
+instance = comp, \datamem|ram~3168feeder , datamem|ram~3168feeder, mips_16, 1
+instance = comp, \datamem|ram~3168 , datamem|ram~3168, mips_16, 1
+instance = comp, \datamem|ram~3232feeder , datamem|ram~3232feeder, mips_16, 1
+instance = comp, \datamem|ram~3232 , datamem|ram~3232, mips_16, 1
+instance = comp, \datamem|ram~3296 , datamem|ram~3296, mips_16, 1
+instance = comp, \datamem|ram~4501 , datamem|ram~4501, mips_16, 1
+instance = comp, \datamem|ram~4503 , datamem|ram~4503, mips_16, 1
+instance = comp, \datamem|ram~3440feeder , datamem|ram~3440feeder, mips_16, 1
+instance = comp, \datamem|ram~3440 , datamem|ram~3440, mips_16, 1
+instance = comp, \datamem|ram~3376feeder , datamem|ram~3376feeder, mips_16, 1
+instance = comp, \datamem|ram~3376 , datamem|ram~3376, mips_16, 1
+instance = comp, \datamem|ram~3504feeder , datamem|ram~3504feeder, mips_16, 1
+instance = comp, \datamem|ram~3504 , datamem|ram~3504, mips_16, 1
+instance = comp, \datamem|ram~3568 , datamem|ram~3568, mips_16, 1
+instance = comp, \datamem|ram~4507 , datamem|ram~4507, mips_16, 1
+instance = comp, \datamem|ram~3392feeder , datamem|ram~3392feeder, mips_16, 1
+instance = comp, \datamem|ram~3392 , datamem|ram~3392, mips_16, 1
+instance = comp, \datamem|ram~3456feeder , datamem|ram~3456feeder, mips_16, 1
+instance = comp, \datamem|ram~3456 , datamem|ram~3456, mips_16, 1
+instance = comp, \datamem|ram~3328feeder , datamem|ram~3328feeder, mips_16, 1
+instance = comp, \datamem|ram~3328 , datamem|ram~3328, mips_16, 1
+instance = comp, \datamem|ram~3520 , datamem|ram~3520, mips_16, 1
+instance = comp, \datamem|ram~4504 , datamem|ram~4504, mips_16, 1
+instance = comp, \datamem|ram~3344 , datamem|ram~3344, mips_16, 1
+instance = comp, \datamem|ram~3472feeder , datamem|ram~3472feeder, mips_16, 1
+instance = comp, \datamem|ram~3472 , datamem|ram~3472, mips_16, 1
+instance = comp, \datamem|ram~3408 , datamem|ram~3408, mips_16, 1
+instance = comp, \datamem|ram~3536 , datamem|ram~3536, mips_16, 1
+instance = comp, \datamem|ram~4505 , datamem|ram~4505, mips_16, 1
+instance = comp, \datamem|ram~3360 , datamem|ram~3360, mips_16, 1
+instance = comp, \datamem|ram~3424 , datamem|ram~3424, mips_16, 1
+instance = comp, \datamem|ram~3488feeder , datamem|ram~3488feeder, mips_16, 1
+instance = comp, \datamem|ram~3488 , datamem|ram~3488, mips_16, 1
+instance = comp, \datamem|ram~3552 , datamem|ram~3552, mips_16, 1
+instance = comp, \datamem|ram~4506 , datamem|ram~4506, mips_16, 1
+instance = comp, \datamem|ram~4508 , datamem|ram~4508, mips_16, 1
+instance = comp, \datamem|ram~3600 , datamem|ram~3600, mips_16, 1
+instance = comp, \datamem|ram~3664 , datamem|ram~3664, mips_16, 1
+instance = comp, \datamem|ram~3728feeder , datamem|ram~3728feeder, mips_16, 1
+instance = comp, \datamem|ram~3728 , datamem|ram~3728, mips_16, 1
+instance = comp, \datamem|ram~3792 , datamem|ram~3792, mips_16, 1
+instance = comp, \datamem|ram~4510 , datamem|ram~4510, mips_16, 1
+instance = comp, \datamem|ram~3712 , datamem|ram~3712, mips_16, 1
+instance = comp, \datamem|ram~3584 , datamem|ram~3584, mips_16, 1
+instance = comp, \datamem|ram~3648 , datamem|ram~3648, mips_16, 1
+instance = comp, \datamem|ram~3776 , datamem|ram~3776, mips_16, 1
+instance = comp, \datamem|ram~4509 , datamem|ram~4509, mips_16, 1
+instance = comp, \datamem|ram~3760feeder , datamem|ram~3760feeder, mips_16, 1
+instance = comp, \datamem|ram~3760 , datamem|ram~3760, mips_16, 1
+instance = comp, \datamem|ram~3824feeder , datamem|ram~3824feeder, mips_16, 1
+instance = comp, \datamem|ram~3824 , datamem|ram~3824, mips_16, 1
+instance = comp, \datamem|ram~3632feeder , datamem|ram~3632feeder, mips_16, 1
+instance = comp, \datamem|ram~3632 , datamem|ram~3632, mips_16, 1
+instance = comp, \datamem|ram~3696feeder , datamem|ram~3696feeder, mips_16, 1
+instance = comp, \datamem|ram~3696 , datamem|ram~3696, mips_16, 1
+instance = comp, \datamem|ram~4512 , datamem|ram~4512, mips_16, 1
+instance = comp, \datamem|ram~3616feeder , datamem|ram~3616feeder, mips_16, 1
+instance = comp, \datamem|ram~3616 , datamem|ram~3616, mips_16, 1
+instance = comp, \datamem|ram~3744feeder , datamem|ram~3744feeder, mips_16, 1
+instance = comp, \datamem|ram~3744 , datamem|ram~3744, mips_16, 1
+instance = comp, \datamem|ram~3680 , datamem|ram~3680, mips_16, 1
+instance = comp, \datamem|ram~3808 , datamem|ram~3808, mips_16, 1
+instance = comp, \datamem|ram~4511 , datamem|ram~4511, mips_16, 1
+instance = comp, \datamem|ram~4513 , datamem|ram~4513, mips_16, 1
+instance = comp, \datamem|ram~4064feeder , datamem|ram~4064feeder, mips_16, 1
+instance = comp, \datamem|ram~4064 , datamem|ram~4064, mips_16, 1
+instance = comp, \datamem|ram~4048 , datamem|ram~4048, mips_16, 1
+instance = comp, \datamem|ram~4032feeder , datamem|ram~4032feeder, mips_16, 1
+instance = comp, \datamem|ram~4032 , datamem|ram~4032, mips_16, 1
+instance = comp, \datamem|ram~4080 , datamem|ram~4080, mips_16, 1
+instance = comp, \datamem|ram~4517 , datamem|ram~4517, mips_16, 1
+instance = comp, \datamem|ram~3872feeder , datamem|ram~3872feeder, mips_16, 1
+instance = comp, \datamem|ram~3872 , datamem|ram~3872, mips_16, 1
+instance = comp, \datamem|ram~3856feeder , datamem|ram~3856feeder, mips_16, 1
+instance = comp, \datamem|ram~3856 , datamem|ram~3856, mips_16, 1
+instance = comp, \datamem|ram~3840 , datamem|ram~3840, mips_16, 1
+instance = comp, \datamem|ram~3888 , datamem|ram~3888, mips_16, 1
+instance = comp, \datamem|ram~4514 , datamem|ram~4514, mips_16, 1
+instance = comp, \datamem|ram~4000 , datamem|ram~4000, mips_16, 1
+instance = comp, \datamem|ram~3968feeder , datamem|ram~3968feeder, mips_16, 1
+instance = comp, \datamem|ram~3968 , datamem|ram~3968, mips_16, 1
+instance = comp, \datamem|ram~3984 , datamem|ram~3984, mips_16, 1
+instance = comp, \datamem|ram~4016 , datamem|ram~4016, mips_16, 1
+instance = comp, \datamem|ram~4516 , datamem|ram~4516, mips_16, 1
+instance = comp, \datamem|ram~3920feeder , datamem|ram~3920feeder, mips_16, 1
+instance = comp, \datamem|ram~3920 , datamem|ram~3920, mips_16, 1
+instance = comp, \datamem|ram~3936 , datamem|ram~3936, mips_16, 1
+instance = comp, \datamem|ram~3904 , datamem|ram~3904, mips_16, 1
+instance = comp, \datamem|ram~3952 , datamem|ram~3952, mips_16, 1
+instance = comp, \datamem|ram~4515 , datamem|ram~4515, mips_16, 1
+instance = comp, \datamem|ram~4518 , datamem|ram~4518, mips_16, 1
+instance = comp, \datamem|ram~4519 , datamem|ram~4519, mips_16, 1
+instance = comp, \datamem|ram~400 , datamem|ram~400, mips_16, 1
+instance = comp, \datamem|ram~272feeder , datamem|ram~272feeder, mips_16, 1
+instance = comp, \datamem|ram~272 , datamem|ram~272, mips_16, 1
+instance = comp, \datamem|ram~336feeder , datamem|ram~336feeder, mips_16, 1
+instance = comp, \datamem|ram~336 , datamem|ram~336, mips_16, 1
+instance = comp, \datamem|ram~464feeder , datamem|ram~464feeder, mips_16, 1
+instance = comp, \datamem|ram~464 , datamem|ram~464, mips_16, 1
+instance = comp, \datamem|ram~4442 , datamem|ram~4442, mips_16, 1
+instance = comp, \datamem|ram~368 , datamem|ram~368, mips_16, 1
+instance = comp, \datamem|ram~304 , datamem|ram~304, mips_16, 1
+instance = comp, \datamem|ram~432 , datamem|ram~432, mips_16, 1
+instance = comp, \datamem|ram~496 , datamem|ram~496, mips_16, 1
+instance = comp, \datamem|ram~4444 , datamem|ram~4444, mips_16, 1
+instance = comp, \datamem|ram~416 , datamem|ram~416, mips_16, 1
+instance = comp, \datamem|ram~352 , datamem|ram~352, mips_16, 1
+instance = comp, \datamem|ram~288 , datamem|ram~288, mips_16, 1
+instance = comp, \datamem|ram~480 , datamem|ram~480, mips_16, 1
+instance = comp, \datamem|ram~4443 , datamem|ram~4443, mips_16, 1
+instance = comp, \datamem|ram~448feeder , datamem|ram~448feeder, mips_16, 1
+instance = comp, \datamem|ram~448 , datamem|ram~448, mips_16, 1
+instance = comp, \datamem|ram~384 , datamem|ram~384, mips_16, 1
+instance = comp, \datamem|ram~256feeder , datamem|ram~256feeder, mips_16, 1
+instance = comp, \datamem|ram~256 , datamem|ram~256, mips_16, 1
+instance = comp, \datamem|ram~320 , datamem|ram~320, mips_16, 1
+instance = comp, \datamem|ram~4441 , datamem|ram~4441, mips_16, 1
+instance = comp, \datamem|ram~4445 , datamem|ram~4445, mips_16, 1
+instance = comp, \datamem|ram~224feeder , datamem|ram~224feeder, mips_16, 1
+instance = comp, \datamem|ram~224 , datamem|ram~224, mips_16, 1
+instance = comp, \datamem|ram~160feeder , datamem|ram~160feeder, mips_16, 1
+instance = comp, \datamem|ram~160 , datamem|ram~160, mips_16, 1
+instance = comp, \datamem|ram~32feeder , datamem|ram~32feeder, mips_16, 1
+instance = comp, \datamem|ram~32 , datamem|ram~32, mips_16, 1
+instance = comp, \datamem|ram~96feeder , datamem|ram~96feeder, mips_16, 1
+instance = comp, \datamem|ram~96 , datamem|ram~96, mips_16, 1
+instance = comp, \datamem|ram~4438 , datamem|ram~4438, mips_16, 1
+instance = comp, \datamem|ram~112 , datamem|ram~112, mips_16, 1
+instance = comp, \datamem|ram~48feeder , datamem|ram~48feeder, mips_16, 1
+instance = comp, \datamem|ram~48 , datamem|ram~48, mips_16, 1
+instance = comp, \datamem|ram~176 , datamem|ram~176, mips_16, 1
+instance = comp, \datamem|ram~240 , datamem|ram~240, mips_16, 1
+instance = comp, \datamem|ram~4439 , datamem|ram~4439, mips_16, 1
+instance = comp, \datamem|ram~16feeder , datamem|ram~16feeder, mips_16, 1
+instance = comp, \datamem|ram~16 , datamem|ram~16, mips_16, 1
+instance = comp, \datamem|ram~208feeder , datamem|ram~208feeder, mips_16, 1
+instance = comp, \datamem|ram~208 , datamem|ram~208, mips_16, 1
+instance = comp, \datamem|ram~144feeder , datamem|ram~144feeder, mips_16, 1
+instance = comp, \datamem|ram~144 , datamem|ram~144, mips_16, 1
+instance = comp, \datamem|ram~80 , datamem|ram~80, mips_16, 1
+instance = comp, \datamem|ram~4437 , datamem|ram~4437, mips_16, 1
+instance = comp, \datamem|ram~128 , datamem|ram~128, mips_16, 1
+instance = comp, \datamem|ram~0feeder , datamem|ram~0feeder, mips_16, 1
+instance = comp, \datamem|ram~0 , datamem|ram~0, mips_16, 1
+instance = comp, \datamem|ram~192feeder , datamem|ram~192feeder, mips_16, 1
+instance = comp, \datamem|ram~192 , datamem|ram~192, mips_16, 1
+instance = comp, \datamem|ram~64 , datamem|ram~64, mips_16, 1
+instance = comp, \datamem|ram~4436 , datamem|ram~4436, mips_16, 1
+instance = comp, \datamem|ram~4440 , datamem|ram~4440, mips_16, 1
+instance = comp, \datamem|ram~688 , datamem|ram~688, mips_16, 1
+instance = comp, \datamem|ram~624feeder , datamem|ram~624feeder, mips_16, 1
+instance = comp, \datamem|ram~624 , datamem|ram~624, mips_16, 1
+instance = comp, \datamem|ram~560 , datamem|ram~560, mips_16, 1
+instance = comp, \datamem|ram~752 , datamem|ram~752, mips_16, 1
+instance = comp, \datamem|ram~4449 , datamem|ram~4449, mips_16, 1
+instance = comp, \datamem|ram~544feeder , datamem|ram~544feeder, mips_16, 1
+instance = comp, \datamem|ram~544 , datamem|ram~544, mips_16, 1
+instance = comp, \datamem|ram~608feeder , datamem|ram~608feeder, mips_16, 1
+instance = comp, \datamem|ram~608 , datamem|ram~608, mips_16, 1
+instance = comp, \datamem|ram~672feeder , datamem|ram~672feeder, mips_16, 1
+instance = comp, \datamem|ram~672 , datamem|ram~672, mips_16, 1
+instance = comp, \datamem|ram~736 , datamem|ram~736, mips_16, 1
+instance = comp, \datamem|ram~4448 , datamem|ram~4448, mips_16, 1
+instance = comp, \datamem|ram~640feeder , datamem|ram~640feeder, mips_16, 1
+instance = comp, \datamem|ram~640 , datamem|ram~640, mips_16, 1
+instance = comp, \datamem|ram~576feeder , datamem|ram~576feeder, mips_16, 1
+instance = comp, \datamem|ram~576 , datamem|ram~576, mips_16, 1
+instance = comp, \datamem|ram~512 , datamem|ram~512, mips_16, 1
+instance = comp, \datamem|ram~704 , datamem|ram~704, mips_16, 1
+instance = comp, \datamem|ram~4446 , datamem|ram~4446, mips_16, 1
+instance = comp, \datamem|ram~528feeder , datamem|ram~528feeder, mips_16, 1
+instance = comp, \datamem|ram~528 , datamem|ram~528, mips_16, 1
+instance = comp, \datamem|ram~592feeder , datamem|ram~592feeder, mips_16, 1
+instance = comp, \datamem|ram~592 , datamem|ram~592, mips_16, 1
+instance = comp, \datamem|ram~656feeder , datamem|ram~656feeder, mips_16, 1
+instance = comp, \datamem|ram~656 , datamem|ram~656, mips_16, 1
+instance = comp, \datamem|ram~720 , datamem|ram~720, mips_16, 1
+instance = comp, \datamem|ram~4447 , datamem|ram~4447, mips_16, 1
+instance = comp, \datamem|ram~4450 , datamem|ram~4450, mips_16, 1
+instance = comp, \datamem|ram~912 , datamem|ram~912, mips_16, 1
+instance = comp, \datamem|ram~896 , datamem|ram~896, mips_16, 1
+instance = comp, \datamem|ram~928feeder , datamem|ram~928feeder, mips_16, 1
+instance = comp, \datamem|ram~928 , datamem|ram~928, mips_16, 1
+instance = comp, \datamem|ram~944 , datamem|ram~944, mips_16, 1
+instance = comp, \datamem|ram~4453 , datamem|ram~4453, mips_16, 1
+instance = comp, \datamem|ram~960 , datamem|ram~960, mips_16, 1
+instance = comp, \datamem|ram~976 , datamem|ram~976, mips_16, 1
+instance = comp, \datamem|ram~992 , datamem|ram~992, mips_16, 1
+instance = comp, \datamem|ram~1008 , datamem|ram~1008, mips_16, 1
+instance = comp, \datamem|ram~4454 , datamem|ram~4454, mips_16, 1
+instance = comp, \datamem|ram~800 , datamem|ram~800, mips_16, 1
+instance = comp, \datamem|ram~784 , datamem|ram~784, mips_16, 1
+instance = comp, \datamem|ram~768feeder , datamem|ram~768feeder, mips_16, 1
+instance = comp, \datamem|ram~768 , datamem|ram~768, mips_16, 1
+instance = comp, \datamem|ram~816 , datamem|ram~816, mips_16, 1
+instance = comp, \datamem|ram~4451 , datamem|ram~4451, mips_16, 1
+instance = comp, \datamem|ram~864 , datamem|ram~864, mips_16, 1
+instance = comp, \datamem|ram~848feeder , datamem|ram~848feeder, mips_16, 1
+instance = comp, \datamem|ram~848 , datamem|ram~848, mips_16, 1
+instance = comp, \datamem|ram~832feeder , datamem|ram~832feeder, mips_16, 1
+instance = comp, \datamem|ram~832 , datamem|ram~832, mips_16, 1
+instance = comp, \datamem|ram~880 , datamem|ram~880, mips_16, 1
+instance = comp, \datamem|ram~4452 , datamem|ram~4452, mips_16, 1
+instance = comp, \datamem|ram~4455 , datamem|ram~4455, mips_16, 1
+instance = comp, \datamem|ram~4456 , datamem|ram~4456, mips_16, 1
+instance = comp, \datamem|ram~1136feeder , datamem|ram~1136feeder, mips_16, 1
+instance = comp, \datamem|ram~1136 , datamem|ram~1136, mips_16, 1
+instance = comp, \datamem|ram~1072 , datamem|ram~1072, mips_16, 1
+instance = comp, \datamem|ram~1264feeder , datamem|ram~1264feeder, mips_16, 1
+instance = comp, \datamem|ram~1264 , datamem|ram~1264, mips_16, 1
+instance = comp, \datamem|ram~1200 , datamem|ram~1200, mips_16, 1
+instance = comp, \datamem|ram~4472 , datamem|ram~4472, mips_16, 1
+instance = comp, \datamem|ram~1904feeder , datamem|ram~1904feeder, mips_16, 1
+instance = comp, \datamem|ram~1904 , datamem|ram~1904, mips_16, 1
+instance = comp, \datamem|ram~1840 , datamem|ram~1840, mips_16, 1
+instance = comp, \datamem|ram~1968feeder , datamem|ram~1968feeder, mips_16, 1
+instance = comp, \datamem|ram~1968 , datamem|ram~1968, mips_16, 1
+instance = comp, \datamem|ram~2032 , datamem|ram~2032, mips_16, 1
+instance = comp, \datamem|ram~4475 , datamem|ram~4475, mips_16, 1
+instance = comp, \datamem|ram~1328feeder , datamem|ram~1328feeder, mips_16, 1
+instance = comp, \datamem|ram~1328 , datamem|ram~1328, mips_16, 1
+instance = comp, \datamem|ram~1392 , datamem|ram~1392, mips_16, 1
+instance = comp, \datamem|ram~1456 , datamem|ram~1456, mips_16, 1
+instance = comp, \datamem|ram~1520 , datamem|ram~1520, mips_16, 1
+instance = comp, \datamem|ram~4473 , datamem|ram~4473, mips_16, 1
+instance = comp, \datamem|ram~1648 , datamem|ram~1648, mips_16, 1
+instance = comp, \datamem|ram~1584 , datamem|ram~1584, mips_16, 1
+instance = comp, \datamem|ram~1712feeder , datamem|ram~1712feeder, mips_16, 1
+instance = comp, \datamem|ram~1712 , datamem|ram~1712, mips_16, 1
+instance = comp, \datamem|ram~1776 , datamem|ram~1776, mips_16, 1
+instance = comp, \datamem|ram~4474 , datamem|ram~4474, mips_16, 1
+instance = comp, \datamem|ram~4476 , datamem|ram~4476, mips_16, 1
+instance = comp, \datamem|ram~1760feeder , datamem|ram~1760feeder, mips_16, 1
+instance = comp, \datamem|ram~1760 , datamem|ram~1760, mips_16, 1
+instance = comp, \datamem|ram~1504 , datamem|ram~1504, mips_16, 1
+instance = comp, \datamem|ram~1248 , datamem|ram~1248, mips_16, 1
+instance = comp, \datamem|ram~2016 , datamem|ram~2016, mips_16, 1
+instance = comp, \datamem|ram~4470 , datamem|ram~4470, mips_16, 1
+instance = comp, \datamem|ram~1056 , datamem|ram~1056, mips_16, 1
+instance = comp, \datamem|ram~1568feeder , datamem|ram~1568feeder, mips_16, 1
+instance = comp, \datamem|ram~1568 , datamem|ram~1568, mips_16, 1
+instance = comp, \datamem|ram~1312 , datamem|ram~1312, mips_16, 1
+instance = comp, \datamem|ram~1824 , datamem|ram~1824, mips_16, 1
+instance = comp, \datamem|ram~4467 , datamem|ram~4467, mips_16, 1
+instance = comp, \datamem|ram~1440feeder , datamem|ram~1440feeder, mips_16, 1
+instance = comp, \datamem|ram~1440 , datamem|ram~1440, mips_16, 1
+instance = comp, \datamem|ram~1696 , datamem|ram~1696, mips_16, 1
+instance = comp, \datamem|ram~1184feeder , datamem|ram~1184feeder, mips_16, 1
+instance = comp, \datamem|ram~1184 , datamem|ram~1184, mips_16, 1
+instance = comp, \datamem|ram~1952 , datamem|ram~1952, mips_16, 1
+instance = comp, \datamem|ram~4469 , datamem|ram~4469, mips_16, 1
+instance = comp, \datamem|ram~1632 , datamem|ram~1632, mips_16, 1
+instance = comp, \datamem|ram~1376 , datamem|ram~1376, mips_16, 1
+instance = comp, \datamem|ram~1120feeder , datamem|ram~1120feeder, mips_16, 1
+instance = comp, \datamem|ram~1120 , datamem|ram~1120, mips_16, 1
+instance = comp, \datamem|ram~1888 , datamem|ram~1888, mips_16, 1
+instance = comp, \datamem|ram~4468 , datamem|ram~4468, mips_16, 1
+instance = comp, \datamem|ram~4471 , datamem|ram~4471, mips_16, 1
+instance = comp, \datamem|ram~1744 , datamem|ram~1744, mips_16, 1
+instance = comp, \datamem|ram~1488 , datamem|ram~1488, mips_16, 1
+instance = comp, \datamem|ram~1232 , datamem|ram~1232, mips_16, 1
+instance = comp, \datamem|ram~2000 , datamem|ram~2000, mips_16, 1
+instance = comp, \datamem|ram~4465 , datamem|ram~4465, mips_16, 1
+instance = comp, \datamem|ram~1104feeder , datamem|ram~1104feeder, mips_16, 1
+instance = comp, \datamem|ram~1104 , datamem|ram~1104, mips_16, 1
+instance = comp, \datamem|ram~1616feeder , datamem|ram~1616feeder, mips_16, 1
+instance = comp, \datamem|ram~1616 , datamem|ram~1616, mips_16, 1
+instance = comp, \datamem|ram~1872feeder , datamem|ram~1872feeder, mips_16, 1
+instance = comp, \datamem|ram~1872 , datamem|ram~1872, mips_16, 1
+instance = comp, \datamem|ram~1360 , datamem|ram~1360, mips_16, 1
+instance = comp, \datamem|ram~4463 , datamem|ram~4463, mips_16, 1
+instance = comp, \datamem|ram~1040 , datamem|ram~1040, mips_16, 1
+instance = comp, \datamem|ram~1808 , datamem|ram~1808, mips_16, 1
+instance = comp, \datamem|ram~1552feeder , datamem|ram~1552feeder, mips_16, 1
+instance = comp, \datamem|ram~1552 , datamem|ram~1552, mips_16, 1
+instance = comp, \datamem|ram~1296 , datamem|ram~1296, mips_16, 1
+instance = comp, \datamem|ram~4462 , datamem|ram~4462, mips_16, 1
+instance = comp, \datamem|ram~1168feeder , datamem|ram~1168feeder, mips_16, 1
+instance = comp, \datamem|ram~1168 , datamem|ram~1168, mips_16, 1
+instance = comp, \datamem|ram~1680feeder , datamem|ram~1680feeder, mips_16, 1
+instance = comp, \datamem|ram~1680 , datamem|ram~1680, mips_16, 1
+instance = comp, \datamem|ram~1424feeder , datamem|ram~1424feeder, mips_16, 1
+instance = comp, \datamem|ram~1424 , datamem|ram~1424, mips_16, 1
+instance = comp, \datamem|ram~1936 , datamem|ram~1936, mips_16, 1
+instance = comp, \datamem|ram~4464 , datamem|ram~4464, mips_16, 1
+instance = comp, \datamem|ram~4466 , datamem|ram~4466, mips_16, 1
+instance = comp, \datamem|ram~1024feeder , datamem|ram~1024feeder, mips_16, 1
+instance = comp, \datamem|ram~1024 , datamem|ram~1024, mips_16, 1
+instance = comp, \datamem|ram~1536 , datamem|ram~1536, mips_16, 1
+instance = comp, \datamem|ram~1280feeder , datamem|ram~1280feeder, mips_16, 1
+instance = comp, \datamem|ram~1280 , datamem|ram~1280, mips_16, 1
+instance = comp, \datamem|ram~1792 , datamem|ram~1792, mips_16, 1
+instance = comp, \datamem|ram~4457 , datamem|ram~4457, mips_16, 1
+instance = comp, \datamem|ram~1472 , datamem|ram~1472, mips_16, 1
+instance = comp, \datamem|ram~1728 , datamem|ram~1728, mips_16, 1
+instance = comp, \datamem|ram~1216 , datamem|ram~1216, mips_16, 1
+instance = comp, \datamem|ram~1984 , datamem|ram~1984, mips_16, 1
+instance = comp, \datamem|ram~4460 , datamem|ram~4460, mips_16, 1
+instance = comp, \datamem|ram~1408 , datamem|ram~1408, mips_16, 1
+instance = comp, \datamem|ram~1664 , datamem|ram~1664, mips_16, 1
+instance = comp, \datamem|ram~1152 , datamem|ram~1152, mips_16, 1
+instance = comp, \datamem|ram~1920 , datamem|ram~1920, mips_16, 1
+instance = comp, \datamem|ram~4459 , datamem|ram~4459, mips_16, 1
+instance = comp, \datamem|ram~1600feeder , datamem|ram~1600feeder, mips_16, 1
+instance = comp, \datamem|ram~1600 , datamem|ram~1600, mips_16, 1
+instance = comp, \datamem|ram~1856feeder , datamem|ram~1856feeder, mips_16, 1
+instance = comp, \datamem|ram~1856 , datamem|ram~1856, mips_16, 1
+instance = comp, \datamem|ram~1088feeder , datamem|ram~1088feeder, mips_16, 1
+instance = comp, \datamem|ram~1088 , datamem|ram~1088, mips_16, 1
+instance = comp, \datamem|ram~1344 , datamem|ram~1344, mips_16, 1
+instance = comp, \datamem|ram~4458 , datamem|ram~4458, mips_16, 1
+instance = comp, \datamem|ram~4461 , datamem|ram~4461, mips_16, 1
+instance = comp, \datamem|ram~4477 , datamem|ram~4477, mips_16, 1
+instance = comp, \datamem|ram~3024feeder , datamem|ram~3024feeder, mips_16, 1
+instance = comp, \datamem|ram~3024 , datamem|ram~3024, mips_16, 1
+instance = comp, \datamem|ram~3040feeder , datamem|ram~3040feeder, mips_16, 1
+instance = comp, \datamem|ram~3040 , datamem|ram~3040, mips_16, 1
+instance = comp, \datamem|ram~3008 , datamem|ram~3008, mips_16, 1
+instance = comp, \datamem|ram~3056 , datamem|ram~3056, mips_16, 1
+instance = comp, \datamem|ram~4496 , datamem|ram~4496, mips_16, 1
+instance = comp, \datamem|ram~2272feeder , datamem|ram~2272feeder, mips_16, 1
+instance = comp, \datamem|ram~2272 , datamem|ram~2272, mips_16, 1
+instance = comp, \datamem|ram~2240 , datamem|ram~2240, mips_16, 1
+instance = comp, \datamem|ram~2256 , datamem|ram~2256, mips_16, 1
+instance = comp, \datamem|ram~2288 , datamem|ram~2288, mips_16, 1
+instance = comp, \datamem|ram~4493 , datamem|ram~4493, mips_16, 1
+instance = comp, \datamem|ram~2496 , datamem|ram~2496, mips_16, 1
+instance = comp, \datamem|ram~2512 , datamem|ram~2512, mips_16, 1
+instance = comp, \datamem|ram~2544feeder , datamem|ram~2544feeder, mips_16, 1
+instance = comp, \datamem|ram~2544 , datamem|ram~2544, mips_16, 1
+instance = comp, \datamem|ram~2528feeder , datamem|ram~2528feeder, mips_16, 1
+instance = comp, \datamem|ram~2528 , datamem|ram~2528, mips_16, 1
+instance = comp, \datamem|ram~4494 , datamem|ram~4494, mips_16, 1
+instance = comp, \datamem|ram~2768 , datamem|ram~2768, mips_16, 1
+instance = comp, \datamem|ram~2752 , datamem|ram~2752, mips_16, 1
+instance = comp, \datamem|ram~2784 , datamem|ram~2784, mips_16, 1
+instance = comp, \datamem|ram~2800 , datamem|ram~2800, mips_16, 1
+instance = comp, \datamem|ram~4495 , datamem|ram~4495, mips_16, 1
+instance = comp, \datamem|ram~4497 , datamem|ram~4497, mips_16, 1
+instance = comp, \datamem|ram~2624feeder , datamem|ram~2624feeder, mips_16, 1
+instance = comp, \datamem|ram~2624 , datamem|ram~2624, mips_16, 1
+instance = comp, \datamem|ram~2656 , datamem|ram~2656, mips_16, 1
+instance = comp, \datamem|ram~2640feeder , datamem|ram~2640feeder, mips_16, 1
+instance = comp, \datamem|ram~2640 , datamem|ram~2640, mips_16, 1
+instance = comp, \datamem|ram~2672 , datamem|ram~2672, mips_16, 1
+instance = comp, \datamem|ram~4485 , datamem|ram~4485, mips_16, 1
+instance = comp, \datamem|ram~2112 , datamem|ram~2112, mips_16, 1
+instance = comp, \datamem|ram~2128 , datamem|ram~2128, mips_16, 1
+instance = comp, \datamem|ram~2144 , datamem|ram~2144, mips_16, 1
+instance = comp, \datamem|ram~2160 , datamem|ram~2160, mips_16, 1
+instance = comp, \datamem|ram~4483 , datamem|ram~4483, mips_16, 1
+instance = comp, \datamem|ram~2400feeder , datamem|ram~2400feeder, mips_16, 1
+instance = comp, \datamem|ram~2400 , datamem|ram~2400, mips_16, 1
+instance = comp, \datamem|ram~2368 , datamem|ram~2368, mips_16, 1
+instance = comp, \datamem|ram~2384 , datamem|ram~2384, mips_16, 1
+instance = comp, \datamem|ram~2416 , datamem|ram~2416, mips_16, 1
+instance = comp, \datamem|ram~4484 , datamem|ram~4484, mips_16, 1
+instance = comp, \datamem|ram~2912 , datamem|ram~2912, mips_16, 1
+instance = comp, \datamem|ram~2880 , datamem|ram~2880, mips_16, 1
+instance = comp, \datamem|ram~2928 , datamem|ram~2928, mips_16, 1
+instance = comp, \datamem|ram~2896 , datamem|ram~2896, mips_16, 1
+instance = comp, \datamem|ram~4486 , datamem|ram~4486, mips_16, 1
+instance = comp, \datamem|ram~4487 , datamem|ram~4487, mips_16, 1
+instance = comp, \datamem|ram~2304feeder , datamem|ram~2304feeder, mips_16, 1
+instance = comp, \datamem|ram~2304 , datamem|ram~2304, mips_16, 1
+instance = comp, \datamem|ram~2320 , datamem|ram~2320, mips_16, 1
+instance = comp, \datamem|ram~2336 , datamem|ram~2336, mips_16, 1
+instance = comp, \datamem|ram~2352 , datamem|ram~2352, mips_16, 1
+instance = comp, \datamem|ram~4479 , datamem|ram~4479, mips_16, 1
+instance = comp, \datamem|ram~2080 , datamem|ram~2080, mips_16, 1
+instance = comp, \datamem|ram~2064 , datamem|ram~2064, mips_16, 1
+instance = comp, \datamem|ram~2048 , datamem|ram~2048, mips_16, 1
+instance = comp, \datamem|ram~2096 , datamem|ram~2096, mips_16, 1
+instance = comp, \datamem|ram~4478 , datamem|ram~4478, mips_16, 1
+instance = comp, \datamem|ram~2848 , datamem|ram~2848, mips_16, 1
+instance = comp, \datamem|ram~2832feeder , datamem|ram~2832feeder, mips_16, 1
+instance = comp, \datamem|ram~2832 , datamem|ram~2832, mips_16, 1
+instance = comp, \datamem|ram~2816 , datamem|ram~2816, mips_16, 1
+instance = comp, \datamem|ram~2864 , datamem|ram~2864, mips_16, 1
+instance = comp, \datamem|ram~4481 , datamem|ram~4481, mips_16, 1
+instance = comp, \datamem|ram~2576 , datamem|ram~2576, mips_16, 1
+instance = comp, \datamem|ram~2560 , datamem|ram~2560, mips_16, 1
+instance = comp, \datamem|ram~2592feeder , datamem|ram~2592feeder, mips_16, 1
+instance = comp, \datamem|ram~2592 , datamem|ram~2592, mips_16, 1
+instance = comp, \datamem|ram~2608 , datamem|ram~2608, mips_16, 1
+instance = comp, \datamem|ram~4480 , datamem|ram~4480, mips_16, 1
+instance = comp, \datamem|ram~4482 , datamem|ram~4482, mips_16, 1
+instance = comp, \datamem|ram~2464feeder , datamem|ram~2464feeder, mips_16, 1
+instance = comp, \datamem|ram~2464 , datamem|ram~2464, mips_16, 1
+instance = comp, \datamem|ram~2720 , datamem|ram~2720, mips_16, 1
+instance = comp, \datamem|ram~2208feeder , datamem|ram~2208feeder, mips_16, 1
+instance = comp, \datamem|ram~2208 , datamem|ram~2208, mips_16, 1
+instance = comp, \datamem|ram~2976 , datamem|ram~2976, mips_16, 1
+instance = comp, \datamem|ram~4490 , datamem|ram~4490, mips_16, 1
+instance = comp, \datamem|ram~2704feeder , datamem|ram~2704feeder, mips_16, 1
+instance = comp, \datamem|ram~2704 , datamem|ram~2704, mips_16, 1
+instance = comp, \datamem|ram~2192feeder , datamem|ram~2192feeder, mips_16, 1
+instance = comp, \datamem|ram~2192 , datamem|ram~2192, mips_16, 1
+instance = comp, \datamem|ram~2448feeder , datamem|ram~2448feeder, mips_16, 1
+instance = comp, \datamem|ram~2448 , datamem|ram~2448, mips_16, 1
+instance = comp, \datamem|ram~2960 , datamem|ram~2960, mips_16, 1
+instance = comp, \datamem|ram~4489 , datamem|ram~4489, mips_16, 1
+instance = comp, \datamem|ram~2432feeder , datamem|ram~2432feeder, mips_16, 1
+instance = comp, \datamem|ram~2432 , datamem|ram~2432, mips_16, 1
+instance = comp, \datamem|ram~2176feeder , datamem|ram~2176feeder, mips_16, 1
+instance = comp, \datamem|ram~2176 , datamem|ram~2176, mips_16, 1
+instance = comp, \datamem|ram~2688 , datamem|ram~2688, mips_16, 1
+instance = comp, \datamem|ram~2944 , datamem|ram~2944, mips_16, 1
+instance = comp, \datamem|ram~4488 , datamem|ram~4488, mips_16, 1
+instance = comp, \datamem|ram~2224 , datamem|ram~2224, mips_16, 1
+instance = comp, \datamem|ram~2736feeder , datamem|ram~2736feeder, mips_16, 1
+instance = comp, \datamem|ram~2736 , datamem|ram~2736, mips_16, 1
+instance = comp, \datamem|ram~2480feeder , datamem|ram~2480feeder, mips_16, 1
+instance = comp, \datamem|ram~2480 , datamem|ram~2480, mips_16, 1
+instance = comp, \datamem|ram~2992 , datamem|ram~2992, mips_16, 1
+instance = comp, \datamem|ram~4491 , datamem|ram~4491, mips_16, 1
+instance = comp, \datamem|ram~4492 , datamem|ram~4492, mips_16, 1
+instance = comp, \datamem|ram~4498 , datamem|ram~4498, mips_16, 1
+instance = comp, \datamem|ram~4520 , datamem|ram~4520, mips_16, 1
+instance = comp, \reg_write_data[0]~6 , reg_write_data[0]~6, mips_16, 1
+instance = comp, \reg_file|reg_array[3][0]~feeder , reg_file|reg_array[3][0]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[3][0] , reg_file|reg_array[3][0], mips_16, 1
+instance = comp, \reg_file|reg_array[7][0] , reg_file|reg_array[7][0], mips_16, 1
+instance = comp, \reg_file|reg_array[4][0] , reg_file|reg_array[4][0], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[0]~30 , reg_file|reg_read_data_1[0]~30, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[0]~31 , reg_file|reg_read_data_1[0]~31, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[3]~3 , alu_unit|shifter_left|st2[3]~3, mips_16, 1
+instance = comp, \alu_unit|Mux12~0 , alu_unit|Mux12~0, mips_16, 1
+instance = comp, \alu_unit|Mux12~1 , alu_unit|Mux12~1, mips_16, 1
+instance = comp, \alu_unit|Mux12~4 , alu_unit|Mux12~4, mips_16, 1
+instance = comp, \alu_unit|Add0~9 , alu_unit|Add0~9, mips_16, 1
+instance = comp, \alu_unit|Mux12~3 , alu_unit|Mux12~3, mips_16, 1
+instance = comp, \alu_unit|Mux12~2 , alu_unit|Mux12~2, mips_16, 1
+instance = comp, \datamem|ram~4067 , datamem|ram~4067, mips_16, 1
+instance = comp, \datamem|ram~4035 , datamem|ram~4035, mips_16, 1
+instance = comp, \datamem|ram~4051feeder , datamem|ram~4051feeder, mips_16, 1
+instance = comp, \datamem|ram~4051 , datamem|ram~4051, mips_16, 1
+instance = comp, \datamem|ram~4083 , datamem|ram~4083, mips_16, 1
+instance = comp, \datamem|ram~4347 , datamem|ram~4347, mips_16, 1
+instance = comp, \datamem|ram~3875feeder , datamem|ram~3875feeder, mips_16, 1
+instance = comp, \datamem|ram~3875 , datamem|ram~3875, mips_16, 1
+instance = comp, \datamem|ram~3859feeder , datamem|ram~3859feeder, mips_16, 1
+instance = comp, \datamem|ram~3859 , datamem|ram~3859, mips_16, 1
+instance = comp, \datamem|ram~3843 , datamem|ram~3843, mips_16, 1
+instance = comp, \datamem|ram~3891 , datamem|ram~3891, mips_16, 1
+instance = comp, \datamem|ram~4344 , datamem|ram~4344, mips_16, 1
+instance = comp, \datamem|ram~4003 , datamem|ram~4003, mips_16, 1
+instance = comp, \datamem|ram~3987 , datamem|ram~3987, mips_16, 1
+instance = comp, \datamem|ram~3971feeder , datamem|ram~3971feeder, mips_16, 1
+instance = comp, \datamem|ram~3971 , datamem|ram~3971, mips_16, 1
+instance = comp, \datamem|ram~4019 , datamem|ram~4019, mips_16, 1
+instance = comp, \datamem|ram~4346 , datamem|ram~4346, mips_16, 1
+instance = comp, \datamem|ram~3923 , datamem|ram~3923, mips_16, 1
+instance = comp, \datamem|ram~3939 , datamem|ram~3939, mips_16, 1
+instance = comp, \datamem|ram~3907 , datamem|ram~3907, mips_16, 1
+instance = comp, \datamem|ram~3955 , datamem|ram~3955, mips_16, 1
+instance = comp, \datamem|ram~4345 , datamem|ram~4345, mips_16, 1
+instance = comp, \datamem|ram~4348 , datamem|ram~4348, mips_16, 1
+instance = comp, \datamem|ram~3475feeder , datamem|ram~3475feeder, mips_16, 1
+instance = comp, \datamem|ram~3475 , datamem|ram~3475, mips_16, 1
+instance = comp, \datamem|ram~3411 , datamem|ram~3411, mips_16, 1
+instance = comp, \datamem|ram~3347feeder , datamem|ram~3347feeder, mips_16, 1
+instance = comp, \datamem|ram~3347 , datamem|ram~3347, mips_16, 1
+instance = comp, \datamem|ram~3539 , datamem|ram~3539, mips_16, 1
+instance = comp, \datamem|ram~4335 , datamem|ram~4335, mips_16, 1
+instance = comp, \datamem|ram~3507 , datamem|ram~3507, mips_16, 1
+instance = comp, \datamem|ram~3379feeder , datamem|ram~3379feeder, mips_16, 1
+instance = comp, \datamem|ram~3379 , datamem|ram~3379, mips_16, 1
+instance = comp, \datamem|ram~3443 , datamem|ram~3443, mips_16, 1
+instance = comp, \datamem|ram~3571 , datamem|ram~3571, mips_16, 1
+instance = comp, \datamem|ram~4337 , datamem|ram~4337, mips_16, 1
+instance = comp, \datamem|ram~3363feeder , datamem|ram~3363feeder, mips_16, 1
+instance = comp, \datamem|ram~3363 , datamem|ram~3363, mips_16, 1
+instance = comp, \datamem|ram~3427feeder , datamem|ram~3427feeder, mips_16, 1
+instance = comp, \datamem|ram~3427 , datamem|ram~3427, mips_16, 1
+instance = comp, \datamem|ram~3491feeder , datamem|ram~3491feeder, mips_16, 1
+instance = comp, \datamem|ram~3491 , datamem|ram~3491, mips_16, 1
+instance = comp, \datamem|ram~3555 , datamem|ram~3555, mips_16, 1
+instance = comp, \datamem|ram~4336 , datamem|ram~4336, mips_16, 1
+instance = comp, \datamem|ram~3395 , datamem|ram~3395, mips_16, 1
+instance = comp, \datamem|ram~3459 , datamem|ram~3459, mips_16, 1
+instance = comp, \datamem|ram~3331feeder , datamem|ram~3331feeder, mips_16, 1
+instance = comp, \datamem|ram~3331 , datamem|ram~3331, mips_16, 1
+instance = comp, \datamem|ram~3523 , datamem|ram~3523, mips_16, 1
+instance = comp, \datamem|ram~4334 , datamem|ram~4334, mips_16, 1
+instance = comp, \datamem|ram~4338 , datamem|ram~4338, mips_16, 1
+instance = comp, \datamem|ram~3251feeder , datamem|ram~3251feeder, mips_16, 1
+instance = comp, \datamem|ram~3251 , datamem|ram~3251, mips_16, 1
+instance = comp, \datamem|ram~3123feeder , datamem|ram~3123feeder, mips_16, 1
+instance = comp, \datamem|ram~3123 , datamem|ram~3123, mips_16, 1
+instance = comp, \datamem|ram~3187 , datamem|ram~3187, mips_16, 1
+instance = comp, \datamem|ram~3315 , datamem|ram~3315, mips_16, 1
+instance = comp, \datamem|ram~4332 , datamem|ram~4332, mips_16, 1
+instance = comp, \datamem|ram~3155feeder , datamem|ram~3155feeder, mips_16, 1
+instance = comp, \datamem|ram~3155 , datamem|ram~3155, mips_16, 1
+instance = comp, \datamem|ram~3091feeder , datamem|ram~3091feeder, mips_16, 1
+instance = comp, \datamem|ram~3091 , datamem|ram~3091, mips_16, 1
+instance = comp, \datamem|ram~3283 , datamem|ram~3283, mips_16, 1
+instance = comp, \datamem|ram~3219feeder , datamem|ram~3219feeder, mips_16, 1
+instance = comp, \datamem|ram~3219 , datamem|ram~3219, mips_16, 1
+instance = comp, \datamem|ram~4330 , datamem|ram~4330, mips_16, 1
+instance = comp, \datamem|ram~3139feeder , datamem|ram~3139feeder, mips_16, 1
+instance = comp, \datamem|ram~3139 , datamem|ram~3139, mips_16, 1
+instance = comp, \datamem|ram~3075feeder , datamem|ram~3075feeder, mips_16, 1
+instance = comp, \datamem|ram~3075 , datamem|ram~3075, mips_16, 1
+instance = comp, \datamem|ram~3203 , datamem|ram~3203, mips_16, 1
+instance = comp, \datamem|ram~3267 , datamem|ram~3267, mips_16, 1
+instance = comp, \datamem|ram~4329 , datamem|ram~4329, mips_16, 1
+instance = comp, \datamem|ram~3107 , datamem|ram~3107, mips_16, 1
+instance = comp, \datamem|ram~3235feeder , datamem|ram~3235feeder, mips_16, 1
+instance = comp, \datamem|ram~3235 , datamem|ram~3235, mips_16, 1
+instance = comp, \datamem|ram~3171 , datamem|ram~3171, mips_16, 1
+instance = comp, \datamem|ram~3299 , datamem|ram~3299, mips_16, 1
+instance = comp, \datamem|ram~4331 , datamem|ram~4331, mips_16, 1
+instance = comp, \datamem|ram~4333 , datamem|ram~4333, mips_16, 1
+instance = comp, \datamem|ram~3763feeder , datamem|ram~3763feeder, mips_16, 1
+instance = comp, \datamem|ram~3763 , datamem|ram~3763, mips_16, 1
+instance = comp, \datamem|ram~3699feeder , datamem|ram~3699feeder, mips_16, 1
+instance = comp, \datamem|ram~3699 , datamem|ram~3699, mips_16, 1
+instance = comp, \datamem|ram~3635 , datamem|ram~3635, mips_16, 1
+instance = comp, \datamem|ram~3827 , datamem|ram~3827, mips_16, 1
+instance = comp, \datamem|ram~4342 , datamem|ram~4342, mips_16, 1
+instance = comp, \datamem|ram~3683feeder , datamem|ram~3683feeder, mips_16, 1
+instance = comp, \datamem|ram~3683 , datamem|ram~3683, mips_16, 1
+instance = comp, \datamem|ram~3619feeder , datamem|ram~3619feeder, mips_16, 1
+instance = comp, \datamem|ram~3619 , datamem|ram~3619, mips_16, 1
+instance = comp, \datamem|ram~3747feeder , datamem|ram~3747feeder, mips_16, 1
+instance = comp, \datamem|ram~3747 , datamem|ram~3747, mips_16, 1
+instance = comp, \datamem|ram~3811 , datamem|ram~3811, mips_16, 1
+instance = comp, \datamem|ram~4341 , datamem|ram~4341, mips_16, 1
+instance = comp, \datamem|ram~3667feeder , datamem|ram~3667feeder, mips_16, 1
+instance = comp, \datamem|ram~3667 , datamem|ram~3667, mips_16, 1
+instance = comp, \datamem|ram~3603 , datamem|ram~3603, mips_16, 1
+instance = comp, \datamem|ram~3731feeder , datamem|ram~3731feeder, mips_16, 1
+instance = comp, \datamem|ram~3731 , datamem|ram~3731, mips_16, 1
+instance = comp, \datamem|ram~3795 , datamem|ram~3795, mips_16, 1
+instance = comp, \datamem|ram~4340 , datamem|ram~4340, mips_16, 1
+instance = comp, \datamem|ram~3715feeder , datamem|ram~3715feeder, mips_16, 1
+instance = comp, \datamem|ram~3715 , datamem|ram~3715, mips_16, 1
+instance = comp, \datamem|ram~3587feeder , datamem|ram~3587feeder, mips_16, 1
+instance = comp, \datamem|ram~3587 , datamem|ram~3587, mips_16, 1
+instance = comp, \datamem|ram~3651feeder , datamem|ram~3651feeder, mips_16, 1
+instance = comp, \datamem|ram~3651 , datamem|ram~3651, mips_16, 1
+instance = comp, \datamem|ram~3779 , datamem|ram~3779, mips_16, 1
+instance = comp, \datamem|ram~4339 , datamem|ram~4339, mips_16, 1
+instance = comp, \datamem|ram~4343 , datamem|ram~4343, mips_16, 1
+instance = comp, \datamem|ram~4349 , datamem|ram~4349, mips_16, 1
+instance = comp, \datamem|ram~1043feeder , datamem|ram~1043feeder, mips_16, 1
+instance = comp, \datamem|ram~1043 , datamem|ram~1043, mips_16, 1
+instance = comp, \datamem|ram~1555 , datamem|ram~1555, mips_16, 1
+instance = comp, \datamem|ram~1811feeder , datamem|ram~1811feeder, mips_16, 1
+instance = comp, \datamem|ram~1811 , datamem|ram~1811, mips_16, 1
+instance = comp, \datamem|ram~1299 , datamem|ram~1299, mips_16, 1
+instance = comp, \datamem|ram~4292 , datamem|ram~4292, mips_16, 1
+instance = comp, \datamem|ram~1683feeder , datamem|ram~1683feeder, mips_16, 1
+instance = comp, \datamem|ram~1683 , datamem|ram~1683, mips_16, 1
+instance = comp, \datamem|ram~1171feeder , datamem|ram~1171feeder, mips_16, 1
+instance = comp, \datamem|ram~1171 , datamem|ram~1171, mips_16, 1
+instance = comp, \datamem|ram~1427feeder , datamem|ram~1427feeder, mips_16, 1
+instance = comp, \datamem|ram~1427 , datamem|ram~1427, mips_16, 1
+instance = comp, \datamem|ram~1939 , datamem|ram~1939, mips_16, 1
+instance = comp, \datamem|ram~4294 , datamem|ram~4294, mips_16, 1
+instance = comp, \datamem|ram~2003 , datamem|ram~2003, mips_16, 1
+instance = comp, \datamem|ram~1491 , datamem|ram~1491, mips_16, 1
+instance = comp, \datamem|ram~1235 , datamem|ram~1235, mips_16, 1
+instance = comp, \datamem|ram~1747 , datamem|ram~1747, mips_16, 1
+instance = comp, \datamem|ram~4295 , datamem|ram~4295, mips_16, 1
+instance = comp, \datamem|ram~1107 , datamem|ram~1107, mips_16, 1
+instance = comp, \datamem|ram~1619feeder , datamem|ram~1619feeder, mips_16, 1
+instance = comp, \datamem|ram~1619 , datamem|ram~1619, mips_16, 1
+instance = comp, \datamem|ram~1875feeder , datamem|ram~1875feeder, mips_16, 1
+instance = comp, \datamem|ram~1875 , datamem|ram~1875, mips_16, 1
+instance = comp, \datamem|ram~1363 , datamem|ram~1363, mips_16, 1
+instance = comp, \datamem|ram~4293 , datamem|ram~4293, mips_16, 1
+instance = comp, \datamem|ram~4296 , datamem|ram~4296, mips_16, 1
+instance = comp, \datamem|ram~1795feeder , datamem|ram~1795feeder, mips_16, 1
+instance = comp, \datamem|ram~1795 , datamem|ram~1795, mips_16, 1
+instance = comp, \datamem|ram~1539feeder , datamem|ram~1539feeder, mips_16, 1
+instance = comp, \datamem|ram~1539 , datamem|ram~1539, mips_16, 1
+instance = comp, \datamem|ram~1027 , datamem|ram~1027, mips_16, 1
+instance = comp, \datamem|ram~1283 , datamem|ram~1283, mips_16, 1
+instance = comp, \datamem|ram~4287 , datamem|ram~4287, mips_16, 1
+instance = comp, \datamem|ram~1219 , datamem|ram~1219, mips_16, 1
+instance = comp, \datamem|ram~1731 , datamem|ram~1731, mips_16, 1
+instance = comp, \datamem|ram~1475feeder , datamem|ram~1475feeder, mips_16, 1
+instance = comp, \datamem|ram~1475 , datamem|ram~1475, mips_16, 1
+instance = comp, \datamem|ram~1987 , datamem|ram~1987, mips_16, 1
+instance = comp, \datamem|ram~4290 , datamem|ram~4290, mips_16, 1
+instance = comp, \datamem|ram~1411feeder , datamem|ram~1411feeder, mips_16, 1
+instance = comp, \datamem|ram~1411 , datamem|ram~1411, mips_16, 1
+instance = comp, \datamem|ram~1155feeder , datamem|ram~1155feeder, mips_16, 1
+instance = comp, \datamem|ram~1155 , datamem|ram~1155, mips_16, 1
+instance = comp, \datamem|ram~1667feeder , datamem|ram~1667feeder, mips_16, 1
+instance = comp, \datamem|ram~1667 , datamem|ram~1667, mips_16, 1
+instance = comp, \datamem|ram~1923 , datamem|ram~1923, mips_16, 1
+instance = comp, \datamem|ram~4289 , datamem|ram~4289, mips_16, 1
+instance = comp, \datamem|ram~1603 , datamem|ram~1603, mips_16, 1
+instance = comp, \datamem|ram~1091 , datamem|ram~1091, mips_16, 1
+instance = comp, \datamem|ram~1859feeder , datamem|ram~1859feeder, mips_16, 1
+instance = comp, \datamem|ram~1859 , datamem|ram~1859, mips_16, 1
+instance = comp, \datamem|ram~1347 , datamem|ram~1347, mips_16, 1
+instance = comp, \datamem|ram~4288 , datamem|ram~4288, mips_16, 1
+instance = comp, \datamem|ram~4291 , datamem|ram~4291, mips_16, 1
+instance = comp, \datamem|ram~1635feeder , datamem|ram~1635feeder, mips_16, 1
+instance = comp, \datamem|ram~1635 , datamem|ram~1635, mips_16, 1
+instance = comp, \datamem|ram~1379 , datamem|ram~1379, mips_16, 1
+instance = comp, \datamem|ram~1123feeder , datamem|ram~1123feeder, mips_16, 1
+instance = comp, \datamem|ram~1123 , datamem|ram~1123, mips_16, 1
+instance = comp, \datamem|ram~1891 , datamem|ram~1891, mips_16, 1
+instance = comp, \datamem|ram~4298 , datamem|ram~4298, mips_16, 1
+instance = comp, \datamem|ram~1251 , datamem|ram~1251, mips_16, 1
+instance = comp, \datamem|ram~1763 , datamem|ram~1763, mips_16, 1
+instance = comp, \datamem|ram~1507 , datamem|ram~1507, mips_16, 1
+instance = comp, \datamem|ram~2019 , datamem|ram~2019, mips_16, 1
+instance = comp, \datamem|ram~4300 , datamem|ram~4300, mips_16, 1
+instance = comp, \datamem|ram~1571 , datamem|ram~1571, mips_16, 1
+instance = comp, \datamem|ram~1059 , datamem|ram~1059, mips_16, 1
+instance = comp, \datamem|ram~1315 , datamem|ram~1315, mips_16, 1
+instance = comp, \datamem|ram~1827 , datamem|ram~1827, mips_16, 1
+instance = comp, \datamem|ram~4297 , datamem|ram~4297, mips_16, 1
+instance = comp, \datamem|ram~1443feeder , datamem|ram~1443feeder, mips_16, 1
+instance = comp, \datamem|ram~1443 , datamem|ram~1443, mips_16, 1
+instance = comp, \datamem|ram~1699feeder , datamem|ram~1699feeder, mips_16, 1
+instance = comp, \datamem|ram~1699 , datamem|ram~1699, mips_16, 1
+instance = comp, \datamem|ram~1187feeder , datamem|ram~1187feeder, mips_16, 1
+instance = comp, \datamem|ram~1187 , datamem|ram~1187, mips_16, 1
+instance = comp, \datamem|ram~1955 , datamem|ram~1955, mips_16, 1
+instance = comp, \datamem|ram~4299 , datamem|ram~4299, mips_16, 1
+instance = comp, \datamem|ram~4301 , datamem|ram~4301, mips_16, 1
+instance = comp, \datamem|ram~1075 , datamem|ram~1075, mips_16, 1
+instance = comp, \datamem|ram~1203 , datamem|ram~1203, mips_16, 1
+instance = comp, \datamem|ram~1139feeder , datamem|ram~1139feeder, mips_16, 1
+instance = comp, \datamem|ram~1139 , datamem|ram~1139, mips_16, 1
+instance = comp, \datamem|ram~1267 , datamem|ram~1267, mips_16, 1
+instance = comp, \datamem|ram~4302 , datamem|ram~4302, mips_16, 1
+instance = comp, \datamem|ram~1331feeder , datamem|ram~1331feeder, mips_16, 1
+instance = comp, \datamem|ram~1331 , datamem|ram~1331, mips_16, 1
+instance = comp, \datamem|ram~1395 , datamem|ram~1395, mips_16, 1
+instance = comp, \datamem|ram~1459 , datamem|ram~1459, mips_16, 1
+instance = comp, \datamem|ram~1523 , datamem|ram~1523, mips_16, 1
+instance = comp, \datamem|ram~4303 , datamem|ram~4303, mips_16, 1
+instance = comp, \datamem|ram~1907 , datamem|ram~1907, mips_16, 1
+instance = comp, \datamem|ram~1843 , datamem|ram~1843, mips_16, 1
+instance = comp, \datamem|ram~1971feeder , datamem|ram~1971feeder, mips_16, 1
+instance = comp, \datamem|ram~1971 , datamem|ram~1971, mips_16, 1
+instance = comp, \datamem|ram~2035 , datamem|ram~2035, mips_16, 1
+instance = comp, \datamem|ram~4305 , datamem|ram~4305, mips_16, 1
+instance = comp, \datamem|ram~1587feeder , datamem|ram~1587feeder, mips_16, 1
+instance = comp, \datamem|ram~1587 , datamem|ram~1587, mips_16, 1
+instance = comp, \datamem|ram~1651feeder , datamem|ram~1651feeder, mips_16, 1
+instance = comp, \datamem|ram~1651 , datamem|ram~1651, mips_16, 1
+instance = comp, \datamem|ram~1715feeder , datamem|ram~1715feeder, mips_16, 1
+instance = comp, \datamem|ram~1715 , datamem|ram~1715, mips_16, 1
+instance = comp, \datamem|ram~1779 , datamem|ram~1779, mips_16, 1
+instance = comp, \datamem|ram~4304 , datamem|ram~4304, mips_16, 1
+instance = comp, \datamem|ram~4306 , datamem|ram~4306, mips_16, 1
+instance = comp, \datamem|ram~4307 , datamem|ram~4307, mips_16, 1
+instance = comp, \datamem|ram~2339 , datamem|ram~2339, mips_16, 1
+instance = comp, \datamem|ram~2323feeder , datamem|ram~2323feeder, mips_16, 1
+instance = comp, \datamem|ram~2323 , datamem|ram~2323, mips_16, 1
+instance = comp, \datamem|ram~2307 , datamem|ram~2307, mips_16, 1
+instance = comp, \datamem|ram~2355 , datamem|ram~2355, mips_16, 1
+instance = comp, \datamem|ram~4309 , datamem|ram~4309, mips_16, 1
+instance = comp, \datamem|ram~2563feeder , datamem|ram~2563feeder, mips_16, 1
+instance = comp, \datamem|ram~2563 , datamem|ram~2563, mips_16, 1
+instance = comp, \datamem|ram~2595feeder , datamem|ram~2595feeder, mips_16, 1
+instance = comp, \datamem|ram~2595 , datamem|ram~2595, mips_16, 1
+instance = comp, \datamem|ram~2579feeder , datamem|ram~2579feeder, mips_16, 1
+instance = comp, \datamem|ram~2579 , datamem|ram~2579, mips_16, 1
+instance = comp, \datamem|ram~2611 , datamem|ram~2611, mips_16, 1
+instance = comp, \datamem|ram~4310 , datamem|ram~4310, mips_16, 1
+instance = comp, \datamem|ram~2835 , datamem|ram~2835, mips_16, 1
+instance = comp, \datamem|ram~2851 , datamem|ram~2851, mips_16, 1
+instance = comp, \datamem|ram~2819 , datamem|ram~2819, mips_16, 1
+instance = comp, \datamem|ram~2867 , datamem|ram~2867, mips_16, 1
+instance = comp, \datamem|ram~4311 , datamem|ram~4311, mips_16, 1
+instance = comp, \datamem|ram~2051 , datamem|ram~2051, mips_16, 1
+instance = comp, \datamem|ram~2083feeder , datamem|ram~2083feeder, mips_16, 1
+instance = comp, \datamem|ram~2083 , datamem|ram~2083, mips_16, 1
+instance = comp, \datamem|ram~2067 , datamem|ram~2067, mips_16, 1
+instance = comp, \datamem|ram~2099 , datamem|ram~2099, mips_16, 1
+instance = comp, \datamem|ram~4308 , datamem|ram~4308, mips_16, 1
+instance = comp, \datamem|ram~4312 , datamem|ram~4312, mips_16, 1
+instance = comp, \datamem|ram~2691 , datamem|ram~2691, mips_16, 1
+instance = comp, \datamem|ram~2435 , datamem|ram~2435, mips_16, 1
+instance = comp, \datamem|ram~2179 , datamem|ram~2179, mips_16, 1
+instance = comp, \datamem|ram~2947 , datamem|ram~2947, mips_16, 1
+instance = comp, \datamem|ram~4318 , datamem|ram~4318, mips_16, 1
+instance = comp, \datamem|ram~2211feeder , datamem|ram~2211feeder, mips_16, 1
+instance = comp, \datamem|ram~2211 , datamem|ram~2211, mips_16, 1
+instance = comp, \datamem|ram~2723 , datamem|ram~2723, mips_16, 1
+instance = comp, \datamem|ram~2467feeder , datamem|ram~2467feeder, mips_16, 1
+instance = comp, \datamem|ram~2467 , datamem|ram~2467, mips_16, 1
+instance = comp, \datamem|ram~2979 , datamem|ram~2979, mips_16, 1
+instance = comp, \datamem|ram~4320 , datamem|ram~4320, mips_16, 1
+instance = comp, \datamem|ram~2451feeder , datamem|ram~2451feeder, mips_16, 1
+instance = comp, \datamem|ram~2451 , datamem|ram~2451, mips_16, 1
+instance = comp, \datamem|ram~2195feeder , datamem|ram~2195feeder, mips_16, 1
+instance = comp, \datamem|ram~2195 , datamem|ram~2195, mips_16, 1
+instance = comp, \datamem|ram~2707 , datamem|ram~2707, mips_16, 1
+instance = comp, \datamem|ram~2963 , datamem|ram~2963, mips_16, 1
+instance = comp, \datamem|ram~4319 , datamem|ram~4319, mips_16, 1
+instance = comp, \datamem|ram~2483feeder , datamem|ram~2483feeder, mips_16, 1
+instance = comp, \datamem|ram~2483 , datamem|ram~2483, mips_16, 1
+instance = comp, \datamem|ram~2227 , datamem|ram~2227, mips_16, 1
+instance = comp, \datamem|ram~2739 , datamem|ram~2739, mips_16, 1
+instance = comp, \datamem|ram~2995 , datamem|ram~2995, mips_16, 1
+instance = comp, \datamem|ram~4321 , datamem|ram~4321, mips_16, 1
+instance = comp, \datamem|ram~4322 , datamem|ram~4322, mips_16, 1
+instance = comp, \datamem|ram~2275 , datamem|ram~2275, mips_16, 1
+instance = comp, \datamem|ram~2243 , datamem|ram~2243, mips_16, 1
+instance = comp, \datamem|ram~2259 , datamem|ram~2259, mips_16, 1
+instance = comp, \datamem|ram~2291 , datamem|ram~2291, mips_16, 1
+instance = comp, \datamem|ram~4323 , datamem|ram~4323, mips_16, 1
+instance = comp, \datamem|ram~2771 , datamem|ram~2771, mips_16, 1
+instance = comp, \datamem|ram~2787 , datamem|ram~2787, mips_16, 1
+instance = comp, \datamem|ram~2755feeder , datamem|ram~2755feeder, mips_16, 1
+instance = comp, \datamem|ram~2755 , datamem|ram~2755, mips_16, 1
+instance = comp, \datamem|ram~2803 , datamem|ram~2803, mips_16, 1
+instance = comp, \datamem|ram~4325 , datamem|ram~4325, mips_16, 1
+instance = comp, \datamem|ram~2515feeder , datamem|ram~2515feeder, mips_16, 1
+instance = comp, \datamem|ram~2515 , datamem|ram~2515, mips_16, 1
+instance = comp, \datamem|ram~2499feeder , datamem|ram~2499feeder, mips_16, 1
+instance = comp, \datamem|ram~2499 , datamem|ram~2499, mips_16, 1
+instance = comp, \datamem|ram~2531 , datamem|ram~2531, mips_16, 1
+instance = comp, \datamem|ram~2547 , datamem|ram~2547, mips_16, 1
+instance = comp, \datamem|ram~4324 , datamem|ram~4324, mips_16, 1
+instance = comp, \datamem|ram~3027feeder , datamem|ram~3027feeder, mips_16, 1
+instance = comp, \datamem|ram~3027 , datamem|ram~3027, mips_16, 1
+instance = comp, \datamem|ram~3011feeder , datamem|ram~3011feeder, mips_16, 1
+instance = comp, \datamem|ram~3011 , datamem|ram~3011, mips_16, 1
+instance = comp, \datamem|ram~3043feeder , datamem|ram~3043feeder, mips_16, 1
+instance = comp, \datamem|ram~3043 , datamem|ram~3043, mips_16, 1
+instance = comp, \datamem|ram~3059 , datamem|ram~3059, mips_16, 1
+instance = comp, \datamem|ram~4326 , datamem|ram~4326, mips_16, 1
+instance = comp, \datamem|ram~4327 , datamem|ram~4327, mips_16, 1
+instance = comp, \datamem|ram~2403 , datamem|ram~2403, mips_16, 1
+instance = comp, \datamem|ram~2371 , datamem|ram~2371, mips_16, 1
+instance = comp, \datamem|ram~2387feeder , datamem|ram~2387feeder, mips_16, 1
+instance = comp, \datamem|ram~2387 , datamem|ram~2387, mips_16, 1
+instance = comp, \datamem|ram~2419 , datamem|ram~2419, mips_16, 1
+instance = comp, \datamem|ram~4314 , datamem|ram~4314, mips_16, 1
+instance = comp, \datamem|ram~2659feeder , datamem|ram~2659feeder, mips_16, 1
+instance = comp, \datamem|ram~2659 , datamem|ram~2659, mips_16, 1
+instance = comp, \datamem|ram~2643 , datamem|ram~2643, mips_16, 1
+instance = comp, \datamem|ram~2627feeder , datamem|ram~2627feeder, mips_16, 1
+instance = comp, \datamem|ram~2627 , datamem|ram~2627, mips_16, 1
+instance = comp, \datamem|ram~2675 , datamem|ram~2675, mips_16, 1
+instance = comp, \datamem|ram~4315 , datamem|ram~4315, mips_16, 1
+instance = comp, \datamem|ram~2147feeder , datamem|ram~2147feeder, mips_16, 1
+instance = comp, \datamem|ram~2147 , datamem|ram~2147, mips_16, 1
+instance = comp, \datamem|ram~2131 , datamem|ram~2131, mips_16, 1
+instance = comp, \datamem|ram~2115feeder , datamem|ram~2115feeder, mips_16, 1
+instance = comp, \datamem|ram~2115 , datamem|ram~2115, mips_16, 1
+instance = comp, \datamem|ram~2163 , datamem|ram~2163, mips_16, 1
+instance = comp, \datamem|ram~4313 , datamem|ram~4313, mips_16, 1
+instance = comp, \datamem|ram~2883 , datamem|ram~2883, mips_16, 1
+instance = comp, \datamem|ram~2899 , datamem|ram~2899, mips_16, 1
+instance = comp, \datamem|ram~2915 , datamem|ram~2915, mips_16, 1
+instance = comp, \datamem|ram~2931 , datamem|ram~2931, mips_16, 1
+instance = comp, \datamem|ram~4316 , datamem|ram~4316, mips_16, 1
+instance = comp, \datamem|ram~4317 , datamem|ram~4317, mips_16, 1
+instance = comp, \datamem|ram~4328 , datamem|ram~4328, mips_16, 1
+instance = comp, \datamem|ram~35feeder , datamem|ram~35feeder, mips_16, 1
+instance = comp, \datamem|ram~35 , datamem|ram~35, mips_16, 1
+instance = comp, \datamem|ram~163feeder , datamem|ram~163feeder, mips_16, 1
+instance = comp, \datamem|ram~163 , datamem|ram~163, mips_16, 1
+instance = comp, \datamem|ram~99 , datamem|ram~99, mips_16, 1
+instance = comp, \datamem|ram~227 , datamem|ram~227, mips_16, 1
+instance = comp, \datamem|ram~4268 , datamem|ram~4268, mips_16, 1
+instance = comp, \datamem|ram~211feeder , datamem|ram~211feeder, mips_16, 1
+instance = comp, \datamem|ram~211 , datamem|ram~211, mips_16, 1
+instance = comp, \datamem|ram~83 , datamem|ram~83, mips_16, 1
+instance = comp, \datamem|ram~147feeder , datamem|ram~147feeder, mips_16, 1
+instance = comp, \datamem|ram~147 , datamem|ram~147, mips_16, 1
+instance = comp, \datamem|ram~19 , datamem|ram~19, mips_16, 1
+instance = comp, \datamem|ram~4267 , datamem|ram~4267, mips_16, 1
+instance = comp, \datamem|ram~3 , datamem|ram~3, mips_16, 1
+instance = comp, \datamem|ram~131feeder , datamem|ram~131feeder, mips_16, 1
+instance = comp, \datamem|ram~131 , datamem|ram~131, mips_16, 1
+instance = comp, \datamem|ram~195feeder , datamem|ram~195feeder, mips_16, 1
+instance = comp, \datamem|ram~195 , datamem|ram~195, mips_16, 1
+instance = comp, \datamem|ram~67 , datamem|ram~67, mips_16, 1
+instance = comp, \datamem|ram~4266 , datamem|ram~4266, mips_16, 1
+instance = comp, \datamem|ram~51feeder , datamem|ram~51feeder, mips_16, 1
+instance = comp, \datamem|ram~51 , datamem|ram~51, mips_16, 1
+instance = comp, \datamem|ram~115feeder , datamem|ram~115feeder, mips_16, 1
+instance = comp, \datamem|ram~115 , datamem|ram~115, mips_16, 1
+instance = comp, \datamem|ram~179 , datamem|ram~179, mips_16, 1
+instance = comp, \datamem|ram~243 , datamem|ram~243, mips_16, 1
+instance = comp, \datamem|ram~4269 , datamem|ram~4269, mips_16, 1
+instance = comp, \datamem|ram~4270 , datamem|ram~4270, mips_16, 1
+instance = comp, \datamem|ram~291 , datamem|ram~291, mips_16, 1
+instance = comp, \datamem|ram~419 , datamem|ram~419, mips_16, 1
+instance = comp, \datamem|ram~355 , datamem|ram~355, mips_16, 1
+instance = comp, \datamem|ram~483 , datamem|ram~483, mips_16, 1
+instance = comp, \datamem|ram~4273 , datamem|ram~4273, mips_16, 1
+instance = comp, \datamem|ram~387 , datamem|ram~387, mips_16, 1
+instance = comp, \datamem|ram~323feeder , datamem|ram~323feeder, mips_16, 1
+instance = comp, \datamem|ram~323 , datamem|ram~323, mips_16, 1
+instance = comp, \datamem|ram~259feeder , datamem|ram~259feeder, mips_16, 1
+instance = comp, \datamem|ram~259 , datamem|ram~259, mips_16, 1
+instance = comp, \datamem|ram~451 , datamem|ram~451, mips_16, 1
+instance = comp, \datamem|ram~4271 , datamem|ram~4271, mips_16, 1
+instance = comp, \datamem|ram~435 , datamem|ram~435, mips_16, 1
+instance = comp, \datamem|ram~307 , datamem|ram~307, mips_16, 1
+instance = comp, \datamem|ram~371 , datamem|ram~371, mips_16, 1
+instance = comp, \datamem|ram~499 , datamem|ram~499, mips_16, 1
+instance = comp, \datamem|ram~4274 , datamem|ram~4274, mips_16, 1
+instance = comp, \datamem|ram~339 , datamem|ram~339, mips_16, 1
+instance = comp, \datamem|ram~403 , datamem|ram~403, mips_16, 1
+instance = comp, \datamem|ram~275 , datamem|ram~275, mips_16, 1
+instance = comp, \datamem|ram~467 , datamem|ram~467, mips_16, 1
+instance = comp, \datamem|ram~4272 , datamem|ram~4272, mips_16, 1
+instance = comp, \datamem|ram~4275 , datamem|ram~4275, mips_16, 1
+instance = comp, \datamem|ram~643feeder , datamem|ram~643feeder, mips_16, 1
+instance = comp, \datamem|ram~643 , datamem|ram~643, mips_16, 1
+instance = comp, \datamem|ram~579feeder , datamem|ram~579feeder, mips_16, 1
+instance = comp, \datamem|ram~579 , datamem|ram~579, mips_16, 1
+instance = comp, \datamem|ram~515 , datamem|ram~515, mips_16, 1
+instance = comp, \datamem|ram~707 , datamem|ram~707, mips_16, 1
+instance = comp, \datamem|ram~4276 , datamem|ram~4276, mips_16, 1
+instance = comp, \datamem|ram~563feeder , datamem|ram~563feeder, mips_16, 1
+instance = comp, \datamem|ram~563 , datamem|ram~563, mips_16, 1
+instance = comp, \datamem|ram~691 , datamem|ram~691, mips_16, 1
+instance = comp, \datamem|ram~627feeder , datamem|ram~627feeder, mips_16, 1
+instance = comp, \datamem|ram~627 , datamem|ram~627, mips_16, 1
+instance = comp, \datamem|ram~755 , datamem|ram~755, mips_16, 1
+instance = comp, \datamem|ram~4279 , datamem|ram~4279, mips_16, 1
+instance = comp, \datamem|ram~547feeder , datamem|ram~547feeder, mips_16, 1
+instance = comp, \datamem|ram~547 , datamem|ram~547, mips_16, 1
+instance = comp, \datamem|ram~675feeder , datamem|ram~675feeder, mips_16, 1
+instance = comp, \datamem|ram~675 , datamem|ram~675, mips_16, 1
+instance = comp, \datamem|ram~611 , datamem|ram~611, mips_16, 1
+instance = comp, \datamem|ram~739 , datamem|ram~739, mips_16, 1
+instance = comp, \datamem|ram~4278 , datamem|ram~4278, mips_16, 1
+instance = comp, \datamem|ram~659feeder , datamem|ram~659feeder, mips_16, 1
+instance = comp, \datamem|ram~659 , datamem|ram~659, mips_16, 1
+instance = comp, \datamem|ram~531feeder , datamem|ram~531feeder, mips_16, 1
+instance = comp, \datamem|ram~531 , datamem|ram~531, mips_16, 1
+instance = comp, \datamem|ram~595feeder , datamem|ram~595feeder, mips_16, 1
+instance = comp, \datamem|ram~595 , datamem|ram~595, mips_16, 1
+instance = comp, \datamem|ram~723 , datamem|ram~723, mips_16, 1
+instance = comp, \datamem|ram~4277 , datamem|ram~4277, mips_16, 1
+instance = comp, \datamem|ram~4280 , datamem|ram~4280, mips_16, 1
+instance = comp, \datamem|ram~915 , datamem|ram~915, mips_16, 1
+instance = comp, \datamem|ram~899feeder , datamem|ram~899feeder, mips_16, 1
+instance = comp, \datamem|ram~899 , datamem|ram~899, mips_16, 1
+instance = comp, \datamem|ram~931 , datamem|ram~931, mips_16, 1
+instance = comp, \datamem|ram~947 , datamem|ram~947, mips_16, 1
+instance = comp, \datamem|ram~4283 , datamem|ram~4283, mips_16, 1
+instance = comp, \datamem|ram~787 , datamem|ram~787, mips_16, 1
+instance = comp, \datamem|ram~771feeder , datamem|ram~771feeder, mips_16, 1
+instance = comp, \datamem|ram~771 , datamem|ram~771, mips_16, 1
+instance = comp, \datamem|ram~803feeder , datamem|ram~803feeder, mips_16, 1
+instance = comp, \datamem|ram~803 , datamem|ram~803, mips_16, 1
+instance = comp, \datamem|ram~819 , datamem|ram~819, mips_16, 1
+instance = comp, \datamem|ram~4281 , datamem|ram~4281, mips_16, 1
+instance = comp, \datamem|ram~867 , datamem|ram~867, mips_16, 1
+instance = comp, \datamem|ram~835 , datamem|ram~835, mips_16, 1
+instance = comp, \datamem|ram~851 , datamem|ram~851, mips_16, 1
+instance = comp, \datamem|ram~883 , datamem|ram~883, mips_16, 1
+instance = comp, \datamem|ram~4282 , datamem|ram~4282, mips_16, 1
+instance = comp, \datamem|ram~979 , datamem|ram~979, mips_16, 1
+instance = comp, \datamem|ram~963feeder , datamem|ram~963feeder, mips_16, 1
+instance = comp, \datamem|ram~963 , datamem|ram~963, mips_16, 1
+instance = comp, \datamem|ram~995 , datamem|ram~995, mips_16, 1
+instance = comp, \datamem|ram~1011 , datamem|ram~1011, mips_16, 1
+instance = comp, \datamem|ram~4284 , datamem|ram~4284, mips_16, 1
+instance = comp, \datamem|ram~4285 , datamem|ram~4285, mips_16, 1
+instance = comp, \datamem|ram~4286 , datamem|ram~4286, mips_16, 1
+instance = comp, \datamem|ram~4350 , datamem|ram~4350, mips_16, 1
+instance = comp, \reg_write_data[3]~4 , reg_write_data[3]~4, mips_16, 1
+instance = comp, \reg_file|reg_array[3][3]~feeder , reg_file|reg_array[3][3]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[3][3] , reg_file|reg_array[3][3], mips_16, 1
+instance = comp, \reg_file|reg_array[2][3] , reg_file|reg_array[2][3], mips_16, 1
+instance = comp, \reg_file|reg_array[1][3] , reg_file|reg_array[1][3], mips_16, 1
+instance = comp, \reg_file|reg_read_data_2[3]~2 , reg_file|reg_read_data_2[3]~2, mips_16, 1
+instance = comp, \read_data2[3]~2 , read_data2[3]~2, mips_16, 1
+instance = comp, \alu_unit|Add0~13 , alu_unit|Add0~13, mips_16, 1
+instance = comp, \alu_unit|Mux11~6 , alu_unit|Mux11~6, mips_16, 1
+instance = comp, \alu_unit|Mux11~0 , alu_unit|Mux11~0, mips_16, 1
+instance = comp, \alu_unit|Mux11~2 , alu_unit|Mux11~2, mips_16, 1
+instance = comp, \alu_unit|Mux11~7 , alu_unit|Mux11~7, mips_16, 1
+instance = comp, \alu_unit|Mux11~4 , alu_unit|Mux11~4, mips_16, 1
+instance = comp, \datamem|ram~1924 , datamem|ram~1924, mips_16, 1
+instance = comp, \datamem|ram~1956feeder , datamem|ram~1956feeder, mips_16, 1
+instance = comp, \datamem|ram~1956 , datamem|ram~1956, mips_16, 1
+instance = comp, \datamem|ram~1940 , datamem|ram~1940, mips_16, 1
+instance = comp, \datamem|ram~1972 , datamem|ram~1972, mips_16, 1
+instance = comp, \datamem|ram~4389 , datamem|ram~4389, mips_16, 1
+instance = comp, \datamem|ram~1828 , datamem|ram~1828, mips_16, 1
+instance = comp, \datamem|ram~1812feeder , datamem|ram~1812feeder, mips_16, 1
+instance = comp, \datamem|ram~1812 , datamem|ram~1812, mips_16, 1
+instance = comp, \datamem|ram~1796 , datamem|ram~1796, mips_16, 1
+instance = comp, \datamem|ram~1844 , datamem|ram~1844, mips_16, 1
+instance = comp, \datamem|ram~4387 , datamem|ram~4387, mips_16, 1
+instance = comp, \datamem|ram~2004feeder , datamem|ram~2004feeder, mips_16, 1
+instance = comp, \datamem|ram~2004 , datamem|ram~2004, mips_16, 1
+instance = comp, \datamem|ram~2020feeder , datamem|ram~2020feeder, mips_16, 1
+instance = comp, \datamem|ram~2020 , datamem|ram~2020, mips_16, 1
+instance = comp, \datamem|ram~1988 , datamem|ram~1988, mips_16, 1
+instance = comp, \datamem|ram~2036 , datamem|ram~2036, mips_16, 1
+instance = comp, \datamem|ram~4390 , datamem|ram~4390, mips_16, 1
+instance = comp, \datamem|ram~1876 , datamem|ram~1876, mips_16, 1
+instance = comp, \datamem|ram~1860 , datamem|ram~1860, mips_16, 1
+instance = comp, \datamem|ram~1892feeder , datamem|ram~1892feeder, mips_16, 1
+instance = comp, \datamem|ram~1892 , datamem|ram~1892, mips_16, 1
+instance = comp, \datamem|ram~1908 , datamem|ram~1908, mips_16, 1
+instance = comp, \datamem|ram~4388 , datamem|ram~4388, mips_16, 1
+instance = comp, \datamem|ram~4391 , datamem|ram~4391, mips_16, 1
+instance = comp, \datamem|ram~1716 , datamem|ram~1716, mips_16, 1
+instance = comp, \datamem|ram~1588 , datamem|ram~1588, mips_16, 1
+instance = comp, \datamem|ram~1652feeder , datamem|ram~1652feeder, mips_16, 1
+instance = comp, \datamem|ram~1652 , datamem|ram~1652, mips_16, 1
+instance = comp, \datamem|ram~1780 , datamem|ram~1780, mips_16, 1
+instance = comp, \datamem|ram~4385 , datamem|ram~4385, mips_16, 1
+instance = comp, \datamem|ram~1620 , datamem|ram~1620, mips_16, 1
+instance = comp, \datamem|ram~1748feeder , datamem|ram~1748feeder, mips_16, 1
+instance = comp, \datamem|ram~1748 , datamem|ram~1748, mips_16, 1
+instance = comp, \datamem|ram~1684feeder , datamem|ram~1684feeder, mips_16, 1
+instance = comp, \datamem|ram~1684 , datamem|ram~1684, mips_16, 1
+instance = comp, \datamem|ram~1556feeder , datamem|ram~1556feeder, mips_16, 1
+instance = comp, \datamem|ram~1556 , datamem|ram~1556, mips_16, 1
+instance = comp, \datamem|ram~4383 , datamem|ram~4383, mips_16, 1
+instance = comp, \datamem|ram~1700feeder , datamem|ram~1700feeder, mips_16, 1
+instance = comp, \datamem|ram~1700 , datamem|ram~1700, mips_16, 1
+instance = comp, \datamem|ram~1636feeder , datamem|ram~1636feeder, mips_16, 1
+instance = comp, \datamem|ram~1636 , datamem|ram~1636, mips_16, 1
+instance = comp, \datamem|ram~1572 , datamem|ram~1572, mips_16, 1
+instance = comp, \datamem|ram~1764 , datamem|ram~1764, mips_16, 1
+instance = comp, \datamem|ram~4384 , datamem|ram~4384, mips_16, 1
+instance = comp, \datamem|ram~1668feeder , datamem|ram~1668feeder, mips_16, 1
+instance = comp, \datamem|ram~1668 , datamem|ram~1668, mips_16, 1
+instance = comp, \datamem|ram~1604 , datamem|ram~1604, mips_16, 1
+instance = comp, \datamem|ram~1540 , datamem|ram~1540, mips_16, 1
+instance = comp, \datamem|ram~1732 , datamem|ram~1732, mips_16, 1
+instance = comp, \datamem|ram~4382 , datamem|ram~4382, mips_16, 1
+instance = comp, \datamem|ram~4386 , datamem|ram~4386, mips_16, 1
+instance = comp, \datamem|ram~1236feeder , datamem|ram~1236feeder, mips_16, 1
+instance = comp, \datamem|ram~1236 , datamem|ram~1236, mips_16, 1
+instance = comp, \datamem|ram~1044 , datamem|ram~1044, mips_16, 1
+instance = comp, \datamem|ram~1172 , datamem|ram~1172, mips_16, 1
+instance = comp, \datamem|ram~1108 , datamem|ram~1108, mips_16, 1
+instance = comp, \datamem|ram~4373 , datamem|ram~4373, mips_16, 1
+instance = comp, \datamem|ram~1076 , datamem|ram~1076, mips_16, 1
+instance = comp, \datamem|ram~1140feeder , datamem|ram~1140feeder, mips_16, 1
+instance = comp, \datamem|ram~1140 , datamem|ram~1140, mips_16, 1
+instance = comp, \datamem|ram~1204 , datamem|ram~1204, mips_16, 1
+instance = comp, \datamem|ram~1268 , datamem|ram~1268, mips_16, 1
+instance = comp, \datamem|ram~4375 , datamem|ram~4375, mips_16, 1
+instance = comp, \datamem|ram~1124feeder , datamem|ram~1124feeder, mips_16, 1
+instance = comp, \datamem|ram~1124 , datamem|ram~1124, mips_16, 1
+instance = comp, \datamem|ram~1188feeder , datamem|ram~1188feeder, mips_16, 1
+instance = comp, \datamem|ram~1188 , datamem|ram~1188, mips_16, 1
+instance = comp, \datamem|ram~1060 , datamem|ram~1060, mips_16, 1
+instance = comp, \datamem|ram~1252 , datamem|ram~1252, mips_16, 1
+instance = comp, \datamem|ram~4374 , datamem|ram~4374, mips_16, 1
+instance = comp, \datamem|ram~1028feeder , datamem|ram~1028feeder, mips_16, 1
+instance = comp, \datamem|ram~1028 , datamem|ram~1028, mips_16, 1
+instance = comp, \datamem|ram~1220feeder , datamem|ram~1220feeder, mips_16, 1
+instance = comp, \datamem|ram~1220 , datamem|ram~1220, mips_16, 1
+instance = comp, \datamem|ram~1156feeder , datamem|ram~1156feeder, mips_16, 1
+instance = comp, \datamem|ram~1156 , datamem|ram~1156, mips_16, 1
+instance = comp, \datamem|ram~1092 , datamem|ram~1092, mips_16, 1
+instance = comp, \datamem|ram~4372 , datamem|ram~4372, mips_16, 1
+instance = comp, \datamem|ram~4376 , datamem|ram~4376, mips_16, 1
+instance = comp, \datamem|ram~1300feeder , datamem|ram~1300feeder, mips_16, 1
+instance = comp, \datamem|ram~1300 , datamem|ram~1300, mips_16, 1
+instance = comp, \datamem|ram~1492feeder , datamem|ram~1492feeder, mips_16, 1
+instance = comp, \datamem|ram~1492 , datamem|ram~1492, mips_16, 1
+instance = comp, \datamem|ram~1428feeder , datamem|ram~1428feeder, mips_16, 1
+instance = comp, \datamem|ram~1428 , datamem|ram~1428, mips_16, 1
+instance = comp, \datamem|ram~1364 , datamem|ram~1364, mips_16, 1
+instance = comp, \datamem|ram~4378 , datamem|ram~4378, mips_16, 1
+instance = comp, \datamem|ram~1380 , datamem|ram~1380, mips_16, 1
+instance = comp, \datamem|ram~1316feeder , datamem|ram~1316feeder, mips_16, 1
+instance = comp, \datamem|ram~1316 , datamem|ram~1316, mips_16, 1
+instance = comp, \datamem|ram~1444 , datamem|ram~1444, mips_16, 1
+instance = comp, \datamem|ram~1508 , datamem|ram~1508, mips_16, 1
+instance = comp, \datamem|ram~4379 , datamem|ram~4379, mips_16, 1
+instance = comp, \datamem|ram~1332feeder , datamem|ram~1332feeder, mips_16, 1
+instance = comp, \datamem|ram~1332 , datamem|ram~1332, mips_16, 1
+instance = comp, \datamem|ram~1396feeder , datamem|ram~1396feeder, mips_16, 1
+instance = comp, \datamem|ram~1396 , datamem|ram~1396, mips_16, 1
+instance = comp, \datamem|ram~1460 , datamem|ram~1460, mips_16, 1
+instance = comp, \datamem|ram~1524 , datamem|ram~1524, mips_16, 1
+instance = comp, \datamem|ram~4380 , datamem|ram~4380, mips_16, 1
+instance = comp, \datamem|ram~1284 , datamem|ram~1284, mips_16, 1
+instance = comp, \datamem|ram~1476 , datamem|ram~1476, mips_16, 1
+instance = comp, \datamem|ram~1412feeder , datamem|ram~1412feeder, mips_16, 1
+instance = comp, \datamem|ram~1412 , datamem|ram~1412, mips_16, 1
+instance = comp, \datamem|ram~1348 , datamem|ram~1348, mips_16, 1
+instance = comp, \datamem|ram~4377 , datamem|ram~4377, mips_16, 1
+instance = comp, \datamem|ram~4381 , datamem|ram~4381, mips_16, 1
+instance = comp, \datamem|ram~4392 , datamem|ram~4392, mips_16, 1
+instance = comp, \datamem|ram~2724feeder , datamem|ram~2724feeder, mips_16, 1
+instance = comp, \datamem|ram~2724 , datamem|ram~2724, mips_16, 1
+instance = comp, \datamem|ram~2980feeder , datamem|ram~2980feeder, mips_16, 1
+instance = comp, \datamem|ram~2980 , datamem|ram~2980, mips_16, 1
+instance = comp, \datamem|ram~2468 , datamem|ram~2468, mips_16, 1
+instance = comp, \datamem|ram~2212 , datamem|ram~2212, mips_16, 1
+instance = comp, \datamem|ram~4405 , datamem|ram~4405, mips_16, 1
+instance = comp, \datamem|ram~2596feeder , datamem|ram~2596feeder, mips_16, 1
+instance = comp, \datamem|ram~2596 , datamem|ram~2596, mips_16, 1
+instance = comp, \datamem|ram~2852 , datamem|ram~2852, mips_16, 1
+instance = comp, \datamem|ram~2340feeder , datamem|ram~2340feeder, mips_16, 1
+instance = comp, \datamem|ram~2340 , datamem|ram~2340, mips_16, 1
+instance = comp, \datamem|ram~2084feeder , datamem|ram~2084feeder, mips_16, 1
+instance = comp, \datamem|ram~2084 , datamem|ram~2084, mips_16, 1
+instance = comp, \datamem|ram~4403 , datamem|ram~4403, mips_16, 1
+instance = comp, \datamem|ram~2532 , datamem|ram~2532, mips_16, 1
+instance = comp, \datamem|ram~2788 , datamem|ram~2788, mips_16, 1
+instance = comp, \datamem|ram~2276feeder , datamem|ram~2276feeder, mips_16, 1
+instance = comp, \datamem|ram~2276 , datamem|ram~2276, mips_16, 1
+instance = comp, \datamem|ram~3044 , datamem|ram~3044, mips_16, 1
+instance = comp, \datamem|ram~4406 , datamem|ram~4406, mips_16, 1
+instance = comp, \datamem|ram~2660 , datamem|ram~2660, mips_16, 1
+instance = comp, \datamem|ram~2148feeder , datamem|ram~2148feeder, mips_16, 1
+instance = comp, \datamem|ram~2148 , datamem|ram~2148, mips_16, 1
+instance = comp, \datamem|ram~2404 , datamem|ram~2404, mips_16, 1
+instance = comp, \datamem|ram~2916 , datamem|ram~2916, mips_16, 1
+instance = comp, \datamem|ram~4404 , datamem|ram~4404, mips_16, 1
+instance = comp, \datamem|ram~4407 , datamem|ram~4407, mips_16, 1
+instance = comp, \datamem|ram~2372feeder , datamem|ram~2372feeder, mips_16, 1
+instance = comp, \datamem|ram~2372 , datamem|ram~2372, mips_16, 1
+instance = comp, \datamem|ram~2116feeder , datamem|ram~2116feeder, mips_16, 1
+instance = comp, \datamem|ram~2116 , datamem|ram~2116, mips_16, 1
+instance = comp, \datamem|ram~2628feeder , datamem|ram~2628feeder, mips_16, 1
+instance = comp, \datamem|ram~2628 , datamem|ram~2628, mips_16, 1
+instance = comp, \datamem|ram~2884 , datamem|ram~2884, mips_16, 1
+instance = comp, \datamem|ram~4394 , datamem|ram~4394, mips_16, 1
+instance = comp, \datamem|ram~2564 , datamem|ram~2564, mips_16, 1
+instance = comp, \datamem|ram~2052 , datamem|ram~2052, mips_16, 1
+instance = comp, \datamem|ram~2308feeder , datamem|ram~2308feeder, mips_16, 1
+instance = comp, \datamem|ram~2308 , datamem|ram~2308, mips_16, 1
+instance = comp, \datamem|ram~2820 , datamem|ram~2820, mips_16, 1
+instance = comp, \datamem|ram~4393 , datamem|ram~4393, mips_16, 1
+instance = comp, \datamem|ram~2500 , datamem|ram~2500, mips_16, 1
+instance = comp, \datamem|ram~2244feeder , datamem|ram~2244feeder, mips_16, 1
+instance = comp, \datamem|ram~2244 , datamem|ram~2244, mips_16, 1
+instance = comp, \datamem|ram~2756 , datamem|ram~2756, mips_16, 1
+instance = comp, \datamem|ram~3012 , datamem|ram~3012, mips_16, 1
+instance = comp, \datamem|ram~4396 , datamem|ram~4396, mips_16, 1
+instance = comp, \datamem|ram~2180 , datamem|ram~2180, mips_16, 1
+instance = comp, \datamem|ram~2436feeder , datamem|ram~2436feeder, mips_16, 1
+instance = comp, \datamem|ram~2436 , datamem|ram~2436, mips_16, 1
+instance = comp, \datamem|ram~2692 , datamem|ram~2692, mips_16, 1
+instance = comp, \datamem|ram~2948 , datamem|ram~2948, mips_16, 1
+instance = comp, \datamem|ram~4395 , datamem|ram~4395, mips_16, 1
+instance = comp, \datamem|ram~4397 , datamem|ram~4397, mips_16, 1
+instance = comp, \datamem|ram~2580 , datamem|ram~2580, mips_16, 1
+instance = comp, \datamem|ram~2068 , datamem|ram~2068, mips_16, 1
+instance = comp, \datamem|ram~2324feeder , datamem|ram~2324feeder, mips_16, 1
+instance = comp, \datamem|ram~2324 , datamem|ram~2324, mips_16, 1
+instance = comp, \datamem|ram~2836 , datamem|ram~2836, mips_16, 1
+instance = comp, \datamem|ram~4398 , datamem|ram~4398, mips_16, 1
+instance = comp, \datamem|ram~2260feeder , datamem|ram~2260feeder, mips_16, 1
+instance = comp, \datamem|ram~2260 , datamem|ram~2260, mips_16, 1
+instance = comp, \datamem|ram~2516 , datamem|ram~2516, mips_16, 1
+instance = comp, \datamem|ram~2772feeder , datamem|ram~2772feeder, mips_16, 1
+instance = comp, \datamem|ram~2772 , datamem|ram~2772, mips_16, 1
+instance = comp, \datamem|ram~3028 , datamem|ram~3028, mips_16, 1
+instance = comp, \datamem|ram~4401 , datamem|ram~4401, mips_16, 1
+instance = comp, \datamem|ram~2132feeder , datamem|ram~2132feeder, mips_16, 1
+instance = comp, \datamem|ram~2132 , datamem|ram~2132, mips_16, 1
+instance = comp, \datamem|ram~2388feeder , datamem|ram~2388feeder, mips_16, 1
+instance = comp, \datamem|ram~2388 , datamem|ram~2388, mips_16, 1
+instance = comp, \datamem|ram~2644 , datamem|ram~2644, mips_16, 1
+instance = comp, \datamem|ram~2900 , datamem|ram~2900, mips_16, 1
+instance = comp, \datamem|ram~4399 , datamem|ram~4399, mips_16, 1
+instance = comp, \datamem|ram~2708feeder , datamem|ram~2708feeder, mips_16, 1
+instance = comp, \datamem|ram~2708 , datamem|ram~2708, mips_16, 1
+instance = comp, \datamem|ram~2964 , datamem|ram~2964, mips_16, 1
+instance = comp, \datamem|ram~2196feeder , datamem|ram~2196feeder, mips_16, 1
+instance = comp, \datamem|ram~2196 , datamem|ram~2196, mips_16, 1
+instance = comp, \datamem|ram~2452 , datamem|ram~2452, mips_16, 1
+instance = comp, \datamem|ram~4400 , datamem|ram~4400, mips_16, 1
+instance = comp, \datamem|ram~4402 , datamem|ram~4402, mips_16, 1
+instance = comp, \datamem|ram~2100 , datamem|ram~2100, mips_16, 1
+instance = comp, \datamem|ram~2164 , datamem|ram~2164, mips_16, 1
+instance = comp, \datamem|ram~2228feeder , datamem|ram~2228feeder, mips_16, 1
+instance = comp, \datamem|ram~2228 , datamem|ram~2228, mips_16, 1
+instance = comp, \datamem|ram~2292 , datamem|ram~2292, mips_16, 1
+instance = comp, \datamem|ram~4408 , datamem|ram~4408, mips_16, 1
+instance = comp, \datamem|ram~2420 , datamem|ram~2420, mips_16, 1
+instance = comp, \datamem|ram~2484 , datamem|ram~2484, mips_16, 1
+instance = comp, \datamem|ram~2356feeder , datamem|ram~2356feeder, mips_16, 1
+instance = comp, \datamem|ram~2356 , datamem|ram~2356, mips_16, 1
+instance = comp, \datamem|ram~2548 , datamem|ram~2548, mips_16, 1
+instance = comp, \datamem|ram~4409 , datamem|ram~4409, mips_16, 1
+instance = comp, \datamem|ram~2740feeder , datamem|ram~2740feeder, mips_16, 1
+instance = comp, \datamem|ram~2740 , datamem|ram~2740, mips_16, 1
+instance = comp, \datamem|ram~2612 , datamem|ram~2612, mips_16, 1
+instance = comp, \datamem|ram~2676feeder , datamem|ram~2676feeder, mips_16, 1
+instance = comp, \datamem|ram~2676 , datamem|ram~2676, mips_16, 1
+instance = comp, \datamem|ram~2804 , datamem|ram~2804, mips_16, 1
+instance = comp, \datamem|ram~4410 , datamem|ram~4410, mips_16, 1
+instance = comp, \datamem|ram~2932 , datamem|ram~2932, mips_16, 1
+instance = comp, \datamem|ram~2996feeder , datamem|ram~2996feeder, mips_16, 1
+instance = comp, \datamem|ram~2996 , datamem|ram~2996, mips_16, 1
+instance = comp, \datamem|ram~2868feeder , datamem|ram~2868feeder, mips_16, 1
+instance = comp, \datamem|ram~2868 , datamem|ram~2868, mips_16, 1
+instance = comp, \datamem|ram~3060 , datamem|ram~3060, mips_16, 1
+instance = comp, \datamem|ram~4411 , datamem|ram~4411, mips_16, 1
+instance = comp, \datamem|ram~4412 , datamem|ram~4412, mips_16, 1
+instance = comp, \datamem|ram~4413 , datamem|ram~4413, mips_16, 1
+instance = comp, \datamem|ram~548feeder , datamem|ram~548feeder, mips_16, 1
+instance = comp, \datamem|ram~548 , datamem|ram~548, mips_16, 1
+instance = comp, \datamem|ram~516feeder , datamem|ram~516feeder, mips_16, 1
+instance = comp, \datamem|ram~516 , datamem|ram~516, mips_16, 1
+instance = comp, \datamem|ram~532feeder , datamem|ram~532feeder, mips_16, 1
+instance = comp, \datamem|ram~532 , datamem|ram~532, mips_16, 1
+instance = comp, \datamem|ram~564 , datamem|ram~564, mips_16, 1
+instance = comp, \datamem|ram~4353 , datamem|ram~4353, mips_16, 1
+instance = comp, \datamem|ram~788feeder , datamem|ram~788feeder, mips_16, 1
+instance = comp, \datamem|ram~788 , datamem|ram~788, mips_16, 1
+instance = comp, \datamem|ram~772feeder , datamem|ram~772feeder, mips_16, 1
+instance = comp, \datamem|ram~772 , datamem|ram~772, mips_16, 1
+instance = comp, \datamem|ram~804feeder , datamem|ram~804feeder, mips_16, 1
+instance = comp, \datamem|ram~804 , datamem|ram~804, mips_16, 1
+instance = comp, \datamem|ram~820 , datamem|ram~820, mips_16, 1
+instance = comp, \datamem|ram~4354 , datamem|ram~4354, mips_16, 1
+instance = comp, \datamem|ram~52 , datamem|ram~52, mips_16, 1
+instance = comp, \datamem|ram~4 , datamem|ram~4, mips_16, 1
+instance = comp, \datamem|ram~36 , datamem|ram~36, mips_16, 1
+instance = comp, \datamem|ram~20 , datamem|ram~20, mips_16, 1
+instance = comp, \datamem|ram~4351 , datamem|ram~4351, mips_16, 1
+instance = comp, \datamem|ram~260feeder , datamem|ram~260feeder, mips_16, 1
+instance = comp, \datamem|ram~260 , datamem|ram~260, mips_16, 1
+instance = comp, \datamem|ram~292 , datamem|ram~292, mips_16, 1
+instance = comp, \datamem|ram~308 , datamem|ram~308, mips_16, 1
+instance = comp, \datamem|ram~276 , datamem|ram~276, mips_16, 1
+instance = comp, \datamem|ram~4352 , datamem|ram~4352, mips_16, 1
+instance = comp, \datamem|ram~4355 , datamem|ram~4355, mips_16, 1
+instance = comp, \datamem|ram~996feeder , datamem|ram~996feeder, mips_16, 1
+instance = comp, \datamem|ram~996 , datamem|ram~996, mips_16, 1
+instance = comp, \datamem|ram~964 , datamem|ram~964, mips_16, 1
+instance = comp, \datamem|ram~1012 , datamem|ram~1012, mips_16, 1
+instance = comp, \datamem|ram~980 , datamem|ram~980, mips_16, 1
+instance = comp, \datamem|ram~4369 , datamem|ram~4369, mips_16, 1
+instance = comp, \datamem|ram~452feeder , datamem|ram~452feeder, mips_16, 1
+instance = comp, \datamem|ram~452 , datamem|ram~452, mips_16, 1
+instance = comp, \datamem|ram~468 , datamem|ram~468, mips_16, 1
+instance = comp, \datamem|ram~484 , datamem|ram~484, mips_16, 1
+instance = comp, \datamem|ram~500 , datamem|ram~500, mips_16, 1
+instance = comp, \datamem|ram~4367 , datamem|ram~4367, mips_16, 1
+instance = comp, \datamem|ram~708 , datamem|ram~708, mips_16, 1
+instance = comp, \datamem|ram~740 , datamem|ram~740, mips_16, 1
+instance = comp, \datamem|ram~724feeder , datamem|ram~724feeder, mips_16, 1
+instance = comp, \datamem|ram~724 , datamem|ram~724, mips_16, 1
+instance = comp, \datamem|ram~756 , datamem|ram~756, mips_16, 1
+instance = comp, \datamem|ram~4368 , datamem|ram~4368, mips_16, 1
+instance = comp, \datamem|ram~212feeder , datamem|ram~212feeder, mips_16, 1
+instance = comp, \datamem|ram~212 , datamem|ram~212, mips_16, 1
+instance = comp, \datamem|ram~196 , datamem|ram~196, mips_16, 1
+instance = comp, \datamem|ram~228feeder , datamem|ram~228feeder, mips_16, 1
+instance = comp, \datamem|ram~228 , datamem|ram~228, mips_16, 1
+instance = comp, \datamem|ram~244 , datamem|ram~244, mips_16, 1
+instance = comp, \datamem|ram~4366 , datamem|ram~4366, mips_16, 1
+instance = comp, \datamem|ram~4370 , datamem|ram~4370, mips_16, 1
+instance = comp, \datamem|ram~164feeder , datamem|ram~164feeder, mips_16, 1
+instance = comp, \datamem|ram~164 , datamem|ram~164, mips_16, 1
+instance = comp, \datamem|ram~676feeder , datamem|ram~676feeder, mips_16, 1
+instance = comp, \datamem|ram~676 , datamem|ram~676, mips_16, 1
+instance = comp, \datamem|ram~420 , datamem|ram~420, mips_16, 1
+instance = comp, \datamem|ram~932feeder , datamem|ram~932feeder, mips_16, 1
+instance = comp, \datamem|ram~932 , datamem|ram~932, mips_16, 1
+instance = comp, \datamem|ram~4363 , datamem|ram~4363, mips_16, 1
+instance = comp, \datamem|ram~180feeder , datamem|ram~180feeder, mips_16, 1
+instance = comp, \datamem|ram~180 , datamem|ram~180, mips_16, 1
+instance = comp, \datamem|ram~692feeder , datamem|ram~692feeder, mips_16, 1
+instance = comp, \datamem|ram~692 , datamem|ram~692, mips_16, 1
+instance = comp, \datamem|ram~436feeder , datamem|ram~436feeder, mips_16, 1
+instance = comp, \datamem|ram~436 , datamem|ram~436, mips_16, 1
+instance = comp, \datamem|ram~948 , datamem|ram~948, mips_16, 1
+instance = comp, \datamem|ram~4364 , datamem|ram~4364, mips_16, 1
+instance = comp, \datamem|ram~404 , datamem|ram~404, mips_16, 1
+instance = comp, \datamem|ram~660feeder , datamem|ram~660feeder, mips_16, 1
+instance = comp, \datamem|ram~660 , datamem|ram~660, mips_16, 1
+instance = comp, \datamem|ram~148 , datamem|ram~148, mips_16, 1
+instance = comp, \datamem|ram~916 , datamem|ram~916, mips_16, 1
+instance = comp, \datamem|ram~4362 , datamem|ram~4362, mips_16, 1
+instance = comp, \datamem|ram~644 , datamem|ram~644, mips_16, 1
+instance = comp, \datamem|ram~388 , datamem|ram~388, mips_16, 1
+instance = comp, \datamem|ram~132 , datamem|ram~132, mips_16, 1
+instance = comp, \datamem|ram~900 , datamem|ram~900, mips_16, 1
+instance = comp, \datamem|ram~4361 , datamem|ram~4361, mips_16, 1
+instance = comp, \datamem|ram~4365 , datamem|ram~4365, mips_16, 1
+instance = comp, \datamem|ram~836 , datamem|ram~836, mips_16, 1
+instance = comp, \datamem|ram~852 , datamem|ram~852, mips_16, 1
+instance = comp, \datamem|ram~868feeder , datamem|ram~868feeder, mips_16, 1
+instance = comp, \datamem|ram~868 , datamem|ram~868, mips_16, 1
+instance = comp, \datamem|ram~884 , datamem|ram~884, mips_16, 1
+instance = comp, \datamem|ram~4359 , datamem|ram~4359, mips_16, 1
+instance = comp, \datamem|ram~324 , datamem|ram~324, mips_16, 1
+instance = comp, \datamem|ram~372 , datamem|ram~372, mips_16, 1
+instance = comp, \datamem|ram~356 , datamem|ram~356, mips_16, 1
+instance = comp, \datamem|ram~340 , datamem|ram~340, mips_16, 1
+instance = comp, \datamem|ram~4357 , datamem|ram~4357, mips_16, 1
+instance = comp, \datamem|ram~116feeder , datamem|ram~116feeder, mips_16, 1
+instance = comp, \datamem|ram~116 , datamem|ram~116, mips_16, 1
+instance = comp, \datamem|ram~100feeder , datamem|ram~100feeder, mips_16, 1
+instance = comp, \datamem|ram~100 , datamem|ram~100, mips_16, 1
+instance = comp, \datamem|ram~68 , datamem|ram~68, mips_16, 1
+instance = comp, \datamem|ram~84 , datamem|ram~84, mips_16, 1
+instance = comp, \datamem|ram~4356 , datamem|ram~4356, mips_16, 1
+instance = comp, \datamem|ram~580feeder , datamem|ram~580feeder, mips_16, 1
+instance = comp, \datamem|ram~580 , datamem|ram~580, mips_16, 1
+instance = comp, \datamem|ram~612feeder , datamem|ram~612feeder, mips_16, 1
+instance = comp, \datamem|ram~612 , datamem|ram~612, mips_16, 1
+instance = comp, \datamem|ram~596 , datamem|ram~596, mips_16, 1
+instance = comp, \datamem|ram~628 , datamem|ram~628, mips_16, 1
+instance = comp, \datamem|ram~4358 , datamem|ram~4358, mips_16, 1
+instance = comp, \datamem|ram~4360 , datamem|ram~4360, mips_16, 1
+instance = comp, \datamem|ram~4371 , datamem|ram~4371, mips_16, 1
+instance = comp, \datamem|ram~3108feeder , datamem|ram~3108feeder, mips_16, 1
+instance = comp, \datamem|ram~3108 , datamem|ram~3108, mips_16, 1
+instance = comp, \datamem|ram~3092feeder , datamem|ram~3092feeder, mips_16, 1
+instance = comp, \datamem|ram~3092 , datamem|ram~3092, mips_16, 1
+instance = comp, \datamem|ram~3076 , datamem|ram~3076, mips_16, 1
+instance = comp, \datamem|ram~3124 , datamem|ram~3124, mips_16, 1
+instance = comp, \datamem|ram~4414 , datamem|ram~4414, mips_16, 1
+instance = comp, \datamem|ram~3876feeder , datamem|ram~3876feeder, mips_16, 1
+instance = comp, \datamem|ram~3876 , datamem|ram~3876, mips_16, 1
+instance = comp, \datamem|ram~3844 , datamem|ram~3844, mips_16, 1
+instance = comp, \datamem|ram~3860 , datamem|ram~3860, mips_16, 1
+instance = comp, \datamem|ram~3892feeder , datamem|ram~3892feeder, mips_16, 1
+instance = comp, \datamem|ram~3892 , datamem|ram~3892, mips_16, 1
+instance = comp, \datamem|ram~4417 , datamem|ram~4417, mips_16, 1
+instance = comp, \datamem|ram~3364feeder , datamem|ram~3364feeder, mips_16, 1
+instance = comp, \datamem|ram~3364 , datamem|ram~3364, mips_16, 1
+instance = comp, \datamem|ram~3348feeder , datamem|ram~3348feeder, mips_16, 1
+instance = comp, \datamem|ram~3348 , datamem|ram~3348, mips_16, 1
+instance = comp, \datamem|ram~3332feeder , datamem|ram~3332feeder, mips_16, 1
+instance = comp, \datamem|ram~3332 , datamem|ram~3332, mips_16, 1
+instance = comp, \datamem|ram~3380 , datamem|ram~3380, mips_16, 1
+instance = comp, \datamem|ram~4415 , datamem|ram~4415, mips_16, 1
+instance = comp, \datamem|ram~3620feeder , datamem|ram~3620feeder, mips_16, 1
+instance = comp, \datamem|ram~3620 , datamem|ram~3620, mips_16, 1
+instance = comp, \datamem|ram~3604feeder , datamem|ram~3604feeder, mips_16, 1
+instance = comp, \datamem|ram~3604 , datamem|ram~3604, mips_16, 1
+instance = comp, \datamem|ram~3588 , datamem|ram~3588, mips_16, 1
+instance = comp, \datamem|ram~3636 , datamem|ram~3636, mips_16, 1
+instance = comp, \datamem|ram~4416 , datamem|ram~4416, mips_16, 1
+instance = comp, \datamem|ram~4418 , datamem|ram~4418, mips_16, 1
+instance = comp, \datamem|ram~3684feeder , datamem|ram~3684feeder, mips_16, 1
+instance = comp, \datamem|ram~3684 , datamem|ram~3684, mips_16, 1
+instance = comp, \datamem|ram~3668feeder , datamem|ram~3668feeder, mips_16, 1
+instance = comp, \datamem|ram~3668 , datamem|ram~3668, mips_16, 1
+instance = comp, \datamem|ram~3652feeder , datamem|ram~3652feeder, mips_16, 1
+instance = comp, \datamem|ram~3652 , datamem|ram~3652, mips_16, 1
+instance = comp, \datamem|ram~3700 , datamem|ram~3700, mips_16, 1
+instance = comp, \datamem|ram~4421 , datamem|ram~4421, mips_16, 1
+instance = comp, \datamem|ram~3908 , datamem|ram~3908, mips_16, 1
+instance = comp, \datamem|ram~3924 , datamem|ram~3924, mips_16, 1
+instance = comp, \datamem|ram~3940 , datamem|ram~3940, mips_16, 1
+instance = comp, \datamem|ram~3956 , datamem|ram~3956, mips_16, 1
+instance = comp, \datamem|ram~4422 , datamem|ram~4422, mips_16, 1
+instance = comp, \datamem|ram~3188 , datamem|ram~3188, mips_16, 1
+instance = comp, \datamem|ram~3156 , datamem|ram~3156, mips_16, 1
+instance = comp, \datamem|ram~3172 , datamem|ram~3172, mips_16, 1
+instance = comp, \datamem|ram~3140feeder , datamem|ram~3140feeder, mips_16, 1
+instance = comp, \datamem|ram~3140 , datamem|ram~3140, mips_16, 1
+instance = comp, \datamem|ram~4419 , datamem|ram~4419, mips_16, 1
+instance = comp, \datamem|ram~3412feeder , datamem|ram~3412feeder, mips_16, 1
+instance = comp, \datamem|ram~3412 , datamem|ram~3412, mips_16, 1
+instance = comp, \datamem|ram~3396 , datamem|ram~3396, mips_16, 1
+instance = comp, \datamem|ram~3428feeder , datamem|ram~3428feeder, mips_16, 1
+instance = comp, \datamem|ram~3428 , datamem|ram~3428, mips_16, 1
+instance = comp, \datamem|ram~3444 , datamem|ram~3444, mips_16, 1
+instance = comp, \datamem|ram~4420 , datamem|ram~4420, mips_16, 1
+instance = comp, \datamem|ram~4423 , datamem|ram~4423, mips_16, 1
+instance = comp, \datamem|ram~3524 , datamem|ram~3524, mips_16, 1
+instance = comp, \datamem|ram~3540 , datamem|ram~3540, mips_16, 1
+instance = comp, \datamem|ram~3556 , datamem|ram~3556, mips_16, 1
+instance = comp, \datamem|ram~3572 , datamem|ram~3572, mips_16, 1
+instance = comp, \datamem|ram~4430 , datamem|ram~4430, mips_16, 1
+instance = comp, \datamem|ram~3780 , datamem|ram~3780, mips_16, 1
+instance = comp, \datamem|ram~3796 , datamem|ram~3796, mips_16, 1
+instance = comp, \datamem|ram~3812 , datamem|ram~3812, mips_16, 1
+instance = comp, \datamem|ram~3828 , datamem|ram~3828, mips_16, 1
+instance = comp, \datamem|ram~4431 , datamem|ram~4431, mips_16, 1
+instance = comp, \datamem|ram~4052feeder , datamem|ram~4052feeder, mips_16, 1
+instance = comp, \datamem|ram~4052 , datamem|ram~4052, mips_16, 1
+instance = comp, \datamem|ram~4068feeder , datamem|ram~4068feeder, mips_16, 1
+instance = comp, \datamem|ram~4068 , datamem|ram~4068, mips_16, 1
+instance = comp, \datamem|ram~4036feeder , datamem|ram~4036feeder, mips_16, 1
+instance = comp, \datamem|ram~4036 , datamem|ram~4036, mips_16, 1
+instance = comp, \datamem|ram~4084 , datamem|ram~4084, mips_16, 1
+instance = comp, \datamem|ram~4432 , datamem|ram~4432, mips_16, 1
+instance = comp, \datamem|ram~3268 , datamem|ram~3268, mips_16, 1
+instance = comp, \datamem|ram~3300 , datamem|ram~3300, mips_16, 1
+instance = comp, \datamem|ram~3284 , datamem|ram~3284, mips_16, 1
+instance = comp, \datamem|ram~3316 , datamem|ram~3316, mips_16, 1
+instance = comp, \datamem|ram~4429 , datamem|ram~4429, mips_16, 1
+instance = comp, \datamem|ram~4433 , datamem|ram~4433, mips_16, 1
+instance = comp, \datamem|ram~3492 , datamem|ram~3492, mips_16, 1
+instance = comp, \datamem|ram~3748feeder , datamem|ram~3748feeder, mips_16, 1
+instance = comp, \datamem|ram~3748 , datamem|ram~3748, mips_16, 1
+instance = comp, \datamem|ram~3236 , datamem|ram~3236, mips_16, 1
+instance = comp, \datamem|ram~4004 , datamem|ram~4004, mips_16, 1
+instance = comp, \datamem|ram~4426 , datamem|ram~4426, mips_16, 1
+instance = comp, \datamem|ram~3732feeder , datamem|ram~3732feeder, mips_16, 1
+instance = comp, \datamem|ram~3732 , datamem|ram~3732, mips_16, 1
+instance = comp, \datamem|ram~3220 , datamem|ram~3220, mips_16, 1
+instance = comp, \datamem|ram~3476feeder , datamem|ram~3476feeder, mips_16, 1
+instance = comp, \datamem|ram~3476 , datamem|ram~3476, mips_16, 1
+instance = comp, \datamem|ram~3988 , datamem|ram~3988, mips_16, 1
+instance = comp, \datamem|ram~4425 , datamem|ram~4425, mips_16, 1
+instance = comp, \datamem|ram~3508feeder , datamem|ram~3508feeder, mips_16, 1
+instance = comp, \datamem|ram~3508 , datamem|ram~3508, mips_16, 1
+instance = comp, \datamem|ram~3252feeder , datamem|ram~3252feeder, mips_16, 1
+instance = comp, \datamem|ram~3252 , datamem|ram~3252, mips_16, 1
+instance = comp, \datamem|ram~3764 , datamem|ram~3764, mips_16, 1
+instance = comp, \datamem|ram~4020 , datamem|ram~4020, mips_16, 1
+instance = comp, \datamem|ram~4427 , datamem|ram~4427, mips_16, 1
+instance = comp, \datamem|ram~3716feeder , datamem|ram~3716feeder, mips_16, 1
+instance = comp, \datamem|ram~3716 , datamem|ram~3716, mips_16, 1
+instance = comp, \datamem|ram~3460feeder , datamem|ram~3460feeder, mips_16, 1
+instance = comp, \datamem|ram~3460 , datamem|ram~3460, mips_16, 1
+instance = comp, \datamem|ram~3204feeder , datamem|ram~3204feeder, mips_16, 1
+instance = comp, \datamem|ram~3204 , datamem|ram~3204, mips_16, 1
+instance = comp, \datamem|ram~3972 , datamem|ram~3972, mips_16, 1
+instance = comp, \datamem|ram~4424 , datamem|ram~4424, mips_16, 1
+instance = comp, \datamem|ram~4428 , datamem|ram~4428, mips_16, 1
+instance = comp, \datamem|ram~4434 , datamem|ram~4434, mips_16, 1
+instance = comp, \datamem|ram~4435 , datamem|ram~4435, mips_16, 1
+instance = comp, \reg_write_data[4]~5 , reg_write_data[4]~5, mips_16, 1
+instance = comp, \reg_file|reg_array[0][4]~feeder , reg_file|reg_array[0][4]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[0][4] , reg_file|reg_array[0][4], mips_16, 1
+instance = comp, \reg_file|reg_array[4][4] , reg_file|reg_array[4][4], mips_16, 1
+instance = comp, \reg_file|reg_array[7][4] , reg_file|reg_array[7][4], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[4]~6 , reg_file|reg_read_data_1[4]~6, mips_16, 1
+instance = comp, \alu_unit|Mux10~2 , alu_unit|Mux10~2, mips_16, 1
+instance = comp, \alu_unit|Mux10~4 , alu_unit|Mux10~4, mips_16, 1
+instance = comp, \alu_unit|Mux10~3 , alu_unit|Mux10~3, mips_16, 1
+instance = comp, \alu_unit|Mux10~9 , alu_unit|Mux10~9, mips_16, 1
+instance = comp, \alu_unit|Mux10~6 , alu_unit|Mux10~6, mips_16, 1
+instance = comp, \datamem|ram~677 , datamem|ram~677, mips_16, 1
+instance = comp, \datamem|ram~421 , datamem|ram~421, mips_16, 1
+instance = comp, \datamem|ram~165 , datamem|ram~165, mips_16, 1
+instance = comp, \datamem|ram~933 , datamem|ram~933, mips_16, 1
+instance = comp, \datamem|ram~4873 , datamem|ram~4873, mips_16, 1
+instance = comp, \datamem|ram~741 , datamem|ram~741, mips_16, 1
+instance = comp, \datamem|ram~485 , datamem|ram~485, mips_16, 1
+instance = comp, \datamem|ram~229 , datamem|ram~229, mips_16, 1
+instance = comp, \datamem|ram~997 , datamem|ram~997, mips_16, 1
+instance = comp, \datamem|ram~4874 , datamem|ram~4874, mips_16, 1
+instance = comp, \datamem|ram~613 , datamem|ram~613, mips_16, 1
+instance = comp, \datamem|ram~357 , datamem|ram~357, mips_16, 1
+instance = comp, \datamem|ram~101feeder , datamem|ram~101feeder, mips_16, 1
+instance = comp, \datamem|ram~101 , datamem|ram~101, mips_16, 1
+instance = comp, \datamem|ram~869 , datamem|ram~869, mips_16, 1
+instance = comp, \datamem|ram~4872 , datamem|ram~4872, mips_16, 1
+instance = comp, \datamem|ram~37feeder , datamem|ram~37feeder, mips_16, 1
+instance = comp, \datamem|ram~37 , datamem|ram~37, mips_16, 1
+instance = comp, \datamem|ram~549feeder , datamem|ram~549feeder, mips_16, 1
+instance = comp, \datamem|ram~549 , datamem|ram~549, mips_16, 1
+instance = comp, \datamem|ram~293feeder , datamem|ram~293feeder, mips_16, 1
+instance = comp, \datamem|ram~293 , datamem|ram~293, mips_16, 1
+instance = comp, \datamem|ram~805 , datamem|ram~805, mips_16, 1
+instance = comp, \datamem|ram~4871 , datamem|ram~4871, mips_16, 1
+instance = comp, \datamem|ram~4875 , datamem|ram~4875, mips_16, 1
+instance = comp, \datamem|ram~853feeder , datamem|ram~853feeder, mips_16, 1
+instance = comp, \datamem|ram~853 , datamem|ram~853, mips_16, 1
+instance = comp, \datamem|ram~597feeder , datamem|ram~597feeder, mips_16, 1
+instance = comp, \datamem|ram~597 , datamem|ram~597, mips_16, 1
+instance = comp, \datamem|ram~85 , datamem|ram~85, mips_16, 1
+instance = comp, \datamem|ram~341 , datamem|ram~341, mips_16, 1
+instance = comp, \datamem|ram~4867 , datamem|ram~4867, mips_16, 1
+instance = comp, \datamem|ram~405feeder , datamem|ram~405feeder, mips_16, 1
+instance = comp, \datamem|ram~405 , datamem|ram~405, mips_16, 1
+instance = comp, \datamem|ram~149 , datamem|ram~149, mips_16, 1
+instance = comp, \datamem|ram~661feeder , datamem|ram~661feeder, mips_16, 1
+instance = comp, \datamem|ram~661 , datamem|ram~661, mips_16, 1
+instance = comp, \datamem|ram~917 , datamem|ram~917, mips_16, 1
+instance = comp, \datamem|ram~4868 , datamem|ram~4868, mips_16, 1
+instance = comp, \datamem|ram~213feeder , datamem|ram~213feeder, mips_16, 1
+instance = comp, \datamem|ram~213 , datamem|ram~213, mips_16, 1
+instance = comp, \datamem|ram~725 , datamem|ram~725, mips_16, 1
+instance = comp, \datamem|ram~469feeder , datamem|ram~469feeder, mips_16, 1
+instance = comp, \datamem|ram~469 , datamem|ram~469, mips_16, 1
+instance = comp, \datamem|ram~981 , datamem|ram~981, mips_16, 1
+instance = comp, \datamem|ram~4869 , datamem|ram~4869, mips_16, 1
+instance = comp, \datamem|ram~533 , datamem|ram~533, mips_16, 1
+instance = comp, \datamem|ram~21 , datamem|ram~21, mips_16, 1
+instance = comp, \datamem|ram~789feeder , datamem|ram~789feeder, mips_16, 1
+instance = comp, \datamem|ram~789 , datamem|ram~789, mips_16, 1
+instance = comp, \datamem|ram~277 , datamem|ram~277, mips_16, 1
+instance = comp, \datamem|ram~4866 , datamem|ram~4866, mips_16, 1
+instance = comp, \datamem|ram~4870 , datamem|ram~4870, mips_16, 1
+instance = comp, \datamem|ram~773feeder , datamem|ram~773feeder, mips_16, 1
+instance = comp, \datamem|ram~773 , datamem|ram~773, mips_16, 1
+instance = comp, \datamem|ram~517feeder , datamem|ram~517feeder, mips_16, 1
+instance = comp, \datamem|ram~517 , datamem|ram~517, mips_16, 1
+instance = comp, \datamem|ram~5feeder , datamem|ram~5feeder, mips_16, 1
+instance = comp, \datamem|ram~5 , datamem|ram~5, mips_16, 1
+instance = comp, \datamem|ram~261 , datamem|ram~261, mips_16, 1
+instance = comp, \datamem|ram~4861 , datamem|ram~4861, mips_16, 1
+instance = comp, \datamem|ram~645feeder , datamem|ram~645feeder, mips_16, 1
+instance = comp, \datamem|ram~645 , datamem|ram~645, mips_16, 1
+instance = comp, \datamem|ram~133 , datamem|ram~133, mips_16, 1
+instance = comp, \datamem|ram~389 , datamem|ram~389, mips_16, 1
+instance = comp, \datamem|ram~901 , datamem|ram~901, mips_16, 1
+instance = comp, \datamem|ram~4863 , datamem|ram~4863, mips_16, 1
+instance = comp, \datamem|ram~453feeder , datamem|ram~453feeder, mips_16, 1
+instance = comp, \datamem|ram~453 , datamem|ram~453, mips_16, 1
+instance = comp, \datamem|ram~709feeder , datamem|ram~709feeder, mips_16, 1
+instance = comp, \datamem|ram~709 , datamem|ram~709, mips_16, 1
+instance = comp, \datamem|ram~197 , datamem|ram~197, mips_16, 1
+instance = comp, \datamem|ram~965 , datamem|ram~965, mips_16, 1
+instance = comp, \datamem|ram~4864 , datamem|ram~4864, mips_16, 1
+instance = comp, \datamem|ram~581feeder , datamem|ram~581feeder, mips_16, 1
+instance = comp, \datamem|ram~581 , datamem|ram~581, mips_16, 1
+instance = comp, \datamem|ram~69feeder , datamem|ram~69feeder, mips_16, 1
+instance = comp, \datamem|ram~69 , datamem|ram~69, mips_16, 1
+instance = comp, \datamem|ram~837feeder , datamem|ram~837feeder, mips_16, 1
+instance = comp, \datamem|ram~837 , datamem|ram~837, mips_16, 1
+instance = comp, \datamem|ram~325 , datamem|ram~325, mips_16, 1
+instance = comp, \datamem|ram~4862 , datamem|ram~4862, mips_16, 1
+instance = comp, \datamem|ram~4865 , datamem|ram~4865, mips_16, 1
+instance = comp, \datamem|ram~629 , datamem|ram~629, mips_16, 1
+instance = comp, \datamem|ram~693 , datamem|ram~693, mips_16, 1
+instance = comp, \datamem|ram~565feeder , datamem|ram~565feeder, mips_16, 1
+instance = comp, \datamem|ram~565 , datamem|ram~565, mips_16, 1
+instance = comp, \datamem|ram~757 , datamem|ram~757, mips_16, 1
+instance = comp, \datamem|ram~4878 , datamem|ram~4878, mips_16, 1
+instance = comp, \datamem|ram~309feeder , datamem|ram~309feeder, mips_16, 1
+instance = comp, \datamem|ram~309 , datamem|ram~309, mips_16, 1
+instance = comp, \datamem|ram~373 , datamem|ram~373, mips_16, 1
+instance = comp, \datamem|ram~437 , datamem|ram~437, mips_16, 1
+instance = comp, \datamem|ram~501 , datamem|ram~501, mips_16, 1
+instance = comp, \datamem|ram~4877 , datamem|ram~4877, mips_16, 1
+instance = comp, \datamem|ram~117 , datamem|ram~117, mips_16, 1
+instance = comp, \datamem|ram~181feeder , datamem|ram~181feeder, mips_16, 1
+instance = comp, \datamem|ram~181 , datamem|ram~181, mips_16, 1
+instance = comp, \datamem|ram~53 , datamem|ram~53, mips_16, 1
+instance = comp, \datamem|ram~245 , datamem|ram~245, mips_16, 1
+instance = comp, \datamem|ram~4876 , datamem|ram~4876, mips_16, 1
+instance = comp, \datamem|ram~885 , datamem|ram~885, mips_16, 1
+instance = comp, \datamem|ram~821 , datamem|ram~821, mips_16, 1
+instance = comp, \datamem|ram~949 , datamem|ram~949, mips_16, 1
+instance = comp, \datamem|ram~1013 , datamem|ram~1013, mips_16, 1
+instance = comp, \datamem|ram~4879 , datamem|ram~4879, mips_16, 1
+instance = comp, \datamem|ram~4880 , datamem|ram~4880, mips_16, 1
+instance = comp, \datamem|ram~4881 , datamem|ram~4881, mips_16, 1
+instance = comp, \datamem|ram~3685feeder , datamem|ram~3685feeder, mips_16, 1
+instance = comp, \datamem|ram~3685 , datamem|ram~3685, mips_16, 1
+instance = comp, \datamem|ram~3173feeder , datamem|ram~3173feeder, mips_16, 1
+instance = comp, \datamem|ram~3173 , datamem|ram~3173, mips_16, 1
+instance = comp, \datamem|ram~3429 , datamem|ram~3429, mips_16, 1
+instance = comp, \datamem|ram~3941 , datamem|ram~3941, mips_16, 1
+instance = comp, \datamem|ram~4935 , datamem|ram~4935, mips_16, 1
+instance = comp, \datamem|ram~3621feeder , datamem|ram~3621feeder, mips_16, 1
+instance = comp, \datamem|ram~3621 , datamem|ram~3621, mips_16, 1
+instance = comp, \datamem|ram~3365feeder , datamem|ram~3365feeder, mips_16, 1
+instance = comp, \datamem|ram~3365 , datamem|ram~3365, mips_16, 1
+instance = comp, \datamem|ram~3109feeder , datamem|ram~3109feeder, mips_16, 1
+instance = comp, \datamem|ram~3109 , datamem|ram~3109, mips_16, 1
+instance = comp, \datamem|ram~3877feeder , datamem|ram~3877feeder, mips_16, 1
+instance = comp, \datamem|ram~3877 , datamem|ram~3877, mips_16, 1
+instance = comp, \datamem|ram~4934 , datamem|ram~4934, mips_16, 1
+instance = comp, \datamem|ram~3749 , datamem|ram~3749, mips_16, 1
+instance = comp, \datamem|ram~3237feeder , datamem|ram~3237feeder, mips_16, 1
+instance = comp, \datamem|ram~3237 , datamem|ram~3237, mips_16, 1
+instance = comp, \datamem|ram~3493 , datamem|ram~3493, mips_16, 1
+instance = comp, \datamem|ram~4005 , datamem|ram~4005, mips_16, 1
+instance = comp, \datamem|ram~4936 , datamem|ram~4936, mips_16, 1
+instance = comp, \datamem|ram~3557 , datamem|ram~3557, mips_16, 1
+instance = comp, \datamem|ram~3301 , datamem|ram~3301, mips_16, 1
+instance = comp, \datamem|ram~3813 , datamem|ram~3813, mips_16, 1
+instance = comp, \datamem|ram~4069 , datamem|ram~4069, mips_16, 1
+instance = comp, \datamem|ram~4937 , datamem|ram~4937, mips_16, 1
+instance = comp, \datamem|ram~4938 , datamem|ram~4938, mips_16, 1
+instance = comp, \datamem|ram~3781 , datamem|ram~3781, mips_16, 1
+instance = comp, \datamem|ram~3525 , datamem|ram~3525, mips_16, 1
+instance = comp, \datamem|ram~3269feeder , datamem|ram~3269feeder, mips_16, 1
+instance = comp, \datamem|ram~3269 , datamem|ram~3269, mips_16, 1
+instance = comp, \datamem|ram~4037 , datamem|ram~4037, mips_16, 1
+instance = comp, \datamem|ram~4927 , datamem|ram~4927, mips_16, 1
+instance = comp, \datamem|ram~3845feeder , datamem|ram~3845feeder, mips_16, 1
+instance = comp, \datamem|ram~3845 , datamem|ram~3845, mips_16, 1
+instance = comp, \datamem|ram~3077feeder , datamem|ram~3077feeder, mips_16, 1
+instance = comp, \datamem|ram~3077 , datamem|ram~3077, mips_16, 1
+instance = comp, \datamem|ram~3589feeder , datamem|ram~3589feeder, mips_16, 1
+instance = comp, \datamem|ram~3589 , datamem|ram~3589, mips_16, 1
+instance = comp, \datamem|ram~3333feeder , datamem|ram~3333feeder, mips_16, 1
+instance = comp, \datamem|ram~3333 , datamem|ram~3333, mips_16, 1
+instance = comp, \datamem|ram~4924 , datamem|ram~4924, mips_16, 1
+instance = comp, \datamem|ram~3973feeder , datamem|ram~3973feeder, mips_16, 1
+instance = comp, \datamem|ram~3973 , datamem|ram~3973, mips_16, 1
+instance = comp, \datamem|ram~3461feeder , datamem|ram~3461feeder, mips_16, 1
+instance = comp, \datamem|ram~3461 , datamem|ram~3461, mips_16, 1
+instance = comp, \datamem|ram~3205 , datamem|ram~3205, mips_16, 1
+instance = comp, \datamem|ram~3717 , datamem|ram~3717, mips_16, 1
+instance = comp, \datamem|ram~4926 , datamem|ram~4926, mips_16, 1
+instance = comp, \datamem|ram~3397feeder , datamem|ram~3397feeder, mips_16, 1
+instance = comp, \datamem|ram~3397 , datamem|ram~3397, mips_16, 1
+instance = comp, \datamem|ram~3909feeder , datamem|ram~3909feeder, mips_16, 1
+instance = comp, \datamem|ram~3909 , datamem|ram~3909, mips_16, 1
+instance = comp, \datamem|ram~3141 , datamem|ram~3141, mips_16, 1
+instance = comp, \datamem|ram~3653 , datamem|ram~3653, mips_16, 1
+instance = comp, \datamem|ram~4925 , datamem|ram~4925, mips_16, 1
+instance = comp, \datamem|ram~4928 , datamem|ram~4928, mips_16, 1
+instance = comp, \datamem|ram~3253 , datamem|ram~3253, mips_16, 1
+instance = comp, \datamem|ram~3189 , datamem|ram~3189, mips_16, 1
+instance = comp, \datamem|ram~3125 , datamem|ram~3125, mips_16, 1
+instance = comp, \datamem|ram~3317 , datamem|ram~3317, mips_16, 1
+instance = comp, \datamem|ram~4939 , datamem|ram~4939, mips_16, 1
+instance = comp, \datamem|ram~3701feeder , datamem|ram~3701feeder, mips_16, 1
+instance = comp, \datamem|ram~3701 , datamem|ram~3701, mips_16, 1
+instance = comp, \datamem|ram~3637feeder , datamem|ram~3637feeder, mips_16, 1
+instance = comp, \datamem|ram~3637 , datamem|ram~3637, mips_16, 1
+instance = comp, \datamem|ram~3765 , datamem|ram~3765, mips_16, 1
+instance = comp, \datamem|ram~3829 , datamem|ram~3829, mips_16, 1
+instance = comp, \datamem|ram~4941 , datamem|ram~4941, mips_16, 1
+instance = comp, \datamem|ram~3893 , datamem|ram~3893, mips_16, 1
+instance = comp, \datamem|ram~4021 , datamem|ram~4021, mips_16, 1
+instance = comp, \datamem|ram~3957 , datamem|ram~3957, mips_16, 1
+instance = comp, \datamem|ram~4085 , datamem|ram~4085, mips_16, 1
+instance = comp, \datamem|ram~4942 , datamem|ram~4942, mips_16, 1
+instance = comp, \datamem|ram~3509feeder , datamem|ram~3509feeder, mips_16, 1
+instance = comp, \datamem|ram~3509 , datamem|ram~3509, mips_16, 1
+instance = comp, \datamem|ram~3381feeder , datamem|ram~3381feeder, mips_16, 1
+instance = comp, \datamem|ram~3381 , datamem|ram~3381, mips_16, 1
+instance = comp, \datamem|ram~3445feeder , datamem|ram~3445feeder, mips_16, 1
+instance = comp, \datamem|ram~3445 , datamem|ram~3445, mips_16, 1
+instance = comp, \datamem|ram~3573 , datamem|ram~3573, mips_16, 1
+instance = comp, \datamem|ram~4940 , datamem|ram~4940, mips_16, 1
+instance = comp, \datamem|ram~4943 , datamem|ram~4943, mips_16, 1
+instance = comp, \datamem|ram~3157 , datamem|ram~3157, mips_16, 1
+instance = comp, \datamem|ram~3669feeder , datamem|ram~3669feeder, mips_16, 1
+instance = comp, \datamem|ram~3669 , datamem|ram~3669, mips_16, 1
+instance = comp, \datamem|ram~3413feeder , datamem|ram~3413feeder, mips_16, 1
+instance = comp, \datamem|ram~3413 , datamem|ram~3413, mips_16, 1
+instance = comp, \datamem|ram~3925 , datamem|ram~3925, mips_16, 1
+instance = comp, \datamem|ram~4930 , datamem|ram~4930, mips_16, 1
+instance = comp, \datamem|ram~3349 , datamem|ram~3349, mips_16, 1
+instance = comp, \datamem|ram~3605feeder , datamem|ram~3605feeder, mips_16, 1
+instance = comp, \datamem|ram~3605 , datamem|ram~3605, mips_16, 1
+instance = comp, \datamem|ram~3861feeder , datamem|ram~3861feeder, mips_16, 1
+instance = comp, \datamem|ram~3861 , datamem|ram~3861, mips_16, 1
+instance = comp, \datamem|ram~3093feeder , datamem|ram~3093feeder, mips_16, 1
+instance = comp, \datamem|ram~3093 , datamem|ram~3093, mips_16, 1
+instance = comp, \datamem|ram~4929 , datamem|ram~4929, mips_16, 1
+instance = comp, \datamem|ram~3541 , datamem|ram~3541, mips_16, 1
+instance = comp, \datamem|ram~3797 , datamem|ram~3797, mips_16, 1
+instance = comp, \datamem|ram~3285 , datamem|ram~3285, mips_16, 1
+instance = comp, \datamem|ram~4053 , datamem|ram~4053, mips_16, 1
+instance = comp, \datamem|ram~4932 , datamem|ram~4932, mips_16, 1
+instance = comp, \datamem|ram~3477feeder , datamem|ram~3477feeder, mips_16, 1
+instance = comp, \datamem|ram~3477 , datamem|ram~3477, mips_16, 1
+instance = comp, \datamem|ram~3733feeder , datamem|ram~3733feeder, mips_16, 1
+instance = comp, \datamem|ram~3733 , datamem|ram~3733, mips_16, 1
+instance = comp, \datamem|ram~3221 , datamem|ram~3221, mips_16, 1
+instance = comp, \datamem|ram~3989 , datamem|ram~3989, mips_16, 1
+instance = comp, \datamem|ram~4931 , datamem|ram~4931, mips_16, 1
+instance = comp, \datamem|ram~4933 , datamem|ram~4933, mips_16, 1
+instance = comp, \datamem|ram~4944 , datamem|ram~4944, mips_16, 1
+instance = comp, \datamem|ram~2197feeder , datamem|ram~2197feeder, mips_16, 1
+instance = comp, \datamem|ram~2197 , datamem|ram~2197, mips_16, 1
+instance = comp, \datamem|ram~2069feeder , datamem|ram~2069feeder, mips_16, 1
+instance = comp, \datamem|ram~2069 , datamem|ram~2069, mips_16, 1
+instance = comp, \datamem|ram~2133feeder , datamem|ram~2133feeder, mips_16, 1
+instance = comp, \datamem|ram~2133 , datamem|ram~2133, mips_16, 1
+instance = comp, \datamem|ram~2261 , datamem|ram~2261, mips_16, 1
+instance = comp, \datamem|ram~4904 , datamem|ram~4904, mips_16, 1
+instance = comp, \datamem|ram~2149feeder , datamem|ram~2149feeder, mips_16, 1
+instance = comp, \datamem|ram~2149 , datamem|ram~2149, mips_16, 1
+instance = comp, \datamem|ram~2085feeder , datamem|ram~2085feeder, mips_16, 1
+instance = comp, \datamem|ram~2085 , datamem|ram~2085, mips_16, 1
+instance = comp, \datamem|ram~2213feeder , datamem|ram~2213feeder, mips_16, 1
+instance = comp, \datamem|ram~2213 , datamem|ram~2213, mips_16, 1
+instance = comp, \datamem|ram~2277 , datamem|ram~2277, mips_16, 1
+instance = comp, \datamem|ram~4905 , datamem|ram~4905, mips_16, 1
+instance = comp, \datamem|ram~2165feeder , datamem|ram~2165feeder, mips_16, 1
+instance = comp, \datamem|ram~2165 , datamem|ram~2165, mips_16, 1
+instance = comp, \datamem|ram~2229 , datamem|ram~2229, mips_16, 1
+instance = comp, \datamem|ram~2101 , datamem|ram~2101, mips_16, 1
+instance = comp, \datamem|ram~2293 , datamem|ram~2293, mips_16, 1
+instance = comp, \datamem|ram~4906 , datamem|ram~4906, mips_16, 1
+instance = comp, \datamem|ram~2117 , datamem|ram~2117, mips_16, 1
+instance = comp, \datamem|ram~2053 , datamem|ram~2053, mips_16, 1
+instance = comp, \datamem|ram~2181 , datamem|ram~2181, mips_16, 1
+instance = comp, \datamem|ram~2245 , datamem|ram~2245, mips_16, 1
+instance = comp, \datamem|ram~4903 , datamem|ram~4903, mips_16, 1
+instance = comp, \datamem|ram~4907 , datamem|ram~4907, mips_16, 1
+instance = comp, \datamem|ram~2917 , datamem|ram~2917, mips_16, 1
+instance = comp, \datamem|ram~2901 , datamem|ram~2901, mips_16, 1
+instance = comp, \datamem|ram~2885 , datamem|ram~2885, mips_16, 1
+instance = comp, \datamem|ram~2933 , datamem|ram~2933, mips_16, 1
+instance = comp, \datamem|ram~4919 , datamem|ram~4919, mips_16, 1
+instance = comp, \datamem|ram~3029feeder , datamem|ram~3029feeder, mips_16, 1
+instance = comp, \datamem|ram~3029 , datamem|ram~3029, mips_16, 1
+instance = comp, \datamem|ram~3045feeder , datamem|ram~3045feeder, mips_16, 1
+instance = comp, \datamem|ram~3045 , datamem|ram~3045, mips_16, 1
+instance = comp, \datamem|ram~3013 , datamem|ram~3013, mips_16, 1
+instance = comp, \datamem|ram~3061 , datamem|ram~3061, mips_16, 1
+instance = comp, \datamem|ram~4921 , datamem|ram~4921, mips_16, 1
+instance = comp, \datamem|ram~2837 , datamem|ram~2837, mips_16, 1
+instance = comp, \datamem|ram~2853feeder , datamem|ram~2853feeder, mips_16, 1
+instance = comp, \datamem|ram~2853 , datamem|ram~2853, mips_16, 1
+instance = comp, \datamem|ram~2821feeder , datamem|ram~2821feeder, mips_16, 1
+instance = comp, \datamem|ram~2821 , datamem|ram~2821, mips_16, 1
+instance = comp, \datamem|ram~2869 , datamem|ram~2869, mips_16, 1
+instance = comp, \datamem|ram~4918 , datamem|ram~4918, mips_16, 1
+instance = comp, \datamem|ram~2981 , datamem|ram~2981, mips_16, 1
+instance = comp, \datamem|ram~2965 , datamem|ram~2965, mips_16, 1
+instance = comp, \datamem|ram~2949 , datamem|ram~2949, mips_16, 1
+instance = comp, \datamem|ram~2997 , datamem|ram~2997, mips_16, 1
+instance = comp, \datamem|ram~4920 , datamem|ram~4920, mips_16, 1
+instance = comp, \datamem|ram~4922 , datamem|ram~4922, mips_16, 1
+instance = comp, \datamem|ram~2645 , datamem|ram~2645, mips_16, 1
+instance = comp, \datamem|ram~2773 , datamem|ram~2773, mips_16, 1
+instance = comp, \datamem|ram~2581feeder , datamem|ram~2581feeder, mips_16, 1
+instance = comp, \datamem|ram~2581 , datamem|ram~2581, mips_16, 1
+instance = comp, \datamem|ram~2709feeder , datamem|ram~2709feeder, mips_16, 1
+instance = comp, \datamem|ram~2709 , datamem|ram~2709, mips_16, 1
+instance = comp, \datamem|ram~4914 , datamem|ram~4914, mips_16, 1
+instance = comp, \datamem|ram~2629 , datamem|ram~2629, mips_16, 1
+instance = comp, \datamem|ram~2565 , datamem|ram~2565, mips_16, 1
+instance = comp, \datamem|ram~2693 , datamem|ram~2693, mips_16, 1
+instance = comp, \datamem|ram~2757 , datamem|ram~2757, mips_16, 1
+instance = comp, \datamem|ram~4913 , datamem|ram~4913, mips_16, 1
+instance = comp, \datamem|ram~2725 , datamem|ram~2725, mips_16, 1
+instance = comp, \datamem|ram~2661feeder , datamem|ram~2661feeder, mips_16, 1
+instance = comp, \datamem|ram~2661 , datamem|ram~2661, mips_16, 1
+instance = comp, \datamem|ram~2597feeder , datamem|ram~2597feeder, mips_16, 1
+instance = comp, \datamem|ram~2597 , datamem|ram~2597, mips_16, 1
+instance = comp, \datamem|ram~2789 , datamem|ram~2789, mips_16, 1
+instance = comp, \datamem|ram~4915 , datamem|ram~4915, mips_16, 1
+instance = comp, \datamem|ram~2741 , datamem|ram~2741, mips_16, 1
+instance = comp, \datamem|ram~2677feeder , datamem|ram~2677feeder, mips_16, 1
+instance = comp, \datamem|ram~2677 , datamem|ram~2677, mips_16, 1
+instance = comp, \datamem|ram~2613 , datamem|ram~2613, mips_16, 1
+instance = comp, \datamem|ram~2805 , datamem|ram~2805, mips_16, 1
+instance = comp, \datamem|ram~4916 , datamem|ram~4916, mips_16, 1
+instance = comp, \datamem|ram~4917 , datamem|ram~4917, mips_16, 1
+instance = comp, \datamem|ram~2549 , datamem|ram~2549, mips_16, 1
+instance = comp, \datamem|ram~2357feeder , datamem|ram~2357feeder, mips_16, 1
+instance = comp, \datamem|ram~2357 , datamem|ram~2357, mips_16, 1
+instance = comp, \datamem|ram~2485 , datamem|ram~2485, mips_16, 1
+instance = comp, \datamem|ram~2421 , datamem|ram~2421, mips_16, 1
+instance = comp, \datamem|ram~4911 , datamem|ram~4911, mips_16, 1
+instance = comp, \datamem|ram~2405feeder , datamem|ram~2405feeder, mips_16, 1
+instance = comp, \datamem|ram~2405 , datamem|ram~2405, mips_16, 1
+instance = comp, \datamem|ram~2341 , datamem|ram~2341, mips_16, 1
+instance = comp, \datamem|ram~2469 , datamem|ram~2469, mips_16, 1
+instance = comp, \datamem|ram~2533 , datamem|ram~2533, mips_16, 1
+instance = comp, \datamem|ram~4910 , datamem|ram~4910, mips_16, 1
+instance = comp, \datamem|ram~2373feeder , datamem|ram~2373feeder, mips_16, 1
+instance = comp, \datamem|ram~2373 , datamem|ram~2373, mips_16, 1
+instance = comp, \datamem|ram~2309feeder , datamem|ram~2309feeder, mips_16, 1
+instance = comp, \datamem|ram~2309 , datamem|ram~2309, mips_16, 1
+instance = comp, \datamem|ram~2437feeder , datamem|ram~2437feeder, mips_16, 1
+instance = comp, \datamem|ram~2437 , datamem|ram~2437, mips_16, 1
+instance = comp, \datamem|ram~2501 , datamem|ram~2501, mips_16, 1
+instance = comp, \datamem|ram~4908 , datamem|ram~4908, mips_16, 1
+instance = comp, \datamem|ram~2325feeder , datamem|ram~2325feeder, mips_16, 1
+instance = comp, \datamem|ram~2325 , datamem|ram~2325, mips_16, 1
+instance = comp, \datamem|ram~2453feeder , datamem|ram~2453feeder, mips_16, 1
+instance = comp, \datamem|ram~2453 , datamem|ram~2453, mips_16, 1
+instance = comp, \datamem|ram~2389feeder , datamem|ram~2389feeder, mips_16, 1
+instance = comp, \datamem|ram~2389 , datamem|ram~2389, mips_16, 1
+instance = comp, \datamem|ram~2517 , datamem|ram~2517, mips_16, 1
+instance = comp, \datamem|ram~4909 , datamem|ram~4909, mips_16, 1
+instance = comp, \datamem|ram~4912 , datamem|ram~4912, mips_16, 1
+instance = comp, \datamem|ram~4923 , datamem|ram~4923, mips_16, 1
+instance = comp, \datamem|ram~1861 , datamem|ram~1861, mips_16, 1
+instance = comp, \datamem|ram~1893 , datamem|ram~1893, mips_16, 1
+instance = comp, \datamem|ram~1909 , datamem|ram~1909, mips_16, 1
+instance = comp, \datamem|ram~1877 , datamem|ram~1877, mips_16, 1
+instance = comp, \datamem|ram~4890 , datamem|ram~4890, mips_16, 1
+instance = comp, \datamem|ram~1621 , datamem|ram~1621, mips_16, 1
+instance = comp, \datamem|ram~1637 , datamem|ram~1637, mips_16, 1
+instance = comp, \datamem|ram~1605 , datamem|ram~1605, mips_16, 1
+instance = comp, \datamem|ram~1653 , datamem|ram~1653, mips_16, 1
+instance = comp, \datamem|ram~4889 , datamem|ram~4889, mips_16, 1
+instance = comp, \datamem|ram~1093 , datamem|ram~1093, mips_16, 1
+instance = comp, \datamem|ram~1125feeder , datamem|ram~1125feeder, mips_16, 1
+instance = comp, \datamem|ram~1125 , datamem|ram~1125, mips_16, 1
+instance = comp, \datamem|ram~1141feeder , datamem|ram~1141feeder, mips_16, 1
+instance = comp, \datamem|ram~1141 , datamem|ram~1141, mips_16, 1
+instance = comp, \datamem|ram~1109 , datamem|ram~1109, mips_16, 1
+instance = comp, \datamem|ram~4887 , datamem|ram~4887, mips_16, 1
+instance = comp, \datamem|ram~1349 , datamem|ram~1349, mips_16, 1
+instance = comp, \datamem|ram~1397 , datamem|ram~1397, mips_16, 1
+instance = comp, \datamem|ram~1381 , datamem|ram~1381, mips_16, 1
+instance = comp, \datamem|ram~1365 , datamem|ram~1365, mips_16, 1
+instance = comp, \datamem|ram~4888 , datamem|ram~4888, mips_16, 1
+instance = comp, \datamem|ram~4891 , datamem|ram~4891, mips_16, 1
+instance = comp, \datamem|ram~1829 , datamem|ram~1829, mips_16, 1
+instance = comp, \datamem|ram~1797 , datamem|ram~1797, mips_16, 1
+instance = comp, \datamem|ram~1813 , datamem|ram~1813, mips_16, 1
+instance = comp, \datamem|ram~1845 , datamem|ram~1845, mips_16, 1
+instance = comp, \datamem|ram~4885 , datamem|ram~4885, mips_16, 1
+instance = comp, \datamem|ram~1317 , datamem|ram~1317, mips_16, 1
+instance = comp, \datamem|ram~1285feeder , datamem|ram~1285feeder, mips_16, 1
+instance = comp, \datamem|ram~1285 , datamem|ram~1285, mips_16, 1
+instance = comp, \datamem|ram~1333feeder , datamem|ram~1333feeder, mips_16, 1
+instance = comp, \datamem|ram~1333 , datamem|ram~1333, mips_16, 1
+instance = comp, \datamem|ram~1301 , datamem|ram~1301, mips_16, 1
+instance = comp, \datamem|ram~4883 , datamem|ram~4883, mips_16, 1
+instance = comp, \datamem|ram~1541 , datamem|ram~1541, mips_16, 1
+instance = comp, \datamem|ram~1573feeder , datamem|ram~1573feeder, mips_16, 1
+instance = comp, \datamem|ram~1573 , datamem|ram~1573, mips_16, 1
+instance = comp, \datamem|ram~1557 , datamem|ram~1557, mips_16, 1
+instance = comp, \datamem|ram~1589 , datamem|ram~1589, mips_16, 1
+instance = comp, \datamem|ram~4884 , datamem|ram~4884, mips_16, 1
+instance = comp, \datamem|ram~1029feeder , datamem|ram~1029feeder, mips_16, 1
+instance = comp, \datamem|ram~1029 , datamem|ram~1029, mips_16, 1
+instance = comp, \datamem|ram~1061feeder , datamem|ram~1061feeder, mips_16, 1
+instance = comp, \datamem|ram~1061 , datamem|ram~1061, mips_16, 1
+instance = comp, \datamem|ram~1077feeder , datamem|ram~1077feeder, mips_16, 1
+instance = comp, \datamem|ram~1077 , datamem|ram~1077, mips_16, 1
+instance = comp, \datamem|ram~1045 , datamem|ram~1045, mips_16, 1
+instance = comp, \datamem|ram~4882 , datamem|ram~4882, mips_16, 1
+instance = comp, \datamem|ram~4886 , datamem|ram~4886, mips_16, 1
+instance = comp, \datamem|ram~1989 , datamem|ram~1989, mips_16, 1
+instance = comp, \datamem|ram~2005 , datamem|ram~2005, mips_16, 1
+instance = comp, \datamem|ram~2021 , datamem|ram~2021, mips_16, 1
+instance = comp, \datamem|ram~2037 , datamem|ram~2037, mips_16, 1
+instance = comp, \datamem|ram~4900 , datamem|ram~4900, mips_16, 1
+instance = comp, \datamem|ram~1509 , datamem|ram~1509, mips_16, 1
+instance = comp, \datamem|ram~1477 , datamem|ram~1477, mips_16, 1
+instance = comp, \datamem|ram~1493 , datamem|ram~1493, mips_16, 1
+instance = comp, \datamem|ram~1525 , datamem|ram~1525, mips_16, 1
+instance = comp, \datamem|ram~4898 , datamem|ram~4898, mips_16, 1
+instance = comp, \datamem|ram~1781feeder , datamem|ram~1781feeder, mips_16, 1
+instance = comp, \datamem|ram~1781 , datamem|ram~1781, mips_16, 1
+instance = comp, \datamem|ram~1733 , datamem|ram~1733, mips_16, 1
+instance = comp, \datamem|ram~1749 , datamem|ram~1749, mips_16, 1
+instance = comp, \datamem|ram~1765 , datamem|ram~1765, mips_16, 1
+instance = comp, \datamem|ram~4899 , datamem|ram~4899, mips_16, 1
+instance = comp, \datamem|ram~1221 , datamem|ram~1221, mips_16, 1
+instance = comp, \datamem|ram~1253 , datamem|ram~1253, mips_16, 1
+instance = comp, \datamem|ram~1237feeder , datamem|ram~1237feeder, mips_16, 1
+instance = comp, \datamem|ram~1237 , datamem|ram~1237, mips_16, 1
+instance = comp, \datamem|ram~1269 , datamem|ram~1269, mips_16, 1
+instance = comp, \datamem|ram~4897 , datamem|ram~4897, mips_16, 1
+instance = comp, \datamem|ram~4901 , datamem|ram~4901, mips_16, 1
+instance = comp, \datamem|ram~1173feeder , datamem|ram~1173feeder, mips_16, 1
+instance = comp, \datamem|ram~1173 , datamem|ram~1173, mips_16, 1
+instance = comp, \datamem|ram~1429 , datamem|ram~1429, mips_16, 1
+instance = comp, \datamem|ram~1685feeder , datamem|ram~1685feeder, mips_16, 1
+instance = comp, \datamem|ram~1685 , datamem|ram~1685, mips_16, 1
+instance = comp, \datamem|ram~1941 , datamem|ram~1941, mips_16, 1
+instance = comp, \datamem|ram~4893 , datamem|ram~4893, mips_16, 1
+instance = comp, \datamem|ram~1701feeder , datamem|ram~1701feeder, mips_16, 1
+instance = comp, \datamem|ram~1701 , datamem|ram~1701, mips_16, 1
+instance = comp, \datamem|ram~1189feeder , datamem|ram~1189feeder, mips_16, 1
+instance = comp, \datamem|ram~1189 , datamem|ram~1189, mips_16, 1
+instance = comp, \datamem|ram~1445feeder , datamem|ram~1445feeder, mips_16, 1
+instance = comp, \datamem|ram~1445 , datamem|ram~1445, mips_16, 1
+instance = comp, \datamem|ram~1957 , datamem|ram~1957, mips_16, 1
+instance = comp, \datamem|ram~4894 , datamem|ram~4894, mips_16, 1
+instance = comp, \datamem|ram~1413feeder , datamem|ram~1413feeder, mips_16, 1
+instance = comp, \datamem|ram~1413 , datamem|ram~1413, mips_16, 1
+instance = comp, \datamem|ram~1157 , datamem|ram~1157, mips_16, 1
+instance = comp, \datamem|ram~1669feeder , datamem|ram~1669feeder, mips_16, 1
+instance = comp, \datamem|ram~1669 , datamem|ram~1669, mips_16, 1
+instance = comp, \datamem|ram~1925 , datamem|ram~1925, mips_16, 1
+instance = comp, \datamem|ram~4892 , datamem|ram~4892, mips_16, 1
+instance = comp, \datamem|ram~1717feeder , datamem|ram~1717feeder, mips_16, 1
+instance = comp, \datamem|ram~1717 , datamem|ram~1717, mips_16, 1
+instance = comp, \datamem|ram~1205feeder , datamem|ram~1205feeder, mips_16, 1
+instance = comp, \datamem|ram~1205 , datamem|ram~1205, mips_16, 1
+instance = comp, \datamem|ram~1461feeder , datamem|ram~1461feeder, mips_16, 1
+instance = comp, \datamem|ram~1461 , datamem|ram~1461, mips_16, 1
+instance = comp, \datamem|ram~1973 , datamem|ram~1973, mips_16, 1
+instance = comp, \datamem|ram~4895 , datamem|ram~4895, mips_16, 1
+instance = comp, \datamem|ram~4896 , datamem|ram~4896, mips_16, 1
+instance = comp, \datamem|ram~4902 , datamem|ram~4902, mips_16, 1
+instance = comp, \datamem|ram~4945 , datamem|ram~4945, mips_16, 1
+instance = comp, \reg_write_data[5]~11 , reg_write_data[5]~11, mips_16, 1
+instance = comp, \reg_file|reg_array[0][5]~feeder , reg_file|reg_array[0][5]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[0][5] , reg_file|reg_array[0][5], mips_16, 1
+instance = comp, \reg_file|reg_array[4][5] , reg_file|reg_array[4][5], mips_16, 1
+instance = comp, \reg_file|reg_array[7][5] , reg_file|reg_array[7][5], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[5]~16 , reg_file|reg_read_data_1[5]~16, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[5]~17 , reg_file|reg_read_data_1[5]~17, mips_16, 1
+instance = comp, \pc_current[5] , pc_current[5], mips_16, 1
+instance = comp, \Add0~25 , Add0~25, mips_16, 1
+instance = comp, \pc_next[7]~6 , pc_next[7]~6, mips_16, 1
+instance = comp, \pc_current[7] , pc_current[7], mips_16, 1
+instance = comp, \Add0~29 , Add0~29, mips_16, 1
+instance = comp, \pc_next[8]~7 , pc_next[8]~7, mips_16, 1
+instance = comp, \pc_current[8] , pc_current[8], mips_16, 1
+instance = comp, \Add0~33 , Add0~33, mips_16, 1
+instance = comp, \pc_next[12]~11 , pc_next[12]~11, mips_16, 1
+instance = comp, \pc_current[12] , pc_current[12], mips_16, 1
+instance = comp, \alu_unit|Mux3~1 , alu_unit|Mux3~1, mips_16, 1
+instance = comp, \alu_unit|Mux3~2 , alu_unit|Mux3~2, mips_16, 1
+instance = comp, \alu_unit|Mux3~3 , alu_unit|Mux3~3, mips_16, 1
+instance = comp, \alu_unit|Mux3~4 , alu_unit|Mux3~4, mips_16, 1
+instance = comp, \datamem|ram~3452feeder , datamem|ram~3452feeder, mips_16, 1
+instance = comp, \datamem|ram~3452 , datamem|ram~3452, mips_16, 1
+instance = comp, \datamem|ram~3516feeder , datamem|ram~3516feeder, mips_16, 1
+instance = comp, \datamem|ram~3516 , datamem|ram~3516, mips_16, 1
+instance = comp, \datamem|ram~3388feeder , datamem|ram~3388feeder, mips_16, 1
+instance = comp, \datamem|ram~3388 , datamem|ram~3388, mips_16, 1
+instance = comp, \datamem|ram~3580 , datamem|ram~3580, mips_16, 1
+instance = comp, \datamem|ram~4847 , datamem|ram~4847, mips_16, 1
+instance = comp, \datamem|ram~3468feeder , datamem|ram~3468feeder, mips_16, 1
+instance = comp, \datamem|ram~3468 , datamem|ram~3468, mips_16, 1
+instance = comp, \datamem|ram~3340 , datamem|ram~3340, mips_16, 1
+instance = comp, \datamem|ram~3404feeder , datamem|ram~3404feeder, mips_16, 1
+instance = comp, \datamem|ram~3404 , datamem|ram~3404, mips_16, 1
+instance = comp, \datamem|ram~3532 , datamem|ram~3532, mips_16, 1
+instance = comp, \datamem|ram~4844 , datamem|ram~4844, mips_16, 1
+instance = comp, \datamem|ram~3372feeder , datamem|ram~3372feeder, mips_16, 1
+instance = comp, \datamem|ram~3372 , datamem|ram~3372, mips_16, 1
+instance = comp, \datamem|ram~3564feeder , datamem|ram~3564feeder, mips_16, 1
+instance = comp, \datamem|ram~3564 , datamem|ram~3564, mips_16, 1
+instance = comp, \datamem|ram~3436feeder , datamem|ram~3436feeder, mips_16, 1
+instance = comp, \datamem|ram~3436 , datamem|ram~3436, mips_16, 1
+instance = comp, \datamem|ram~3500feeder , datamem|ram~3500feeder, mips_16, 1
+instance = comp, \datamem|ram~3500 , datamem|ram~3500, mips_16, 1
+instance = comp, \datamem|ram~4846 , datamem|ram~4846, mips_16, 1
+instance = comp, \datamem|ram~3356 , datamem|ram~3356, mips_16, 1
+instance = comp, \datamem|ram~3420feeder , datamem|ram~3420feeder, mips_16, 1
+instance = comp, \datamem|ram~3420 , datamem|ram~3420, mips_16, 1
+instance = comp, \datamem|ram~3484feeder , datamem|ram~3484feeder, mips_16, 1
+instance = comp, \datamem|ram~3484 , datamem|ram~3484, mips_16, 1
+instance = comp, \datamem|ram~3548 , datamem|ram~3548, mips_16, 1
+instance = comp, \datamem|ram~4845 , datamem|ram~4845, mips_16, 1
+instance = comp, \datamem|ram~4848 , datamem|ram~4848, mips_16, 1
+instance = comp, \datamem|ram~3980feeder , datamem|ram~3980feeder, mips_16, 1
+instance = comp, \datamem|ram~3980 , datamem|ram~3980, mips_16, 1
+instance = comp, \datamem|ram~4012 , datamem|ram~4012, mips_16, 1
+instance = comp, \datamem|ram~3996 , datamem|ram~3996, mips_16, 1
+instance = comp, \datamem|ram~4028 , datamem|ram~4028, mips_16, 1
+instance = comp, \datamem|ram~4856 , datamem|ram~4856, mips_16, 1
+instance = comp, \datamem|ram~3916feeder , datamem|ram~3916feeder, mips_16, 1
+instance = comp, \datamem|ram~3916 , datamem|ram~3916, mips_16, 1
+instance = comp, \datamem|ram~3932 , datamem|ram~3932, mips_16, 1
+instance = comp, \datamem|ram~3948 , datamem|ram~3948, mips_16, 1
+instance = comp, \datamem|ram~3964 , datamem|ram~3964, mips_16, 1
+instance = comp, \datamem|ram~4855 , datamem|ram~4855, mips_16, 1
+instance = comp, \datamem|ram~4092 , datamem|ram~4092, mips_16, 1
+instance = comp, \datamem|ram~4044 , datamem|ram~4044, mips_16, 1
+instance = comp, \datamem|ram~4060 , datamem|ram~4060, mips_16, 1
+instance = comp, \datamem|ram~4076 , datamem|ram~4076, mips_16, 1
+instance = comp, \datamem|ram~4857 , datamem|ram~4857, mips_16, 1
+instance = comp, \datamem|ram~3868 , datamem|ram~3868, mips_16, 1
+instance = comp, \datamem|ram~3884 , datamem|ram~3884, mips_16, 1
+instance = comp, \datamem|ram~3852 , datamem|ram~3852, mips_16, 1
+instance = comp, \datamem|ram~3900 , datamem|ram~3900, mips_16, 1
+instance = comp, \datamem|ram~4854 , datamem|ram~4854, mips_16, 1
+instance = comp, \datamem|ram~4858 , datamem|ram~4858, mips_16, 1
+instance = comp, \datamem|ram~3180 , datamem|ram~3180, mips_16, 1
+instance = comp, \datamem|ram~3308 , datamem|ram~3308, mips_16, 1
+instance = comp, \datamem|ram~3244feeder , datamem|ram~3244feeder, mips_16, 1
+instance = comp, \datamem|ram~3244 , datamem|ram~3244, mips_16, 1
+instance = comp, \datamem|ram~3116 , datamem|ram~3116, mips_16, 1
+instance = comp, \datamem|ram~4841 , datamem|ram~4841, mips_16, 1
+instance = comp, \datamem|ram~3228feeder , datamem|ram~3228feeder, mips_16, 1
+instance = comp, \datamem|ram~3228 , datamem|ram~3228, mips_16, 1
+instance = comp, \datamem|ram~3100 , datamem|ram~3100, mips_16, 1
+instance = comp, \datamem|ram~3164feeder , datamem|ram~3164feeder, mips_16, 1
+instance = comp, \datamem|ram~3164 , datamem|ram~3164, mips_16, 1
+instance = comp, \datamem|ram~3292 , datamem|ram~3292, mips_16, 1
+instance = comp, \datamem|ram~4840 , datamem|ram~4840, mips_16, 1
+instance = comp, \datamem|ram~3084feeder , datamem|ram~3084feeder, mips_16, 1
+instance = comp, \datamem|ram~3084 , datamem|ram~3084, mips_16, 1
+instance = comp, \datamem|ram~3212feeder , datamem|ram~3212feeder, mips_16, 1
+instance = comp, \datamem|ram~3212 , datamem|ram~3212, mips_16, 1
+instance = comp, \datamem|ram~3148 , datamem|ram~3148, mips_16, 1
+instance = comp, \datamem|ram~3276 , datamem|ram~3276, mips_16, 1
+instance = comp, \datamem|ram~4839 , datamem|ram~4839, mips_16, 1
+instance = comp, \datamem|ram~3196 , datamem|ram~3196, mips_16, 1
+instance = comp, \datamem|ram~3260 , datamem|ram~3260, mips_16, 1
+instance = comp, \datamem|ram~3132 , datamem|ram~3132, mips_16, 1
+instance = comp, \datamem|ram~3324 , datamem|ram~3324, mips_16, 1
+instance = comp, \datamem|ram~4842 , datamem|ram~4842, mips_16, 1
+instance = comp, \datamem|ram~4843 , datamem|ram~4843, mips_16, 1
+instance = comp, \datamem|ram~3596feeder , datamem|ram~3596feeder, mips_16, 1
+instance = comp, \datamem|ram~3596 , datamem|ram~3596, mips_16, 1
+instance = comp, \datamem|ram~3660feeder , datamem|ram~3660feeder, mips_16, 1
+instance = comp, \datamem|ram~3660 , datamem|ram~3660, mips_16, 1
+instance = comp, \datamem|ram~3724feeder , datamem|ram~3724feeder, mips_16, 1
+instance = comp, \datamem|ram~3724 , datamem|ram~3724, mips_16, 1
+instance = comp, \datamem|ram~3788 , datamem|ram~3788, mips_16, 1
+instance = comp, \datamem|ram~4849 , datamem|ram~4849, mips_16, 1
+instance = comp, \datamem|ram~3708 , datamem|ram~3708, mips_16, 1
+instance = comp, \datamem|ram~3644 , datamem|ram~3644, mips_16, 1
+instance = comp, \datamem|ram~3772feeder , datamem|ram~3772feeder, mips_16, 1
+instance = comp, \datamem|ram~3772 , datamem|ram~3772, mips_16, 1
+instance = comp, \datamem|ram~3836 , datamem|ram~3836, mips_16, 1
+instance = comp, \datamem|ram~4852 , datamem|ram~4852, mips_16, 1
+instance = comp, \datamem|ram~3692 , datamem|ram~3692, mips_16, 1
+instance = comp, \datamem|ram~3628 , datamem|ram~3628, mips_16, 1
+instance = comp, \datamem|ram~3756 , datamem|ram~3756, mips_16, 1
+instance = comp, \datamem|ram~3820 , datamem|ram~3820, mips_16, 1
+instance = comp, \datamem|ram~4851 , datamem|ram~4851, mips_16, 1
+instance = comp, \datamem|ram~3740 , datamem|ram~3740, mips_16, 1
+instance = comp, \datamem|ram~3676feeder , datamem|ram~3676feeder, mips_16, 1
+instance = comp, \datamem|ram~3676 , datamem|ram~3676, mips_16, 1
+instance = comp, \datamem|ram~3612feeder , datamem|ram~3612feeder, mips_16, 1
+instance = comp, \datamem|ram~3612 , datamem|ram~3612, mips_16, 1
+instance = comp, \datamem|ram~3804 , datamem|ram~3804, mips_16, 1
+instance = comp, \datamem|ram~4850 , datamem|ram~4850, mips_16, 1
+instance = comp, \datamem|ram~4853 , datamem|ram~4853, mips_16, 1
+instance = comp, \datamem|ram~4859 , datamem|ram~4859, mips_16, 1
+instance = comp, \datamem|ram~2204 , datamem|ram~2204, mips_16, 1
+instance = comp, \datamem|ram~2460 , datamem|ram~2460, mips_16, 1
+instance = comp, \datamem|ram~2716 , datamem|ram~2716, mips_16, 1
+instance = comp, \datamem|ram~2972 , datamem|ram~2972, mips_16, 1
+instance = comp, \datamem|ram~4829 , datamem|ram~4829, mips_16, 1
+instance = comp, \datamem|ram~2220 , datamem|ram~2220, mips_16, 1
+instance = comp, \datamem|ram~2476 , datamem|ram~2476, mips_16, 1
+instance = comp, \datamem|ram~2988 , datamem|ram~2988, mips_16, 1
+instance = comp, \datamem|ram~2732 , datamem|ram~2732, mips_16, 1
+instance = comp, \datamem|ram~4830 , datamem|ram~4830, mips_16, 1
+instance = comp, \datamem|ram~2492feeder , datamem|ram~2492feeder, mips_16, 1
+instance = comp, \datamem|ram~2492 , datamem|ram~2492, mips_16, 1
+instance = comp, \datamem|ram~2748feeder , datamem|ram~2748feeder, mips_16, 1
+instance = comp, \datamem|ram~2748 , datamem|ram~2748, mips_16, 1
+instance = comp, \datamem|ram~2236feeder , datamem|ram~2236feeder, mips_16, 1
+instance = comp, \datamem|ram~2236 , datamem|ram~2236, mips_16, 1
+instance = comp, \datamem|ram~3004 , datamem|ram~3004, mips_16, 1
+instance = comp, \datamem|ram~4831 , datamem|ram~4831, mips_16, 1
+instance = comp, \datamem|ram~2188 , datamem|ram~2188, mips_16, 1
+instance = comp, \datamem|ram~2700feeder , datamem|ram~2700feeder, mips_16, 1
+instance = comp, \datamem|ram~2700 , datamem|ram~2700, mips_16, 1
+instance = comp, \datamem|ram~2444 , datamem|ram~2444, mips_16, 1
+instance = comp, \datamem|ram~2956 , datamem|ram~2956, mips_16, 1
+instance = comp, \datamem|ram~4828 , datamem|ram~4828, mips_16, 1
+instance = comp, \datamem|ram~4832 , datamem|ram~4832, mips_16, 1
+instance = comp, \datamem|ram~2156feeder , datamem|ram~2156feeder, mips_16, 1
+instance = comp, \datamem|ram~2156 , datamem|ram~2156, mips_16, 1
+instance = comp, \datamem|ram~2124feeder , datamem|ram~2124feeder, mips_16, 1
+instance = comp, \datamem|ram~2124 , datamem|ram~2124, mips_16, 1
+instance = comp, \datamem|ram~2140feeder , datamem|ram~2140feeder, mips_16, 1
+instance = comp, \datamem|ram~2140 , datamem|ram~2140, mips_16, 1
+instance = comp, \datamem|ram~2172 , datamem|ram~2172, mips_16, 1
+instance = comp, \datamem|ram~4823 , datamem|ram~4823, mips_16, 1
+instance = comp, \datamem|ram~2924 , datamem|ram~2924, mips_16, 1
+instance = comp, \datamem|ram~2908feeder , datamem|ram~2908feeder, mips_16, 1
+instance = comp, \datamem|ram~2908 , datamem|ram~2908, mips_16, 1
+instance = comp, \datamem|ram~2892 , datamem|ram~2892, mips_16, 1
+instance = comp, \datamem|ram~2940 , datamem|ram~2940, mips_16, 1
+instance = comp, \datamem|ram~4826 , datamem|ram~4826, mips_16, 1
+instance = comp, \datamem|ram~2652 , datamem|ram~2652, mips_16, 1
+instance = comp, \datamem|ram~2668feeder , datamem|ram~2668feeder, mips_16, 1
+instance = comp, \datamem|ram~2668 , datamem|ram~2668, mips_16, 1
+instance = comp, \datamem|ram~2636 , datamem|ram~2636, mips_16, 1
+instance = comp, \datamem|ram~2684 , datamem|ram~2684, mips_16, 1
+instance = comp, \datamem|ram~4825 , datamem|ram~4825, mips_16, 1
+instance = comp, \datamem|ram~2412feeder , datamem|ram~2412feeder, mips_16, 1
+instance = comp, \datamem|ram~2412 , datamem|ram~2412, mips_16, 1
+instance = comp, \datamem|ram~2380 , datamem|ram~2380, mips_16, 1
+instance = comp, \datamem|ram~2396 , datamem|ram~2396, mips_16, 1
+instance = comp, \datamem|ram~2428 , datamem|ram~2428, mips_16, 1
+instance = comp, \datamem|ram~4824 , datamem|ram~4824, mips_16, 1
+instance = comp, \datamem|ram~4827 , datamem|ram~4827, mips_16, 1
+instance = comp, \datamem|ram~2508 , datamem|ram~2508, mips_16, 1
+instance = comp, \datamem|ram~2540 , datamem|ram~2540, mips_16, 1
+instance = comp, \datamem|ram~2524 , datamem|ram~2524, mips_16, 1
+instance = comp, \datamem|ram~2556 , datamem|ram~2556, mips_16, 1
+instance = comp, \datamem|ram~4834 , datamem|ram~4834, mips_16, 1
+instance = comp, \datamem|ram~2268feeder , datamem|ram~2268feeder, mips_16, 1
+instance = comp, \datamem|ram~2268 , datamem|ram~2268, mips_16, 1
+instance = comp, \datamem|ram~2300feeder , datamem|ram~2300feeder, mips_16, 1
+instance = comp, \datamem|ram~2300 , datamem|ram~2300, mips_16, 1
+instance = comp, \datamem|ram~2252 , datamem|ram~2252, mips_16, 1
+instance = comp, \datamem|ram~2284 , datamem|ram~2284, mips_16, 1
+instance = comp, \datamem|ram~4833 , datamem|ram~4833, mips_16, 1
+instance = comp, \datamem|ram~3036 , datamem|ram~3036, mips_16, 1
+instance = comp, \datamem|ram~3020 , datamem|ram~3020, mips_16, 1
+instance = comp, \datamem|ram~3052 , datamem|ram~3052, mips_16, 1
+instance = comp, \datamem|ram~3068 , datamem|ram~3068, mips_16, 1
+instance = comp, \datamem|ram~4836 , datamem|ram~4836, mips_16, 1
+instance = comp, \datamem|ram~2764 , datamem|ram~2764, mips_16, 1
+instance = comp, \datamem|ram~2812feeder , datamem|ram~2812feeder, mips_16, 1
+instance = comp, \datamem|ram~2812 , datamem|ram~2812, mips_16, 1
+instance = comp, \datamem|ram~2780 , datamem|ram~2780, mips_16, 1
+instance = comp, \datamem|ram~2796 , datamem|ram~2796, mips_16, 1
+instance = comp, \datamem|ram~4835 , datamem|ram~4835, mips_16, 1
+instance = comp, \datamem|ram~4837 , datamem|ram~4837, mips_16, 1
+instance = comp, \datamem|ram~2060feeder , datamem|ram~2060feeder, mips_16, 1
+instance = comp, \datamem|ram~2060 , datamem|ram~2060, mips_16, 1
+instance = comp, \datamem|ram~2076feeder , datamem|ram~2076feeder, mips_16, 1
+instance = comp, \datamem|ram~2076 , datamem|ram~2076, mips_16, 1
+instance = comp, \datamem|ram~2092 , datamem|ram~2092, mips_16, 1
+instance = comp, \datamem|ram~2108 , datamem|ram~2108, mips_16, 1
+instance = comp, \datamem|ram~4818 , datamem|ram~4818, mips_16, 1
+instance = comp, \datamem|ram~2332 , datamem|ram~2332, mips_16, 1
+instance = comp, \datamem|ram~2348feeder , datamem|ram~2348feeder, mips_16, 1
+instance = comp, \datamem|ram~2348 , datamem|ram~2348, mips_16, 1
+instance = comp, \datamem|ram~2316feeder , datamem|ram~2316feeder, mips_16, 1
+instance = comp, \datamem|ram~2316 , datamem|ram~2316, mips_16, 1
+instance = comp, \datamem|ram~2364 , datamem|ram~2364, mips_16, 1
+instance = comp, \datamem|ram~4819 , datamem|ram~4819, mips_16, 1
+instance = comp, \datamem|ram~2588feeder , datamem|ram~2588feeder, mips_16, 1
+instance = comp, \datamem|ram~2588 , datamem|ram~2588, mips_16, 1
+instance = comp, \datamem|ram~2604feeder , datamem|ram~2604feeder, mips_16, 1
+instance = comp, \datamem|ram~2604 , datamem|ram~2604, mips_16, 1
+instance = comp, \datamem|ram~2572feeder , datamem|ram~2572feeder, mips_16, 1
+instance = comp, \datamem|ram~2572 , datamem|ram~2572, mips_16, 1
+instance = comp, \datamem|ram~2620 , datamem|ram~2620, mips_16, 1
+instance = comp, \datamem|ram~4820 , datamem|ram~4820, mips_16, 1
+instance = comp, \datamem|ram~2828feeder , datamem|ram~2828feeder, mips_16, 1
+instance = comp, \datamem|ram~2828 , datamem|ram~2828, mips_16, 1
+instance = comp, \datamem|ram~2844feeder , datamem|ram~2844feeder, mips_16, 1
+instance = comp, \datamem|ram~2844 , datamem|ram~2844, mips_16, 1
+instance = comp, \datamem|ram~2860feeder , datamem|ram~2860feeder, mips_16, 1
+instance = comp, \datamem|ram~2860 , datamem|ram~2860, mips_16, 1
+instance = comp, \datamem|ram~2876 , datamem|ram~2876, mips_16, 1
+instance = comp, \datamem|ram~4821 , datamem|ram~4821, mips_16, 1
+instance = comp, \datamem|ram~4822 , datamem|ram~4822, mips_16, 1
+instance = comp, \datamem|ram~4838 , datamem|ram~4838, mips_16, 1
+instance = comp, \datamem|ram~908feeder , datamem|ram~908feeder, mips_16, 1
+instance = comp, \datamem|ram~908 , datamem|ram~908, mips_16, 1
+instance = comp, \datamem|ram~940feeder , datamem|ram~940feeder, mips_16, 1
+instance = comp, \datamem|ram~940 , datamem|ram~940, mips_16, 1
+instance = comp, \datamem|ram~924 , datamem|ram~924, mips_16, 1
+instance = comp, \datamem|ram~956 , datamem|ram~956, mips_16, 1
+instance = comp, \datamem|ram~4793 , datamem|ram~4793, mips_16, 1
+instance = comp, \datamem|ram~780feeder , datamem|ram~780feeder, mips_16, 1
+instance = comp, \datamem|ram~780 , datamem|ram~780, mips_16, 1
+instance = comp, \datamem|ram~812feeder , datamem|ram~812feeder, mips_16, 1
+instance = comp, \datamem|ram~812 , datamem|ram~812, mips_16, 1
+instance = comp, \datamem|ram~796feeder , datamem|ram~796feeder, mips_16, 1
+instance = comp, \datamem|ram~796 , datamem|ram~796, mips_16, 1
+instance = comp, \datamem|ram~828 , datamem|ram~828, mips_16, 1
+instance = comp, \datamem|ram~4791 , datamem|ram~4791, mips_16, 1
+instance = comp, \datamem|ram~876feeder , datamem|ram~876feeder, mips_16, 1
+instance = comp, \datamem|ram~876 , datamem|ram~876, mips_16, 1
+instance = comp, \datamem|ram~860 , datamem|ram~860, mips_16, 1
+instance = comp, \datamem|ram~844feeder , datamem|ram~844feeder, mips_16, 1
+instance = comp, \datamem|ram~844 , datamem|ram~844, mips_16, 1
+instance = comp, \datamem|ram~892 , datamem|ram~892, mips_16, 1
+instance = comp, \datamem|ram~4792 , datamem|ram~4792, mips_16, 1
+instance = comp, \datamem|ram~1004 , datamem|ram~1004, mips_16, 1
+instance = comp, \datamem|ram~972feeder , datamem|ram~972feeder, mips_16, 1
+instance = comp, \datamem|ram~972 , datamem|ram~972, mips_16, 1
+instance = comp, \datamem|ram~988 , datamem|ram~988, mips_16, 1
+instance = comp, \datamem|ram~1020 , datamem|ram~1020, mips_16, 1
+instance = comp, \datamem|ram~4794 , datamem|ram~4794, mips_16, 1
+instance = comp, \datamem|ram~4795 , datamem|ram~4795, mips_16, 1
+instance = comp, \datamem|ram~476feeder , datamem|ram~476feeder, mips_16, 1
+instance = comp, \datamem|ram~476 , datamem|ram~476, mips_16, 1
+instance = comp, \datamem|ram~348feeder , datamem|ram~348feeder, mips_16, 1
+instance = comp, \datamem|ram~348 , datamem|ram~348, mips_16, 1
+instance = comp, \datamem|ram~284feeder , datamem|ram~284feeder, mips_16, 1
+instance = comp, \datamem|ram~284 , datamem|ram~284, mips_16, 1
+instance = comp, \datamem|ram~412 , datamem|ram~412, mips_16, 1
+instance = comp, \datamem|ram~4782 , datamem|ram~4782, mips_16, 1
+instance = comp, \datamem|ram~460 , datamem|ram~460, mips_16, 1
+instance = comp, \datamem|ram~268feeder , datamem|ram~268feeder, mips_16, 1
+instance = comp, \datamem|ram~268 , datamem|ram~268, mips_16, 1
+instance = comp, \datamem|ram~396 , datamem|ram~396, mips_16, 1
+instance = comp, \datamem|ram~332 , datamem|ram~332, mips_16, 1
+instance = comp, \datamem|ram~4781 , datamem|ram~4781, mips_16, 1
+instance = comp, \datamem|ram~428 , datamem|ram~428, mips_16, 1
+instance = comp, \datamem|ram~300 , datamem|ram~300, mips_16, 1
+instance = comp, \datamem|ram~364 , datamem|ram~364, mips_16, 1
+instance = comp, \datamem|ram~492 , datamem|ram~492, mips_16, 1
+instance = comp, \datamem|ram~4783 , datamem|ram~4783, mips_16, 1
+instance = comp, \datamem|ram~380 , datamem|ram~380, mips_16, 1
+instance = comp, \datamem|ram~444 , datamem|ram~444, mips_16, 1
+instance = comp, \datamem|ram~316 , datamem|ram~316, mips_16, 1
+instance = comp, \datamem|ram~508 , datamem|ram~508, mips_16, 1
+instance = comp, \datamem|ram~4784 , datamem|ram~4784, mips_16, 1
+instance = comp, \datamem|ram~4785 , datamem|ram~4785, mips_16, 1
+instance = comp, \datamem|ram~572 , datamem|ram~572, mips_16, 1
+instance = comp, \datamem|ram~700 , datamem|ram~700, mips_16, 1
+instance = comp, \datamem|ram~636 , datamem|ram~636, mips_16, 1
+instance = comp, \datamem|ram~764 , datamem|ram~764, mips_16, 1
+instance = comp, \datamem|ram~4789 , datamem|ram~4789, mips_16, 1
+instance = comp, \datamem|ram~620 , datamem|ram~620, mips_16, 1
+instance = comp, \datamem|ram~684 , datamem|ram~684, mips_16, 1
+instance = comp, \datamem|ram~556feeder , datamem|ram~556feeder, mips_16, 1
+instance = comp, \datamem|ram~556 , datamem|ram~556, mips_16, 1
+instance = comp, \datamem|ram~748 , datamem|ram~748, mips_16, 1
+instance = comp, \datamem|ram~4788 , datamem|ram~4788, mips_16, 1
+instance = comp, \datamem|ram~540 , datamem|ram~540, mips_16, 1
+instance = comp, \datamem|ram~668 , datamem|ram~668, mips_16, 1
+instance = comp, \datamem|ram~604 , datamem|ram~604, mips_16, 1
+instance = comp, \datamem|ram~732 , datamem|ram~732, mips_16, 1
+instance = comp, \datamem|ram~4787 , datamem|ram~4787, mips_16, 1
+instance = comp, \datamem|ram~652 , datamem|ram~652, mips_16, 1
+instance = comp, \datamem|ram~524 , datamem|ram~524, mips_16, 1
+instance = comp, \datamem|ram~588 , datamem|ram~588, mips_16, 1
+instance = comp, \datamem|ram~716 , datamem|ram~716, mips_16, 1
+instance = comp, \datamem|ram~4786 , datamem|ram~4786, mips_16, 1
+instance = comp, \datamem|ram~4790 , datamem|ram~4790, mips_16, 1
+instance = comp, \datamem|ram~44 , datamem|ram~44, mips_16, 1
+instance = comp, \datamem|ram~108 , datamem|ram~108, mips_16, 1
+instance = comp, \datamem|ram~172 , datamem|ram~172, mips_16, 1
+instance = comp, \datamem|ram~236 , datamem|ram~236, mips_16, 1
+instance = comp, \datamem|ram~4778 , datamem|ram~4778, mips_16, 1
+instance = comp, \datamem|ram~188feeder , datamem|ram~188feeder, mips_16, 1
+instance = comp, \datamem|ram~188 , datamem|ram~188, mips_16, 1
+instance = comp, \datamem|ram~124 , datamem|ram~124, mips_16, 1
+instance = comp, \datamem|ram~60 , datamem|ram~60, mips_16, 1
+instance = comp, \datamem|ram~252 , datamem|ram~252, mips_16, 1
+instance = comp, \datamem|ram~4779 , datamem|ram~4779, mips_16, 1
+instance = comp, \datamem|ram~156feeder , datamem|ram~156feeder, mips_16, 1
+instance = comp, \datamem|ram~156 , datamem|ram~156, mips_16, 1
+instance = comp, \datamem|ram~220feeder , datamem|ram~220feeder, mips_16, 1
+instance = comp, \datamem|ram~220 , datamem|ram~220, mips_16, 1
+instance = comp, \datamem|ram~28 , datamem|ram~28, mips_16, 1
+instance = comp, \datamem|ram~92 , datamem|ram~92, mips_16, 1
+instance = comp, \datamem|ram~4777 , datamem|ram~4777, mips_16, 1
+instance = comp, \datamem|ram~204feeder , datamem|ram~204feeder, mips_16, 1
+instance = comp, \datamem|ram~204 , datamem|ram~204, mips_16, 1
+instance = comp, \datamem|ram~140feeder , datamem|ram~140feeder, mips_16, 1
+instance = comp, \datamem|ram~140 , datamem|ram~140, mips_16, 1
+instance = comp, \datamem|ram~12feeder , datamem|ram~12feeder, mips_16, 1
+instance = comp, \datamem|ram~12 , datamem|ram~12, mips_16, 1
+instance = comp, \datamem|ram~76 , datamem|ram~76, mips_16, 1
+instance = comp, \datamem|ram~4776 , datamem|ram~4776, mips_16, 1
+instance = comp, \datamem|ram~4780 , datamem|ram~4780, mips_16, 1
+instance = comp, \datamem|ram~4796 , datamem|ram~4796, mips_16, 1
+instance = comp, \datamem|ram~1628 , datamem|ram~1628, mips_16, 1
+instance = comp, \datamem|ram~1884feeder , datamem|ram~1884feeder, mips_16, 1
+instance = comp, \datamem|ram~1884 , datamem|ram~1884, mips_16, 1
+instance = comp, \datamem|ram~1116feeder , datamem|ram~1116feeder, mips_16, 1
+instance = comp, \datamem|ram~1116 , datamem|ram~1116, mips_16, 1
+instance = comp, \datamem|ram~1372 , datamem|ram~1372, mips_16, 1
+instance = comp, \datamem|ram~4803 , datamem|ram~4803, mips_16, 1
+instance = comp, \datamem|ram~1756feeder , datamem|ram~1756feeder, mips_16, 1
+instance = comp, \datamem|ram~1756 , datamem|ram~1756, mips_16, 1
+instance = comp, \datamem|ram~1500 , datamem|ram~1500, mips_16, 1
+instance = comp, \datamem|ram~1244 , datamem|ram~1244, mips_16, 1
+instance = comp, \datamem|ram~2012 , datamem|ram~2012, mips_16, 1
+instance = comp, \datamem|ram~4805 , datamem|ram~4805, mips_16, 1
+instance = comp, \datamem|ram~1308 , datamem|ram~1308, mips_16, 1
+instance = comp, \datamem|ram~1052 , datamem|ram~1052, mips_16, 1
+instance = comp, \datamem|ram~1564 , datamem|ram~1564, mips_16, 1
+instance = comp, \datamem|ram~1820 , datamem|ram~1820, mips_16, 1
+instance = comp, \datamem|ram~4802 , datamem|ram~4802, mips_16, 1
+instance = comp, \datamem|ram~1180 , datamem|ram~1180, mips_16, 1
+instance = comp, \datamem|ram~1692 , datamem|ram~1692, mips_16, 1
+instance = comp, \datamem|ram~1436 , datamem|ram~1436, mips_16, 1
+instance = comp, \datamem|ram~1948 , datamem|ram~1948, mips_16, 1
+instance = comp, \datamem|ram~4804 , datamem|ram~4804, mips_16, 1
+instance = comp, \datamem|ram~4806 , datamem|ram~4806, mips_16, 1
+instance = comp, \datamem|ram~1420feeder , datamem|ram~1420feeder, mips_16, 1
+instance = comp, \datamem|ram~1420 , datamem|ram~1420, mips_16, 1
+instance = comp, \datamem|ram~1932feeder , datamem|ram~1932feeder, mips_16, 1
+instance = comp, \datamem|ram~1932 , datamem|ram~1932, mips_16, 1
+instance = comp, \datamem|ram~1676feeder , datamem|ram~1676feeder, mips_16, 1
+instance = comp, \datamem|ram~1676 , datamem|ram~1676, mips_16, 1
+instance = comp, \datamem|ram~1164feeder , datamem|ram~1164feeder, mips_16, 1
+instance = comp, \datamem|ram~1164 , datamem|ram~1164, mips_16, 1
+instance = comp, \datamem|ram~4799 , datamem|ram~4799, mips_16, 1
+instance = comp, \datamem|ram~1612 , datamem|ram~1612, mips_16, 1
+instance = comp, \datamem|ram~1868 , datamem|ram~1868, mips_16, 1
+instance = comp, \datamem|ram~1100feeder , datamem|ram~1100feeder, mips_16, 1
+instance = comp, \datamem|ram~1100 , datamem|ram~1100, mips_16, 1
+instance = comp, \datamem|ram~1356 , datamem|ram~1356, mips_16, 1
+instance = comp, \datamem|ram~4798 , datamem|ram~4798, mips_16, 1
+instance = comp, \datamem|ram~1548feeder , datamem|ram~1548feeder, mips_16, 1
+instance = comp, \datamem|ram~1548 , datamem|ram~1548, mips_16, 1
+instance = comp, \datamem|ram~1804feeder , datamem|ram~1804feeder, mips_16, 1
+instance = comp, \datamem|ram~1804 , datamem|ram~1804, mips_16, 1
+instance = comp, \datamem|ram~1036feeder , datamem|ram~1036feeder, mips_16, 1
+instance = comp, \datamem|ram~1036 , datamem|ram~1036, mips_16, 1
+instance = comp, \datamem|ram~1292 , datamem|ram~1292, mips_16, 1
+instance = comp, \datamem|ram~4797 , datamem|ram~4797, mips_16, 1
+instance = comp, \datamem|ram~1228feeder , datamem|ram~1228feeder, mips_16, 1
+instance = comp, \datamem|ram~1228 , datamem|ram~1228, mips_16, 1
+instance = comp, \datamem|ram~1740feeder , datamem|ram~1740feeder, mips_16, 1
+instance = comp, \datamem|ram~1740 , datamem|ram~1740, mips_16, 1
+instance = comp, \datamem|ram~1484feeder , datamem|ram~1484feeder, mips_16, 1
+instance = comp, \datamem|ram~1484 , datamem|ram~1484, mips_16, 1
+instance = comp, \datamem|ram~1996 , datamem|ram~1996, mips_16, 1
+instance = comp, \datamem|ram~4800 , datamem|ram~4800, mips_16, 1
+instance = comp, \datamem|ram~4801 , datamem|ram~4801, mips_16, 1
+instance = comp, \datamem|ram~1516feeder , datamem|ram~1516feeder, mips_16, 1
+instance = comp, \datamem|ram~1516 , datamem|ram~1516, mips_16, 1
+instance = comp, \datamem|ram~1772 , datamem|ram~1772, mips_16, 1
+instance = comp, \datamem|ram~1260 , datamem|ram~1260, mips_16, 1
+instance = comp, \datamem|ram~2028 , datamem|ram~2028, mips_16, 1
+instance = comp, \datamem|ram~4810 , datamem|ram~4810, mips_16, 1
+instance = comp, \datamem|ram~1068feeder , datamem|ram~1068feeder, mips_16, 1
+instance = comp, \datamem|ram~1068 , datamem|ram~1068, mips_16, 1
+instance = comp, \datamem|ram~1324feeder , datamem|ram~1324feeder, mips_16, 1
+instance = comp, \datamem|ram~1324 , datamem|ram~1324, mips_16, 1
+instance = comp, \datamem|ram~1580feeder , datamem|ram~1580feeder, mips_16, 1
+instance = comp, \datamem|ram~1580 , datamem|ram~1580, mips_16, 1
+instance = comp, \datamem|ram~1836 , datamem|ram~1836, mips_16, 1
+instance = comp, \datamem|ram~4807 , datamem|ram~4807, mips_16, 1
+instance = comp, \datamem|ram~1708feeder , datamem|ram~1708feeder, mips_16, 1
+instance = comp, \datamem|ram~1708 , datamem|ram~1708, mips_16, 1
+instance = comp, \datamem|ram~1196 , datamem|ram~1196, mips_16, 1
+instance = comp, \datamem|ram~1452feeder , datamem|ram~1452feeder, mips_16, 1
+instance = comp, \datamem|ram~1452 , datamem|ram~1452, mips_16, 1
+instance = comp, \datamem|ram~1964 , datamem|ram~1964, mips_16, 1
+instance = comp, \datamem|ram~4809 , datamem|ram~4809, mips_16, 1
+instance = comp, \datamem|ram~1132 , datamem|ram~1132, mips_16, 1
+instance = comp, \datamem|ram~1644 , datamem|ram~1644, mips_16, 1
+instance = comp, \datamem|ram~1388 , datamem|ram~1388, mips_16, 1
+instance = comp, \datamem|ram~1900 , datamem|ram~1900, mips_16, 1
+instance = comp, \datamem|ram~4808 , datamem|ram~4808, mips_16, 1
+instance = comp, \datamem|ram~4811 , datamem|ram~4811, mips_16, 1
+instance = comp, \datamem|ram~1404 , datamem|ram~1404, mips_16, 1
+instance = comp, \datamem|ram~1468feeder , datamem|ram~1468feeder, mips_16, 1
+instance = comp, \datamem|ram~1468 , datamem|ram~1468, mips_16, 1
+instance = comp, \datamem|ram~1340 , datamem|ram~1340, mips_16, 1
+instance = comp, \datamem|ram~1532 , datamem|ram~1532, mips_16, 1
+instance = comp, \datamem|ram~4813 , datamem|ram~4813, mips_16, 1
+instance = comp, \datamem|ram~1084feeder , datamem|ram~1084feeder, mips_16, 1
+instance = comp, \datamem|ram~1084 , datamem|ram~1084, mips_16, 1
+instance = comp, \datamem|ram~1212 , datamem|ram~1212, mips_16, 1
+instance = comp, \datamem|ram~1148feeder , datamem|ram~1148feeder, mips_16, 1
+instance = comp, \datamem|ram~1148 , datamem|ram~1148, mips_16, 1
+instance = comp, \datamem|ram~1276 , datamem|ram~1276, mips_16, 1
+instance = comp, \datamem|ram~4812 , datamem|ram~4812, mips_16, 1
+instance = comp, \datamem|ram~1596feeder , datamem|ram~1596feeder, mips_16, 1
+instance = comp, \datamem|ram~1596 , datamem|ram~1596, mips_16, 1
+instance = comp, \datamem|ram~1660 , datamem|ram~1660, mips_16, 1
+instance = comp, \datamem|ram~1724feeder , datamem|ram~1724feeder, mips_16, 1
+instance = comp, \datamem|ram~1724 , datamem|ram~1724, mips_16, 1
+instance = comp, \datamem|ram~1788 , datamem|ram~1788, mips_16, 1
+instance = comp, \datamem|ram~4814 , datamem|ram~4814, mips_16, 1
+instance = comp, \datamem|ram~1916feeder , datamem|ram~1916feeder, mips_16, 1
+instance = comp, \datamem|ram~1916 , datamem|ram~1916, mips_16, 1
+instance = comp, \datamem|ram~1980 , datamem|ram~1980, mips_16, 1
+instance = comp, \datamem|ram~1852 , datamem|ram~1852, mips_16, 1
+instance = comp, \datamem|ram~2044 , datamem|ram~2044, mips_16, 1
+instance = comp, \datamem|ram~4815 , datamem|ram~4815, mips_16, 1
+instance = comp, \datamem|ram~4816 , datamem|ram~4816, mips_16, 1
+instance = comp, \datamem|ram~4817 , datamem|ram~4817, mips_16, 1
+instance = comp, \datamem|ram~4860 , datamem|ram~4860, mips_16, 1
+instance = comp, \reg_write_data[12]~10 , reg_write_data[12]~10, mips_16, 1
+instance = comp, \reg_file|reg_array[0][12] , reg_file|reg_array[0][12], mips_16, 1
+instance = comp, \reg_file|reg_array[4][12]~feeder , reg_file|reg_array[4][12]~feeder, mips_16, 1
+instance = comp, \reg_file|reg_array[4][12] , reg_file|reg_array[4][12], mips_16, 1
+instance = comp, \reg_file|reg_array[7][12] , reg_file|reg_array[7][12], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[12]~14 , reg_file|reg_read_data_1[12]~14, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[12]~15 , reg_file|reg_read_data_1[12]~15, mips_16, 1
+instance = comp, \alu_unit|Mux3~0 , alu_unit|Mux3~0, mips_16, 1
+instance = comp, \beq_control~0 , beq_control~0, mips_16, 1
+instance = comp, \alu_unit|Mux10~5 , alu_unit|Mux10~5, mips_16, 1
+instance = comp, \alu_unit|Mux10~8 , alu_unit|Mux10~8, mips_16, 1
+instance = comp, \beq_control~2 , beq_control~2, mips_16, 1
+instance = comp, \alu_unit|Mux13~5 , alu_unit|Mux13~5, mips_16, 1
+instance = comp, \beq_control~3 , beq_control~3, mips_16, 1
+instance = comp, \alu_unit|Mux14~5 , alu_unit|Mux14~5, mips_16, 1
+instance = comp, \beq_control~4 , beq_control~4, mips_16, 1
+instance = comp, \beq_control~5 , beq_control~5, mips_16, 1
+instance = comp, \alu_unit|Mux6~8 , alu_unit|Mux6~8, mips_16, 1
+instance = comp, \beq_control~8 , beq_control~8, mips_16, 1
+instance = comp, \alu_unit|Mux9~5 , alu_unit|Mux9~5, mips_16, 1
+instance = comp, \alu_unit|Mux9~3 , alu_unit|Mux9~3, mips_16, 1
+instance = comp, \beq_control~6 , beq_control~6, mips_16, 1
+instance = comp, \beq_control~9 , beq_control~9, mips_16, 1
+instance = comp, \pc_current[5]~0 , pc_current[5]~0, mips_16, 1
+instance = comp, \pc_next[2]~1 , pc_next[2]~1, mips_16, 1
+instance = comp, \pc_current[2] , pc_current[2], mips_16, 1
+instance = comp, \instrucion_memory|rom~14 , instrucion_memory|rom~14, mips_16, 1
+instance = comp, \instrucion_memory|rom~18 , instrucion_memory|rom~18, mips_16, 1
+instance = comp, \pc_next[9]~8 , pc_next[9]~8, mips_16, 1
+instance = comp, \pc_current[9] , pc_current[9], mips_16, 1
+instance = comp, \Add0~37 , Add0~37, mips_16, 1
+instance = comp, \alu_unit|Add0~57 , alu_unit|Add0~57, mips_16, 1
+instance = comp, \alu_unit|Add1~57 , alu_unit|Add1~57, mips_16, 1
+instance = comp, \alu_unit|Mux0~2 , alu_unit|Mux0~2, mips_16, 1
+instance = comp, \beq_control~10 , beq_control~10, mips_16, 1
+instance = comp, \beq_control~11 , beq_control~11, mips_16, 1
+instance = comp, \pc_next[10]~9 , pc_next[10]~9, mips_16, 1
+instance = comp, \pc_next[10]~16 , pc_next[10]~16, mips_16, 1
+instance = comp, \pc_current[10] , pc_current[10], mips_16, 1
+instance = comp, \pc_next[11]~10 , pc_next[11]~10, mips_16, 1
+instance = comp, \pc_current[11] , pc_current[11], mips_16, 1
+instance = comp, \instrucion_memory|LessThan0~1 , instrucion_memory|LessThan0~1, mips_16, 1
+instance = comp, \instrucion_memory|LessThan0~3 , instrucion_memory|LessThan0~3, mips_16, 1
+instance = comp, \pc_next[6]~5 , pc_next[6]~5, mips_16, 1
+instance = comp, \pc_current[6] , pc_current[6], mips_16, 1
+instance = comp, \instrucion_memory|LessThan0~0 , instrucion_memory|LessThan0~0, mips_16, 1
+instance = comp, \instrucion_memory|LessThan0~4 , instrucion_memory|LessThan0~4, mips_16, 1
+instance = comp, \pc_next[3]~2 , pc_next[3]~2, mips_16, 1
+instance = comp, \pc_current[3] , pc_current[3], mips_16, 1
+instance = comp, \instrucion_memory|rom~0 , instrucion_memory|rom~0, mips_16, 1
+instance = comp, \reg_write_data[1]~0 , reg_write_data[1]~0, mips_16, 1
+instance = comp, \alu_unit|Mux0~6 , alu_unit|Mux0~6, mips_16, 1
+instance = comp, \Add0~57 , Add0~57, mips_16, 1
+instance = comp, \datamem|ram~3999 , datamem|ram~3999, mips_16, 1
+instance = comp, \datamem|ram~4015feeder , datamem|ram~4015feeder, mips_16, 1
+instance = comp, \datamem|ram~4015 , datamem|ram~4015, mips_16, 1
+instance = comp, \datamem|ram~3983 , datamem|ram~3983, mips_16, 1
+instance = comp, \datamem|ram~4031 , datamem|ram~4031, mips_16, 1
+instance = comp, \datamem|ram~5281 , datamem|ram~5281, mips_16, 1
+instance = comp, \datamem|ram~3919feeder , datamem|ram~3919feeder, mips_16, 1
+instance = comp, \datamem|ram~3919 , datamem|ram~3919, mips_16, 1
+instance = comp, \datamem|ram~3935feeder , datamem|ram~3935feeder, mips_16, 1
+instance = comp, \datamem|ram~3935 , datamem|ram~3935, mips_16, 1
+instance = comp, \datamem|ram~3951feeder , datamem|ram~3951feeder, mips_16, 1
+instance = comp, \datamem|ram~3951 , datamem|ram~3951, mips_16, 1
+instance = comp, \datamem|ram~3967 , datamem|ram~3967, mips_16, 1
+instance = comp, \datamem|ram~5280 , datamem|ram~5280, mips_16, 1
+instance = comp, \datamem|ram~3871 , datamem|ram~3871, mips_16, 1
+instance = comp, \datamem|ram~3887 , datamem|ram~3887, mips_16, 1
+instance = comp, \datamem|ram~3855feeder , datamem|ram~3855feeder, mips_16, 1
+instance = comp, \datamem|ram~3855 , datamem|ram~3855, mips_16, 1
+instance = comp, \datamem|ram~3903 , datamem|ram~3903, mips_16, 1
+instance = comp, \datamem|ram~5279 , datamem|ram~5279, mips_16, 1
+instance = comp, \datamem|ram~4063 , datamem|ram~4063, mips_16, 1
+instance = comp, \datamem|ram~4047 , datamem|ram~4047, mips_16, 1
+instance = comp, \datamem|ram~4095feeder , datamem|ram~4095feeder, mips_16, 1
+instance = comp, \datamem|ram~4095 , datamem|ram~4095, mips_16, 1
+instance = comp, \datamem|ram~4079 , datamem|ram~4079, mips_16, 1
+instance = comp, \datamem|ram~5282 , datamem|ram~5282, mips_16, 1
+instance = comp, \datamem|ram~5283 , datamem|ram~5283, mips_16, 1
+instance = comp, \datamem|ram~3759feeder , datamem|ram~3759feeder, mips_16, 1
+instance = comp, \datamem|ram~3759 , datamem|ram~3759, mips_16, 1
+instance = comp, \datamem|ram~3631feeder , datamem|ram~3631feeder, mips_16, 1
+instance = comp, \datamem|ram~3631 , datamem|ram~3631, mips_16, 1
+instance = comp, \datamem|ram~3695feeder , datamem|ram~3695feeder, mips_16, 1
+instance = comp, \datamem|ram~3695 , datamem|ram~3695, mips_16, 1
+instance = comp, \datamem|ram~3823 , datamem|ram~3823, mips_16, 1
+instance = comp, \datamem|ram~5276 , datamem|ram~5276, mips_16, 1
+instance = comp, \datamem|ram~3727feeder , datamem|ram~3727feeder, mips_16, 1
+instance = comp, \datamem|ram~3727 , datamem|ram~3727, mips_16, 1
+instance = comp, \datamem|ram~3599feeder , datamem|ram~3599feeder, mips_16, 1
+instance = comp, \datamem|ram~3599 , datamem|ram~3599, mips_16, 1
+instance = comp, \datamem|ram~3663feeder , datamem|ram~3663feeder, mips_16, 1
+instance = comp, \datamem|ram~3663 , datamem|ram~3663, mips_16, 1
+instance = comp, \datamem|ram~3791 , datamem|ram~3791, mips_16, 1
+instance = comp, \datamem|ram~5274 , datamem|ram~5274, mips_16, 1
+instance = comp, \datamem|ram~3615feeder , datamem|ram~3615feeder, mips_16, 1
+instance = comp, \datamem|ram~3615 , datamem|ram~3615, mips_16, 1
+instance = comp, \datamem|ram~3679 , datamem|ram~3679, mips_16, 1
+instance = comp, \datamem|ram~3743feeder , datamem|ram~3743feeder, mips_16, 1
+instance = comp, \datamem|ram~3743 , datamem|ram~3743, mips_16, 1
+instance = comp, \datamem|ram~3807 , datamem|ram~3807, mips_16, 1
+instance = comp, \datamem|ram~5275 , datamem|ram~5275, mips_16, 1
+instance = comp, \datamem|ram~3647feeder , datamem|ram~3647feeder, mips_16, 1
+instance = comp, \datamem|ram~3647 , datamem|ram~3647, mips_16, 1
+instance = comp, \datamem|ram~3711 , datamem|ram~3711, mips_16, 1
+instance = comp, \datamem|ram~3775 , datamem|ram~3775, mips_16, 1
+instance = comp, \datamem|ram~3839 , datamem|ram~3839, mips_16, 1
+instance = comp, \datamem|ram~5277 , datamem|ram~5277, mips_16, 1
+instance = comp, \datamem|ram~5278 , datamem|ram~5278, mips_16, 1
+instance = comp, \datamem|ram~3391feeder , datamem|ram~3391feeder, mips_16, 1
+instance = comp, \datamem|ram~3391 , datamem|ram~3391, mips_16, 1
+instance = comp, \datamem|ram~3455feeder , datamem|ram~3455feeder, mips_16, 1
+instance = comp, \datamem|ram~3455 , datamem|ram~3455, mips_16, 1
+instance = comp, \datamem|ram~3519feeder , datamem|ram~3519feeder, mips_16, 1
+instance = comp, \datamem|ram~3519 , datamem|ram~3519, mips_16, 1
+instance = comp, \datamem|ram~3583 , datamem|ram~3583, mips_16, 1
+instance = comp, \datamem|ram~5272 , datamem|ram~5272, mips_16, 1
+instance = comp, \datamem|ram~3503feeder , datamem|ram~3503feeder, mips_16, 1
+instance = comp, \datamem|ram~3503 , datamem|ram~3503, mips_16, 1
+instance = comp, \datamem|ram~3375 , datamem|ram~3375, mips_16, 1
+instance = comp, \datamem|ram~3439 , datamem|ram~3439, mips_16, 1
+instance = comp, \datamem|ram~3567 , datamem|ram~3567, mips_16, 1
+instance = comp, \datamem|ram~5271 , datamem|ram~5271, mips_16, 1
+instance = comp, \datamem|ram~3423feeder , datamem|ram~3423feeder, mips_16, 1
+instance = comp, \datamem|ram~3423 , datamem|ram~3423, mips_16, 1
+instance = comp, \datamem|ram~3487 , datamem|ram~3487, mips_16, 1
+instance = comp, \datamem|ram~3359 , datamem|ram~3359, mips_16, 1
+instance = comp, \datamem|ram~3551 , datamem|ram~3551, mips_16, 1
+instance = comp, \datamem|ram~5270 , datamem|ram~5270, mips_16, 1
+instance = comp, \datamem|ram~3343feeder , datamem|ram~3343feeder, mips_16, 1
+instance = comp, \datamem|ram~3343 , datamem|ram~3343, mips_16, 1
+instance = comp, \datamem|ram~3471 , datamem|ram~3471, mips_16, 1
+instance = comp, \datamem|ram~3407 , datamem|ram~3407, mips_16, 1
+instance = comp, \datamem|ram~3535 , datamem|ram~3535, mips_16, 1
+instance = comp, \datamem|ram~5269 , datamem|ram~5269, mips_16, 1
+instance = comp, \datamem|ram~5273 , datamem|ram~5273, mips_16, 1
+instance = comp, \datamem|ram~3167 , datamem|ram~3167, mips_16, 1
+instance = comp, \datamem|ram~3103feeder , datamem|ram~3103feeder, mips_16, 1
+instance = comp, \datamem|ram~3103 , datamem|ram~3103, mips_16, 1
+instance = comp, \datamem|ram~3231feeder , datamem|ram~3231feeder, mips_16, 1
+instance = comp, \datamem|ram~3231 , datamem|ram~3231, mips_16, 1
+instance = comp, \datamem|ram~3295 , datamem|ram~3295, mips_16, 1
+instance = comp, \datamem|ram~5265 , datamem|ram~5265, mips_16, 1
+instance = comp, \datamem|ram~3247feeder , datamem|ram~3247feeder, mips_16, 1
+instance = comp, \datamem|ram~3247 , datamem|ram~3247, mips_16, 1
+instance = comp, \datamem|ram~3119feeder , datamem|ram~3119feeder, mips_16, 1
+instance = comp, \datamem|ram~3119 , datamem|ram~3119, mips_16, 1
+instance = comp, \datamem|ram~3183feeder , datamem|ram~3183feeder, mips_16, 1
+instance = comp, \datamem|ram~3183 , datamem|ram~3183, mips_16, 1
+instance = comp, \datamem|ram~3311 , datamem|ram~3311, mips_16, 1
+instance = comp, \datamem|ram~5266 , datamem|ram~5266, mips_16, 1
+instance = comp, \datamem|ram~3135 , datamem|ram~3135, mips_16, 1
+instance = comp, \datamem|ram~3263 , datamem|ram~3263, mips_16, 1
+instance = comp, \datamem|ram~3199 , datamem|ram~3199, mips_16, 1
+instance = comp, \datamem|ram~3327 , datamem|ram~3327, mips_16, 1
+instance = comp, \datamem|ram~5267 , datamem|ram~5267, mips_16, 1
+instance = comp, \datamem|ram~3215feeder , datamem|ram~3215feeder, mips_16, 1
+instance = comp, \datamem|ram~3215 , datamem|ram~3215, mips_16, 1
+instance = comp, \datamem|ram~3151feeder , datamem|ram~3151feeder, mips_16, 1
+instance = comp, \datamem|ram~3151 , datamem|ram~3151, mips_16, 1
+instance = comp, \datamem|ram~3087feeder , datamem|ram~3087feeder, mips_16, 1
+instance = comp, \datamem|ram~3087 , datamem|ram~3087, mips_16, 1
+instance = comp, \datamem|ram~3279 , datamem|ram~3279, mips_16, 1
+instance = comp, \datamem|ram~5264 , datamem|ram~5264, mips_16, 1
+instance = comp, \datamem|ram~5268 , datamem|ram~5268, mips_16, 1
+instance = comp, \datamem|ram~5284 , datamem|ram~5284, mips_16, 1
+instance = comp, \datamem|ram~1391 , datamem|ram~1391, mips_16, 1
+instance = comp, \datamem|ram~1903 , datamem|ram~1903, mips_16, 1
+instance = comp, \datamem|ram~1135 , datamem|ram~1135, mips_16, 1
+instance = comp, \datamem|ram~1647 , datamem|ram~1647, mips_16, 1
+instance = comp, \datamem|ram~5233 , datamem|ram~5233, mips_16, 1
+instance = comp, \datamem|ram~1199 , datamem|ram~1199, mips_16, 1
+instance = comp, \datamem|ram~1711 , datamem|ram~1711, mips_16, 1
+instance = comp, \datamem|ram~1455feeder , datamem|ram~1455feeder, mips_16, 1
+instance = comp, \datamem|ram~1455 , datamem|ram~1455, mips_16, 1
+instance = comp, \datamem|ram~1967 , datamem|ram~1967, mips_16, 1
+instance = comp, \datamem|ram~5234 , datamem|ram~5234, mips_16, 1
+instance = comp, \datamem|ram~1775feeder , datamem|ram~1775feeder, mips_16, 1
+instance = comp, \datamem|ram~1775 , datamem|ram~1775, mips_16, 1
+instance = comp, \datamem|ram~1263feeder , datamem|ram~1263feeder, mips_16, 1
+instance = comp, \datamem|ram~1263 , datamem|ram~1263, mips_16, 1
+instance = comp, \datamem|ram~1519feeder , datamem|ram~1519feeder, mips_16, 1
+instance = comp, \datamem|ram~1519 , datamem|ram~1519, mips_16, 1
+instance = comp, \datamem|ram~2031 , datamem|ram~2031, mips_16, 1
+instance = comp, \datamem|ram~5235 , datamem|ram~5235, mips_16, 1
+instance = comp, \datamem|ram~1327feeder , datamem|ram~1327feeder, mips_16, 1
+instance = comp, \datamem|ram~1327 , datamem|ram~1327, mips_16, 1
+instance = comp, \datamem|ram~1583 , datamem|ram~1583, mips_16, 1
+instance = comp, \datamem|ram~1071feeder , datamem|ram~1071feeder, mips_16, 1
+instance = comp, \datamem|ram~1071 , datamem|ram~1071, mips_16, 1
+instance = comp, \datamem|ram~1839 , datamem|ram~1839, mips_16, 1
+instance = comp, \datamem|ram~5232 , datamem|ram~5232, mips_16, 1
+instance = comp, \datamem|ram~5236 , datamem|ram~5236, mips_16, 1
+instance = comp, \datamem|ram~1727feeder , datamem|ram~1727feeder, mips_16, 1
+instance = comp, \datamem|ram~1727 , datamem|ram~1727, mips_16, 1
+instance = comp, \datamem|ram~1215 , datamem|ram~1215, mips_16, 1
+instance = comp, \datamem|ram~1471feeder , datamem|ram~1471feeder, mips_16, 1
+instance = comp, \datamem|ram~1471 , datamem|ram~1471, mips_16, 1
+instance = comp, \datamem|ram~1983 , datamem|ram~1983, mips_16, 1
+instance = comp, \datamem|ram~5239 , datamem|ram~5239, mips_16, 1
+instance = comp, \datamem|ram~1279 , datamem|ram~1279, mips_16, 1
+instance = comp, \datamem|ram~1791 , datamem|ram~1791, mips_16, 1
+instance = comp, \datamem|ram~1535 , datamem|ram~1535, mips_16, 1
+instance = comp, \datamem|ram~2047 , datamem|ram~2047, mips_16, 1
+instance = comp, \datamem|ram~5240 , datamem|ram~5240, mips_16, 1
+instance = comp, \datamem|ram~1151 , datamem|ram~1151, mips_16, 1
+instance = comp, \datamem|ram~1663feeder , datamem|ram~1663feeder, mips_16, 1
+instance = comp, \datamem|ram~1663 , datamem|ram~1663, mips_16, 1
+instance = comp, \datamem|ram~1407feeder , datamem|ram~1407feeder, mips_16, 1
+instance = comp, \datamem|ram~1407 , datamem|ram~1407, mips_16, 1
+instance = comp, \datamem|ram~1919 , datamem|ram~1919, mips_16, 1
+instance = comp, \datamem|ram~5238 , datamem|ram~5238, mips_16, 1
+instance = comp, \datamem|ram~1855feeder , datamem|ram~1855feeder, mips_16, 1
+instance = comp, \datamem|ram~1855 , datamem|ram~1855, mips_16, 1
+instance = comp, \datamem|ram~1343 , datamem|ram~1343, mips_16, 1
+instance = comp, \datamem|ram~1087 , datamem|ram~1087, mips_16, 1
+instance = comp, \datamem|ram~1599feeder , datamem|ram~1599feeder, mips_16, 1
+instance = comp, \datamem|ram~1599 , datamem|ram~1599, mips_16, 1
+instance = comp, \datamem|ram~5237 , datamem|ram~5237, mips_16, 1
+instance = comp, \datamem|ram~5241 , datamem|ram~5241, mips_16, 1
+instance = comp, \datamem|ram~1567 , datamem|ram~1567, mips_16, 1
+instance = comp, \datamem|ram~1055 , datamem|ram~1055, mips_16, 1
+instance = comp, \datamem|ram~1823feeder , datamem|ram~1823feeder, mips_16, 1
+instance = comp, \datamem|ram~1823 , datamem|ram~1823, mips_16, 1
+instance = comp, \datamem|ram~1311 , datamem|ram~1311, mips_16, 1
+instance = comp, \datamem|ram~5227 , datamem|ram~5227, mips_16, 1
+instance = comp, \datamem|ram~1759feeder , datamem|ram~1759feeder, mips_16, 1
+instance = comp, \datamem|ram~1759 , datamem|ram~1759, mips_16, 1
+instance = comp, \datamem|ram~1503 , datamem|ram~1503, mips_16, 1
+instance = comp, \datamem|ram~1247 , datamem|ram~1247, mips_16, 1
+instance = comp, \datamem|ram~2015 , datamem|ram~2015, mips_16, 1
+instance = comp, \datamem|ram~5230 , datamem|ram~5230, mips_16, 1
+instance = comp, \datamem|ram~1631feeder , datamem|ram~1631feeder, mips_16, 1
+instance = comp, \datamem|ram~1631 , datamem|ram~1631, mips_16, 1
+instance = comp, \datamem|ram~1887 , datamem|ram~1887, mips_16, 1
+instance = comp, \datamem|ram~1119 , datamem|ram~1119, mips_16, 1
+instance = comp, \datamem|ram~1375 , datamem|ram~1375, mips_16, 1
+instance = comp, \datamem|ram~5228 , datamem|ram~5228, mips_16, 1
+instance = comp, \datamem|ram~1439 , datamem|ram~1439, mips_16, 1
+instance = comp, \datamem|ram~1695 , datamem|ram~1695, mips_16, 1
+instance = comp, \datamem|ram~1183 , datamem|ram~1183, mips_16, 1
+instance = comp, \datamem|ram~1951 , datamem|ram~1951, mips_16, 1
+instance = comp, \datamem|ram~5229 , datamem|ram~5229, mips_16, 1
+instance = comp, \datamem|ram~5231 , datamem|ram~5231, mips_16, 1
+instance = comp, \datamem|ram~1807feeder , datamem|ram~1807feeder, mips_16, 1
+instance = comp, \datamem|ram~1807 , datamem|ram~1807, mips_16, 1
+instance = comp, \datamem|ram~1039 , datamem|ram~1039, mips_16, 1
+instance = comp, \datamem|ram~1551feeder , datamem|ram~1551feeder, mips_16, 1
+instance = comp, \datamem|ram~1551 , datamem|ram~1551, mips_16, 1
+instance = comp, \datamem|ram~1295 , datamem|ram~1295, mips_16, 1
+instance = comp, \datamem|ram~5222 , datamem|ram~5222, mips_16, 1
+instance = comp, \datamem|ram~1487feeder , datamem|ram~1487feeder, mips_16, 1
+instance = comp, \datamem|ram~1487 , datamem|ram~1487, mips_16, 1
+instance = comp, \datamem|ram~1231 , datamem|ram~1231, mips_16, 1
+instance = comp, \datamem|ram~1743 , datamem|ram~1743, mips_16, 1
+instance = comp, \datamem|ram~1999 , datamem|ram~1999, mips_16, 1
+instance = comp, \datamem|ram~5225 , datamem|ram~5225, mips_16, 1
+instance = comp, \datamem|ram~1871feeder , datamem|ram~1871feeder, mips_16, 1
+instance = comp, \datamem|ram~1871 , datamem|ram~1871, mips_16, 1
+instance = comp, \datamem|ram~1103 , datamem|ram~1103, mips_16, 1
+instance = comp, \datamem|ram~1615feeder , datamem|ram~1615feeder, mips_16, 1
+instance = comp, \datamem|ram~1615 , datamem|ram~1615, mips_16, 1
+instance = comp, \datamem|ram~1359 , datamem|ram~1359, mips_16, 1
+instance = comp, \datamem|ram~5223 , datamem|ram~5223, mips_16, 1
+instance = comp, \datamem|ram~1423 , datamem|ram~1423, mips_16, 1
+instance = comp, \datamem|ram~1167feeder , datamem|ram~1167feeder, mips_16, 1
+instance = comp, \datamem|ram~1167 , datamem|ram~1167, mips_16, 1
+instance = comp, \datamem|ram~1679feeder , datamem|ram~1679feeder, mips_16, 1
+instance = comp, \datamem|ram~1679 , datamem|ram~1679, mips_16, 1
+instance = comp, \datamem|ram~1935 , datamem|ram~1935, mips_16, 1
+instance = comp, \datamem|ram~5224 , datamem|ram~5224, mips_16, 1
+instance = comp, \datamem|ram~5226 , datamem|ram~5226, mips_16, 1
+instance = comp, \datamem|ram~5242 , datamem|ram~5242, mips_16, 1
+instance = comp, \datamem|ram~2351 , datamem|ram~2351, mips_16, 1
+instance = comp, \datamem|ram~2319feeder , datamem|ram~2319feeder, mips_16, 1
+instance = comp, \datamem|ram~2319 , datamem|ram~2319, mips_16, 1
+instance = comp, \datamem|ram~2335 , datamem|ram~2335, mips_16, 1
+instance = comp, \datamem|ram~2367 , datamem|ram~2367, mips_16, 1
+instance = comp, \datamem|ram~5244 , datamem|ram~5244, mips_16, 1
+instance = comp, \datamem|ram~2863 , datamem|ram~2863, mips_16, 1
+instance = comp, \datamem|ram~2831 , datamem|ram~2831, mips_16, 1
+instance = comp, \datamem|ram~2847 , datamem|ram~2847, mips_16, 1
+instance = comp, \datamem|ram~2879 , datamem|ram~2879, mips_16, 1
+instance = comp, \datamem|ram~5246 , datamem|ram~5246, mips_16, 1
+instance = comp, \datamem|ram~2623 , datamem|ram~2623, mips_16, 1
+instance = comp, \datamem|ram~2607 , datamem|ram~2607, mips_16, 1
+instance = comp, \datamem|ram~2591 , datamem|ram~2591, mips_16, 1
+instance = comp, \datamem|ram~2575 , datamem|ram~2575, mips_16, 1
+instance = comp, \datamem|ram~5245 , datamem|ram~5245, mips_16, 1
+instance = comp, \datamem|ram~2079 , datamem|ram~2079, mips_16, 1
+instance = comp, \datamem|ram~2063feeder , datamem|ram~2063feeder, mips_16, 1
+instance = comp, \datamem|ram~2063 , datamem|ram~2063, mips_16, 1
+instance = comp, \datamem|ram~2095 , datamem|ram~2095, mips_16, 1
+instance = comp, \datamem|ram~2111 , datamem|ram~2111, mips_16, 1
+instance = comp, \datamem|ram~5243 , datamem|ram~5243, mips_16, 1
+instance = comp, \datamem|ram~5247 , datamem|ram~5247, mips_16, 1
+instance = comp, \datamem|ram~2159 , datamem|ram~2159, mips_16, 1
+instance = comp, \datamem|ram~2127 , datamem|ram~2127, mips_16, 1
+instance = comp, \datamem|ram~2143 , datamem|ram~2143, mips_16, 1
+instance = comp, \datamem|ram~2175 , datamem|ram~2175, mips_16, 1
+instance = comp, \datamem|ram~5248 , datamem|ram~5248, mips_16, 1
+instance = comp, \datamem|ram~2415feeder , datamem|ram~2415feeder, mips_16, 1
+instance = comp, \datamem|ram~2415 , datamem|ram~2415, mips_16, 1
+instance = comp, \datamem|ram~2383 , datamem|ram~2383, mips_16, 1
+instance = comp, \datamem|ram~2399 , datamem|ram~2399, mips_16, 1
+instance = comp, \datamem|ram~2431 , datamem|ram~2431, mips_16, 1
+instance = comp, \datamem|ram~5249 , datamem|ram~5249, mips_16, 1
+instance = comp, \datamem|ram~2639 , datamem|ram~2639, mips_16, 1
+instance = comp, \datamem|ram~2655feeder , datamem|ram~2655feeder, mips_16, 1
+instance = comp, \datamem|ram~2655 , datamem|ram~2655, mips_16, 1
+instance = comp, \datamem|ram~2671 , datamem|ram~2671, mips_16, 1
+instance = comp, \datamem|ram~2687 , datamem|ram~2687, mips_16, 1
+instance = comp, \datamem|ram~5250 , datamem|ram~5250, mips_16, 1
+instance = comp, \datamem|ram~2927 , datamem|ram~2927, mips_16, 1
+instance = comp, \datamem|ram~2911feeder , datamem|ram~2911feeder, mips_16, 1
+instance = comp, \datamem|ram~2911 , datamem|ram~2911, mips_16, 1
+instance = comp, \datamem|ram~2895 , datamem|ram~2895, mips_16, 1
+instance = comp, \datamem|ram~2943 , datamem|ram~2943, mips_16, 1
+instance = comp, \datamem|ram~5251 , datamem|ram~5251, mips_16, 1
+instance = comp, \datamem|ram~5252 , datamem|ram~5252, mips_16, 1
+instance = comp, \datamem|ram~2783 , datamem|ram~2783, mips_16, 1
+instance = comp, \datamem|ram~2799 , datamem|ram~2799, mips_16, 1
+instance = comp, \datamem|ram~2767 , datamem|ram~2767, mips_16, 1
+instance = comp, \datamem|ram~2815 , datamem|ram~2815, mips_16, 1
+instance = comp, \datamem|ram~5260 , datamem|ram~5260, mips_16, 1
+instance = comp, \datamem|ram~2287 , datamem|ram~2287, mips_16, 1
+instance = comp, \datamem|ram~2271feeder , datamem|ram~2271feeder, mips_16, 1
+instance = comp, \datamem|ram~2271 , datamem|ram~2271, mips_16, 1
+instance = comp, \datamem|ram~2255 , datamem|ram~2255, mips_16, 1
+instance = comp, \datamem|ram~2303 , datamem|ram~2303, mips_16, 1
+instance = comp, \datamem|ram~5258 , datamem|ram~5258, mips_16, 1
+instance = comp, \datamem|ram~2511 , datamem|ram~2511, mips_16, 1
+instance = comp, \datamem|ram~2543feeder , datamem|ram~2543feeder, mips_16, 1
+instance = comp, \datamem|ram~2543 , datamem|ram~2543, mips_16, 1
+instance = comp, \datamem|ram~2527 , datamem|ram~2527, mips_16, 1
+instance = comp, \datamem|ram~2559 , datamem|ram~2559, mips_16, 1
+instance = comp, \datamem|ram~5259 , datamem|ram~5259, mips_16, 1
+instance = comp, \datamem|ram~3055 , datamem|ram~3055, mips_16, 1
+instance = comp, \datamem|ram~3023 , datamem|ram~3023, mips_16, 1
+instance = comp, \datamem|ram~3039feeder , datamem|ram~3039feeder, mips_16, 1
+instance = comp, \datamem|ram~3039 , datamem|ram~3039, mips_16, 1
+instance = comp, \datamem|ram~3071 , datamem|ram~3071, mips_16, 1
+instance = comp, \datamem|ram~5261 , datamem|ram~5261, mips_16, 1
+instance = comp, \datamem|ram~5262 , datamem|ram~5262, mips_16, 1
+instance = comp, \datamem|ram~2479 , datamem|ram~2479, mips_16, 1
+instance = comp, \datamem|ram~2223 , datamem|ram~2223, mips_16, 1
+instance = comp, \datamem|ram~2735 , datamem|ram~2735, mips_16, 1
+instance = comp, \datamem|ram~2991 , datamem|ram~2991, mips_16, 1
+instance = comp, \datamem|ram~5255 , datamem|ram~5255, mips_16, 1
+instance = comp, \datamem|ram~2719 , datamem|ram~2719, mips_16, 1
+instance = comp, \datamem|ram~2207 , datamem|ram~2207, mips_16, 1
+instance = comp, \datamem|ram~2463 , datamem|ram~2463, mips_16, 1
+instance = comp, \datamem|ram~2975 , datamem|ram~2975, mips_16, 1
+instance = comp, \datamem|ram~5254 , datamem|ram~5254, mips_16, 1
+instance = comp, \datamem|ram~2447feeder , datamem|ram~2447feeder, mips_16, 1
+instance = comp, \datamem|ram~2447 , datamem|ram~2447, mips_16, 1
+instance = comp, \datamem|ram~2191 , datamem|ram~2191, mips_16, 1
+instance = comp, \datamem|ram~2703 , datamem|ram~2703, mips_16, 1
+instance = comp, \datamem|ram~2959 , datamem|ram~2959, mips_16, 1
+instance = comp, \datamem|ram~5253 , datamem|ram~5253, mips_16, 1
+instance = comp, \datamem|ram~2239 , datamem|ram~2239, mips_16, 1
+instance = comp, \datamem|ram~2495feeder , datamem|ram~2495feeder, mips_16, 1
+instance = comp, \datamem|ram~2495 , datamem|ram~2495, mips_16, 1
+instance = comp, \datamem|ram~2751 , datamem|ram~2751, mips_16, 1
+instance = comp, \datamem|ram~3007 , datamem|ram~3007, mips_16, 1
+instance = comp, \datamem|ram~5256 , datamem|ram~5256, mips_16, 1
+instance = comp, \datamem|ram~5257 , datamem|ram~5257, mips_16, 1
+instance = comp, \datamem|ram~5263 , datamem|ram~5263, mips_16, 1
+instance = comp, \datamem|ram~143feeder , datamem|ram~143feeder, mips_16, 1
+instance = comp, \datamem|ram~143 , datamem|ram~143, mips_16, 1
+instance = comp, \datamem|ram~175feeder , datamem|ram~175feeder, mips_16, 1
+instance = comp, \datamem|ram~175 , datamem|ram~175, mips_16, 1
+instance = comp, \datamem|ram~159 , datamem|ram~159, mips_16, 1
+instance = comp, \datamem|ram~191 , datamem|ram~191, mips_16, 1
+instance = comp, \datamem|ram~5203 , datamem|ram~5203, mips_16, 1
+instance = comp, \datamem|ram~223 , datamem|ram~223, mips_16, 1
+instance = comp, \datamem|ram~239 , datamem|ram~239, mips_16, 1
+instance = comp, \datamem|ram~255feeder , datamem|ram~255feeder, mips_16, 1
+instance = comp, \datamem|ram~255 , datamem|ram~255, mips_16, 1
+instance = comp, \datamem|ram~207 , datamem|ram~207, mips_16, 1
+instance = comp, \datamem|ram~5204 , datamem|ram~5204, mips_16, 1
+instance = comp, \datamem|ram~15 , datamem|ram~15, mips_16, 1
+instance = comp, \datamem|ram~47 , datamem|ram~47, mips_16, 1
+instance = comp, \datamem|ram~63 , datamem|ram~63, mips_16, 1
+instance = comp, \datamem|ram~31 , datamem|ram~31, mips_16, 1
+instance = comp, \datamem|ram~5201 , datamem|ram~5201, mips_16, 1
+instance = comp, \datamem|ram~79 , datamem|ram~79, mips_16, 1
+instance = comp, \datamem|ram~111feeder , datamem|ram~111feeder, mips_16, 1
+instance = comp, \datamem|ram~111 , datamem|ram~111, mips_16, 1
+instance = comp, \datamem|ram~127feeder , datamem|ram~127feeder, mips_16, 1
+instance = comp, \datamem|ram~127 , datamem|ram~127, mips_16, 1
+instance = comp, \datamem|ram~95 , datamem|ram~95, mips_16, 1
+instance = comp, \datamem|ram~5202 , datamem|ram~5202, mips_16, 1
+instance = comp, \datamem|ram~5205 , datamem|ram~5205, mips_16, 1
+instance = comp, \datamem|ram~415 , datamem|ram~415, mips_16, 1
+instance = comp, \datamem|ram~287 , datamem|ram~287, mips_16, 1
+instance = comp, \datamem|ram~479feeder , datamem|ram~479feeder, mips_16, 1
+instance = comp, \datamem|ram~479 , datamem|ram~479, mips_16, 1
+instance = comp, \datamem|ram~351 , datamem|ram~351, mips_16, 1
+instance = comp, \datamem|ram~5207 , datamem|ram~5207, mips_16, 1
+instance = comp, \datamem|ram~303 , datamem|ram~303, mips_16, 1
+instance = comp, \datamem|ram~431feeder , datamem|ram~431feeder, mips_16, 1
+instance = comp, \datamem|ram~431 , datamem|ram~431, mips_16, 1
+instance = comp, \datamem|ram~367 , datamem|ram~367, mips_16, 1
+instance = comp, \datamem|ram~495 , datamem|ram~495, mips_16, 1
+instance = comp, \datamem|ram~5208 , datamem|ram~5208, mips_16, 1
+instance = comp, \datamem|ram~447 , datamem|ram~447, mips_16, 1
+instance = comp, \datamem|ram~383 , datamem|ram~383, mips_16, 1
+instance = comp, \datamem|ram~319 , datamem|ram~319, mips_16, 1
+instance = comp, \datamem|ram~511 , datamem|ram~511, mips_16, 1
+instance = comp, \datamem|ram~5209 , datamem|ram~5209, mips_16, 1
+instance = comp, \datamem|ram~399 , datamem|ram~399, mips_16, 1
+instance = comp, \datamem|ram~271 , datamem|ram~271, mips_16, 1
+instance = comp, \datamem|ram~463 , datamem|ram~463, mips_16, 1
+instance = comp, \datamem|ram~335 , datamem|ram~335, mips_16, 1
+instance = comp, \datamem|ram~5206 , datamem|ram~5206, mips_16, 1
+instance = comp, \datamem|ram~5210 , datamem|ram~5210, mips_16, 1
+instance = comp, \datamem|ram~959 , datamem|ram~959, mips_16, 1
+instance = comp, \datamem|ram~895 , datamem|ram~895, mips_16, 1
+instance = comp, \datamem|ram~831feeder , datamem|ram~831feeder, mips_16, 1
+instance = comp, \datamem|ram~831 , datamem|ram~831, mips_16, 1
+instance = comp, \datamem|ram~1023 , datamem|ram~1023, mips_16, 1
+instance = comp, \datamem|ram~5219 , datamem|ram~5219, mips_16, 1
+instance = comp, \datamem|ram~911 , datamem|ram~911, mips_16, 1
+instance = comp, \datamem|ram~847feeder , datamem|ram~847feeder, mips_16, 1
+instance = comp, \datamem|ram~847 , datamem|ram~847, mips_16, 1
+instance = comp, \datamem|ram~783 , datamem|ram~783, mips_16, 1
+instance = comp, \datamem|ram~975 , datamem|ram~975, mips_16, 1
+instance = comp, \datamem|ram~5216 , datamem|ram~5216, mips_16, 1
+instance = comp, \datamem|ram~927 , datamem|ram~927, mips_16, 1
+instance = comp, \datamem|ram~799feeder , datamem|ram~799feeder, mips_16, 1
+instance = comp, \datamem|ram~799 , datamem|ram~799, mips_16, 1
+instance = comp, \datamem|ram~863feeder , datamem|ram~863feeder, mips_16, 1
+instance = comp, \datamem|ram~863 , datamem|ram~863, mips_16, 1
+instance = comp, \datamem|ram~991 , datamem|ram~991, mips_16, 1
+instance = comp, \datamem|ram~5217 , datamem|ram~5217, mips_16, 1
+instance = comp, \datamem|ram~815feeder , datamem|ram~815feeder, mips_16, 1
+instance = comp, \datamem|ram~815 , datamem|ram~815, mips_16, 1
+instance = comp, \datamem|ram~943 , datamem|ram~943, mips_16, 1
+instance = comp, \datamem|ram~879feeder , datamem|ram~879feeder, mips_16, 1
+instance = comp, \datamem|ram~879 , datamem|ram~879, mips_16, 1
+instance = comp, \datamem|ram~1007 , datamem|ram~1007, mips_16, 1
+instance = comp, \datamem|ram~5218 , datamem|ram~5218, mips_16, 1
+instance = comp, \datamem|ram~5220 , datamem|ram~5220, mips_16, 1
+instance = comp, \datamem|ram~719 , datamem|ram~719, mips_16, 1
+instance = comp, \datamem|ram~767 , datamem|ram~767, mips_16, 1
+instance = comp, \datamem|ram~735 , datamem|ram~735, mips_16, 1
+instance = comp, \datamem|ram~751feeder , datamem|ram~751feeder, mips_16, 1
+instance = comp, \datamem|ram~751 , datamem|ram~751, mips_16, 1
+instance = comp, \datamem|ram~5214 , datamem|ram~5214, mips_16, 1
+instance = comp, \datamem|ram~607 , datamem|ram~607, mips_16, 1
+instance = comp, \datamem|ram~623 , datamem|ram~623, mips_16, 1
+instance = comp, \datamem|ram~591 , datamem|ram~591, mips_16, 1
+instance = comp, \datamem|ram~639 , datamem|ram~639, mips_16, 1
+instance = comp, \datamem|ram~5212 , datamem|ram~5212, mips_16, 1
+instance = comp, \datamem|ram~527 , datamem|ram~527, mips_16, 1
+instance = comp, \datamem|ram~543 , datamem|ram~543, mips_16, 1
+instance = comp, \datamem|ram~559feeder , datamem|ram~559feeder, mips_16, 1
+instance = comp, \datamem|ram~559 , datamem|ram~559, mips_16, 1
+instance = comp, \datamem|ram~575 , datamem|ram~575, mips_16, 1
+instance = comp, \datamem|ram~5211 , datamem|ram~5211, mips_16, 1
+instance = comp, \datamem|ram~655 , datamem|ram~655, mips_16, 1
+instance = comp, \datamem|ram~671 , datamem|ram~671, mips_16, 1
+instance = comp, \datamem|ram~687 , datamem|ram~687, mips_16, 1
+instance = comp, \datamem|ram~703 , datamem|ram~703, mips_16, 1
+instance = comp, \datamem|ram~5213 , datamem|ram~5213, mips_16, 1
+instance = comp, \datamem|ram~5215 , datamem|ram~5215, mips_16, 1
+instance = comp, \datamem|ram~5221 , datamem|ram~5221, mips_16, 1
+instance = comp, \datamem|ram~5285 , datamem|ram~5285, mips_16, 1
+instance = comp, \reg_write_data[15]~15 , reg_write_data[15]~15, mips_16, 1
+instance = comp, \reg_file|reg_array[7][15] , reg_file|reg_array[7][15], mips_16, 1
+instance = comp, \reg_file|reg_array[4][15] , reg_file|reg_array[4][15], mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[15]~24 , reg_file|reg_read_data_1[15]~24, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[15]~25 , reg_file|reg_read_data_1[15]~25, mips_16, 1
+instance = comp, \Add3~53 , Add3~53, mips_16, 1
+instance = comp, \Add3~57 , Add3~57, mips_16, 1
+instance = comp, \Add2~57 , Add2~57, mips_16, 1
+instance = comp, \Add2~61 , Add2~61, mips_16, 1
+instance = comp, \pc_next[15]~14 , pc_next[15]~14, mips_16, 1
+instance = comp, \pc_next[15]~15 , pc_next[15]~15, mips_16, 1
+instance = comp, \pc_current[15] , pc_current[15], mips_16, 1
+instance = comp, \instrucion_memory|rom~20 , instrucion_memory|rom~20, mips_16, 1
+instance = comp, \pc_next[1]~0 , pc_next[1]~0, mips_16, 1
+instance = comp, \pc_current[1] , pc_current[1], mips_16, 1
+instance = comp, \instrucion_memory|rom~7 , instrucion_memory|rom~7, mips_16, 1
+instance = comp, \pc_next[4]~3 , pc_next[4]~3, mips_16, 1
+instance = comp, \pc_current[4] , pc_current[4], mips_16, 1
+instance = comp, \instrucion_memory|rom~10 , instrucion_memory|rom~10, mips_16, 1
+instance = comp, \reg_file|Equal0~0 , reg_file|Equal0~0, mips_16, 1
+instance = comp, \reg_file|reg_read_data_1[11]~13 , reg_file|reg_read_data_1[11]~13, mips_16, 1
+instance = comp, \alu_unit|shifter_left|st2[11]~12 , alu_unit|shifter_left|st2[11]~12, mips_16, 1
+instance = comp, \alu_unit|Mux0~3 , alu_unit|Mux0~3, mips_16, 1
+instance = comp, \alu_unit|Mux0~4 , alu_unit|Mux0~4, mips_16, 1
+instance = comp, \alu_unit|Mux0~5 , alu_unit|Mux0~5, mips_16, 1
+instance = comp, \beq_control~1 , beq_control~1, mips_16, 1
+instance = comp, \beq_control~7 , beq_control~7, mips_16, 1
+instance = comp, \pc_next[14]~13 , pc_next[14]~13, mips_16, 1
+instance = comp, \pc_current[14] , pc_current[14], mips_16, 1
+instance = comp, \instrucion_memory|rom~4 , instrucion_memory|rom~4, mips_16, 1
+instance = comp, \imm_ext[15]~0 , imm_ext[15]~0, mips_16, 1
+instance = comp, \pc_next[0]~20 , pc_next[0]~20, mips_16, 1
+instance = comp, \pc_current[0] , pc_current[0], mips_16, 1
+instance = comp, \~QUARTUS_CREATED_GND~I , ~QUARTUS_CREATED_GND~I, mips_16, 1
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do b/simulation/modelsim/mips_run_msim_rtl_verilog.do
new file mode 100644
index 0000000..ed539f1
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do
@@ -0,0 +1,9 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v}
+
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak
new file mode 100644
index 0000000..b2c1c61
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak
@@ -0,0 +1,9 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/mips.v}
+
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak1 b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak1
new file mode 100644
index 0000000..ad247fd
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak1
@@ -0,0 +1,17 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/mips.v}
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/mips.v}
+
+vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver -L rtl_work -L work -voptargs="+acc" tb_mips16
+
+add wave *
+view structure
+view signals
+run -all
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak2 b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak2
new file mode 100644
index 0000000..7e02230
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak2
@@ -0,0 +1,17 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v}
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/mips.v}
+
+vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver -L rtl_work -L work -voptargs="+acc" tb_mips16
+
+add wave *
+view structure
+view signals
+run -all
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak3 b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak3
new file mode 100644
index 0000000..2147998
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak3
@@ -0,0 +1,17 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v}
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/mips.v}
+
+vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver -L rtl_work -L work -voptargs="+acc" barrelShifter_tb
+
+add wave *
+view structure
+view signals
+run -all
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak4 b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak4
new file mode 100644
index 0000000..2147998
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak4
@@ -0,0 +1,17 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v}
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/mips.v}
+
+vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver -L rtl_work -L work -voptargs="+acc" barrelShifter_tb
+
+add wave *
+view structure
+view signals
+run -all
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak5 b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak5
new file mode 100644
index 0000000..2147998
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak5
@@ -0,0 +1,17 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v}
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/mips.v}
+
+vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver -L rtl_work -L work -voptargs="+acc" barrelShifter_tb
+
+add wave *
+view structure
+view signals
+run -all
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak6 b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak6
new file mode 100644
index 0000000..ed539f1
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak6
@@ -0,0 +1,9 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v}
+
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak7 b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak7
new file mode 100644
index 0000000..ed539f1
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak7
@@ -0,0 +1,9 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v}
+
diff --git a/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak8 b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak8
new file mode 100644
index 0000000..ed539f1
--- /dev/null
+++ b/simulation/modelsim/mips_run_msim_rtl_verilog.do.bak8
@@ -0,0 +1,9 @@
+transcript on
+if {[file exists rtl_work]} {
+ vdel -lib rtl_work -all
+}
+vlib rtl_work
+vmap work rtl_work
+
+vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v}
+
diff --git a/simulation/modelsim/modelsim.ini b/simulation/modelsim/modelsim.ini
new file mode 100644
index 0000000..3912feb
--- /dev/null
+++ b/simulation/modelsim/modelsim.ini
@@ -0,0 +1,324 @@
+; Copyright 1991-2009 Mentor Graphics Corporation
+;
+; All Rights Reserved.
+;
+; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
+; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+;
+
+[Library]
+others = $MODEL_TECH/../modelsim.ini
+
+; Altera Primitive libraries
+;
+; VHDL Section
+;
+;
+; Verilog Section
+;
+
+work = rtl_work
+[vcom]
+; VHDL93 variable selects language version as the default.
+; Default is VHDL-2002.
+; Value of 0 or 1987 for VHDL-1987.
+; Value of 1 or 1993 for VHDL-1993.
+; Default or value of 2 or 2002 for VHDL-2002.
+; Default or value of 3 or 2008 for VHDL-2008.
+VHDL93 = 2002
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn off unbound-component warnings. Default is on.
+; Show_Warning1 = 0
+
+; Turn off process-without-a-wait-statement warnings. Default is on.
+; Show_Warning2 = 0
+
+; Turn off null-range warnings. Default is on.
+; Show_Warning3 = 0
+
+; Turn off no-space-in-time-literal warnings. Default is on.
+; Show_Warning4 = 0
+
+; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
+; Show_Warning5 = 0
+
+; Turn off optimization for IEEE std_logic_1164 package. Default is on.
+; Optimize_1164 = 0
+
+; Turn on resolving of ambiguous function overloading in favor of the
+; "explicit" function declaration (not the one automatically created by
+; the compiler for each type declaration). Default is off.
+; The .ini file has Explicit enabled so that std_logic_signed/unsigned
+; will match the behavior of synthesis tools.
+Explicit = 1
+
+; Turn off acceleration of the VITAL packages. Default is to accelerate.
+; NoVital = 1
+
+; Turn off VITAL compliance checking. Default is checking on.
+; NoVitalCheck = 1
+
+; Ignore VITAL compliance checking errors. Default is to not ignore.
+; IgnoreVitalErrors = 1
+
+; Turn off VITAL compliance checking warnings. Default is to show warnings.
+; Show_VitalChecksWarnings = 0
+
+; Keep silent about case statement static warnings.
+; Default is to give a warning.
+; NoCaseStaticError = 1
+
+; Keep silent about warnings caused by aggregates that are not locally static.
+; Default is to give a warning.
+; NoOthersStaticError = 1
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on some limited synthesis rule compliance checking. Checks only:
+; -- signals used (read) by a process must be in the sensitivity list
+; CheckSynthesis = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Require the user to specify a configuration for all bindings,
+; and do not generate a compile time default binding for the
+; component. This will result in an elaboration error of
+; 'component not bound' if the user fails to do so. Avoids the rare
+; issue of a false dependency upon the unused default binding.
+; RequireConfigForAllDefaultBinding = 1
+
+; Inhibit range checking on subscripts of arrays. Range checking on
+; scalars defined with subtypes is inhibited by default.
+; NoIndexCheck = 1
+
+; Inhibit range checks on all (implicit and explicit) assignments to
+; scalar objects defined with subtypes.
+; NoRangeCheck = 1
+
+[vlog]
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on Verilog hazard checking (order-dependent accessing of global vars).
+; Default is off.
+; Hazard = 1
+
+; Turn on converting regular Verilog identifiers to uppercase. Allows case
+; insensitivity for module names. Default is no conversion.
+; UpCase = 1
+
+; Turn on incremental compilation of modules. Default is off.
+; Incremental = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+[vsim]
+; Simulator resolution
+; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
+Resolution = ps
+
+; User time unit for run commands
+; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
+; unit specified for Resolution. For example, if Resolution is 100ps,
+; then UserTimeUnit defaults to ps.
+; Should generally be set to default.
+UserTimeUnit = default
+
+; Default run length
+RunLength = 100
+
+; Maximum iterations that can be run without advancing simulation time
+IterationLimit = 5000
+
+; Directive to license manager:
+; vhdl Immediately reserve a VHDL license
+; vlog Immediately reserve a Verilog license
+; plus Immediately reserve a VHDL and Verilog license
+; nomgc Do not look for Mentor Graphics Licenses
+; nomti Do not look for Model Technology Licenses
+; noqueue Do not wait in the license queue when a license isn't available
+; viewsim Try for viewer license but accept simulator license(s) instead
+; of queuing for viewer license
+; License = plus
+
+; Stop the simulator after a VHDL/Verilog assertion message
+; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal
+BreakOnAssertion = 3
+
+; Assertion Message Format
+; %S - Severity Level
+; %R - Report Message
+; %T - Time of assertion
+; %D - Delta
+; %I - Instance or Region pathname (if available)
+; %% - print '%' character
+; AssertionFormat = "** %S: %R\n Time: %T Iteration: %D%I\n"
+
+; Assertion File - alternate file for storing VHDL/Verilog assertion messages
+; AssertFile = assert.log
+
+; Default radix for all windows and commands...
+; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
+DefaultRadix = symbolic
+
+; VSIM Startup command
+; Startup = do startup.do
+
+; File for saving command transcript
+TranscriptFile = transcript
+
+; File for saving command history
+; CommandHistory = cmdhist.log
+
+; Specify whether paths in simulator commands should be described
+; in VHDL or Verilog format.
+; For VHDL, PathSeparator = /
+; For Verilog, PathSeparator = .
+; Must not be the same character as DatasetSeparator.
+PathSeparator = /
+
+; Specify the dataset separator for fully rooted contexts.
+; The default is ':'. For example, sim:/top
+; Must not be the same character as PathSeparator.
+DatasetSeparator = :
+
+; Disable VHDL assertion messages
+; IgnoreNote = 1
+; IgnoreWarning = 1
+; IgnoreError = 1
+; IgnoreFailure = 1
+
+; Default force kind. May be freeze, drive, deposit, or default
+; or in other terms, fixed, wired, or charged.
+; A value of "default" will use the signal kind to determine the
+; force kind, drive for resolved signals, freeze for unresolved signals
+; DefaultForceKind = freeze
+
+; If zero, open files when elaborated; otherwise, open files on
+; first read or write. Default is 0.
+; DelayFileOpen = 1
+
+; Control VHDL files opened for write.
+; 0 = Buffered, 1 = Unbuffered
+UnbufferedOutput = 0
+
+; Control the number of VHDL files open concurrently.
+; This number should always be less than the current ulimit
+; setting for max file descriptors.
+; 0 = unlimited
+ConcurrentFileLimit = 40
+
+; Control the number of hierarchical regions displayed as
+; part of a signal name shown in the Wave window.
+; A value of zero tells VSIM to display the full name.
+; The default is 0.
+; WaveSignalNameWidth = 0
+
+; Turn off warnings from the std_logic_arith, std_logic_unsigned
+; and std_logic_signed packages.
+; StdArithNoWarnings = 1
+
+; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
+; NumericStdNoWarnings = 1
+
+; Control the format of the (VHDL) FOR generate statement label
+; for each iteration. Do not quote it.
+; The format string here must contain the conversion codes %s and %d,
+; in that order, and no other conversion codes. The %s represents
+; the generate_label; the %d represents the generate parameter value
+; at a particular generate iteration (this is the position number if
+; the generate parameter is of an enumeration type). Embedded whitespace
+; is allowed (but discouraged); leading and trailing whitespace is ignored.
+; Application of the format must result in a unique scope name over all
+; such names in the design so that name lookup can function properly.
+; GenerateFormat = %s__%d
+
+; Specify whether checkpoint files should be compressed.
+; The default is 1 (compressed).
+; CheckpointCompressMode = 0
+
+; List of dynamically loaded objects for Verilog PLI applications
+; Veriuser = veriuser.sl
+
+; Specify default options for the restart command. Options can be one
+; or more of: -force -nobreakpoint -nolist -nolog -nowave
+; DefaultRestartOptions = -force
+
+; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
+; (> 500 megabyte memory footprint). Default is disabled.
+; Specify number of megabytes to lock.
+; LockedMemory = 1000
+
+; Turn on (1) or off (0) WLF file compression.
+; The default is 1 (compress WLF file).
+; WLFCompress = 0
+
+; Specify whether to save all design hierarchy (1) in the WLF file
+; or only regions containing logged signals (0).
+; The default is 0 (save only regions with logged signals).
+; WLFSaveAllRegions = 1
+
+; WLF file time limit. Limit WLF file by time, as closely as possible,
+; to the specified amount of simulation time. When the limit is exceeded
+; the earliest times get truncated from the file.
+; If both time and size limits are specified the most restrictive is used.
+; UserTimeUnits are used if time units are not specified.
+; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms}
+; WLFTimeLimit = 0
+
+; WLF file size limit. Limit WLF file size, as closely as possible,
+; to the specified number of megabytes. If both time and size limits
+; are specified then the most restrictive is used.
+; The default is 0 (no limit).
+; WLFSizeLimit = 1000
+
+; Specify whether or not a WLF file should be deleted when the
+; simulation ends. A value of 1 will cause the WLF file to be deleted.
+; The default is 0 (do not delete WLF file when simulation ends).
+; WLFDeleteOnQuit = 1
+
+; Automatic SDF compilation
+; Disables automatic compilation of SDF files in flows that support it.
+; Default is on, uncomment to turn off.
+; NoAutoSDFCompile = 1
+
+[lmc]
+
+[msg_system]
+; Change a message severity or suppress a message.
+; The format is: = [,...]
+; Examples:
+; note = 3009
+; warning = 3033
+; error = 3010,3016
+; fatal = 3016,3033
+; suppress = 3009,3016,3043
+; The command verror can be used to get the complete
+; description of a message.
+
+; Control transcripting of elaboration/runtime messages.
+; The default is to have messages appear in the transcript and
+; recorded in the wlf file (messages that are recorded in the
+; wlf file can be viewed in the MsgViewer). The other settings
+; are to send messages only to the transcript or only to the
+; wlf file. The valid values are
+; both {default}
+; tran {transcript only}
+; wlf {wlf file only}
+; msgmode = both
diff --git a/simulation/modelsim/msim_transcript b/simulation/modelsim/msim_transcript
new file mode 100644
index 0000000..77bc677
--- /dev/null
+++ b/simulation/modelsim/msim_transcript
@@ -0,0 +1,72 @@
+# Reading C:/intelFPGA_lite/18.1/modelsim_ase/tcl/vsim/pref.tcl
+# do mips_run_msim_rtl_verilog.do
+# if {[file exists rtl_work]} {
+# vdel -lib rtl_work -all
+# }
+# vlib rtl_work
+# vmap work rtl_work
+# Model Technology ModelSim - Intel FPGA Edition vmap 10.5b Lib Mapping Utility 2016.10 Oct 5 2016
+# vmap work rtl_work
+# Copying C:/intelFPGA_lite/18.1/modelsim_ase/win32aloem/../modelsim.ini to modelsim.ini
+# Modifying modelsim.ini
+#
+# vlog -vlog01compat -work work +incdir+D:/Documents/Quartus\ Projects/EE705_Unit23_MIPS_Verilog {D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v}
+# Model Technology ModelSim - Intel FPGA Edition vlog 10.5b Compiler 2016.10 Oct 5 2016
+# Start time: 12:23:45 on Mar 31,2022
+# vlog -reportprogress 300 -vlog01compat -work work "+incdir+D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog" D:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/modified_mips.v
+# -- Compiling module log_barrel_shifter_left
+# -- Compiling module data_memory
+# -- Compiling module ALUControl
+# -- Compiling module JR_Control
+# -- Compiling module control
+# -- Compiling module alu
+# -- Compiling module register_file
+# -- Compiling module instr_mem
+# -- Compiling module mips_16
+# -- Compiling module tb_mips16
+#
+# Top level modules:
+# tb_mips16
+# End time: 12:23:45 on Mar 31,2022, Elapsed time: 0:00:00
+# Errors: 0, Warnings: 0
+#
+vsim work.log_barrel_shifter_left
+# vsim work.log_barrel_shifter_left
+# Start time: 12:24:25 on Mar 31,2022
+# Loading work.log_barrel_shifter_left
+add wave -position insertpoint \
+sim:/log_barrel_shifter_left/ip \
+sim:/log_barrel_shifter_left/shift \
+sim:/log_barrel_shifter_left/op \
+sim:/log_barrel_shifter_left/st1 \
+sim:/log_barrel_shifter_left/st2 \
+sim:/log_barrel_shifter_left/st3
+force -freeze sim:/log_barrel_shifter_left/ip 0000000000000100 0
+force -freeze sim:/log_barrel_shifter_left/shift 0011 0
+run
+vsim work.alu
+# End time: 12:28:04 on Mar 31,2022, Elapsed time: 0:03:39
+# Errors: 0, Warnings: 0
+# vsim work.alu
+# Start time: 12:28:04 on Mar 31,2022
+# Loading work.alu
+# Loading work.log_barrel_shifter_left
+add wave -position insertpoint \
+sim:/alu/a \
+sim:/alu/b \
+sim:/alu/alu_control \
+sim:/alu/result \
+sim:/alu/zero \
+sim:/alu/t1 \
+sim:/alu/t2
+force -freeze sim:/alu/a 0000000000000100 0
+force -freeze sim:/alu/b 0000000000000010 0
+force -freeze sim:/alu/alu_control 101 0
+run
+force -freeze sim:/alu/alu_control 110 0
+run
+force -freeze sim:/alu/alu_control 111 0
+force -freeze sim:/alu/alu_control 111 0
+run
+# End time: 12:33:14 on Mar 31,2022, Elapsed time: 0:05:10
+# Errors: 0, Warnings: 0
diff --git a/simulation/modelsim/rtl_work/_info b/simulation/modelsim/rtl_work/_info
new file mode 100644
index 0000000..8df92ed
--- /dev/null
+++ b/simulation/modelsim/rtl_work/_info
@@ -0,0 +1,232 @@
+m255
+K4
+z2
+13
+!s112 1.1
+!i10d 8192
+!i10e 25
+!i10f 100
+cModel Technology
+Z0 dD:/Documents/Quartus Projects/EE705_Unit23_MIPS_Verilog/simulation/modelsim
+valu
+Z1 !s110 1648709625
+!i10b 1
+!s100 aah692H;5eKZL4;ih@M?e3
+I8g:ekbRmfFcNg@3fJMBae0FTm2
+IKK`BBeiW^bn]BM30U]A:Y3
+R2
+R0
+R3
+R4
+R5
+L0 330
+R6
+r1
+!s85 0
+31
+R7
+R8
+R9
+!i113 1
+R10
+R11
+R12
+vJR_Control
+R1
+!i10b 1
+!s100 Ih:Q0Oe91chz]GFzmRUGk1
+Iim5^L9JGfG0el`aloOzoP2
+R2
+R0
+R3
+R4
+R5
+L0 113
+R6
+r1
+!s85 0
+31
+R7
+R8
+R9
+!i113 1
+R10
+R11
+R12
+n@j@r_@control
+vlog_barrel_shifter_left
+R1
+!i10b 1
+!s100 RRKOX?dG3Y?;d40GTDihM1
+IKd6DXHK?gSIMjhmo5HWA[1
+R2
+R0
+R3
+R4
+R5
+L0 1
+R6
+r1
+!s85 0
+31
+R7
+R8
+R9
+!i113 1
+R10
+R11
+R12
+vmips_16
+R1
+!i10b 1
+!s100 SET]YdUlHPH[k061ZaTU]1
+I?zMZ8fN8U8_94F1cHejj23
+R2
+R0
+R3
+R4
+R5
+L0 374
+R6
+r1
+!s85 0
+31
+R7
+R8
+R9
+!i113 1
+R10
+R11
+R12
+vregister_file
+R1
+!i10b 1
+!s100 A4`cA?3R=3ZK1i^iQ4`:_1
+IE8A@N8FH`In3@ke2m1I]33
+R2
+R0
+R3
+R4
+R5
+L0 289
+R6
+r1
+!s85 0
+31
+R7
+R8
+R9
+!i113 1
+R10
+R11
+R12
+vtb_mips16
+R1
+!i10b 1
+!s100 zef1V2b035mWSeYX=9>FU1
+I_d9`=F36R75VBjY`FNBPc0
+R2
+R0
+R3
+R4
+R5
+L0 480
+R6
+r1
+!s85 0
+31
+R7
+R8
+R9
+!i113 1
+R10
+R11
+R12
diff --git a/simulation/modelsim/rtl_work/_lib.qdb b/simulation/modelsim/rtl_work/_lib.qdb
new file mode 100644
index 0000000..c8e937c
Binary files /dev/null and b/simulation/modelsim/rtl_work/_lib.qdb differ
diff --git a/simulation/modelsim/rtl_work/_lib1_0.qdb b/simulation/modelsim/rtl_work/_lib1_0.qdb
new file mode 100644
index 0000000..46b79d7
Binary files /dev/null and b/simulation/modelsim/rtl_work/_lib1_0.qdb differ
diff --git a/simulation/modelsim/rtl_work/_lib1_0.qpg b/simulation/modelsim/rtl_work/_lib1_0.qpg
new file mode 100644
index 0000000..e124d19
Binary files /dev/null and b/simulation/modelsim/rtl_work/_lib1_0.qpg differ
diff --git a/simulation/modelsim/rtl_work/_lib1_0.qtl b/simulation/modelsim/rtl_work/_lib1_0.qtl
new file mode 100644
index 0000000..c2a2c16
Binary files /dev/null and b/simulation/modelsim/rtl_work/_lib1_0.qtl differ
diff --git a/simulation/modelsim/rtl_work/_vmake b/simulation/modelsim/rtl_work/_vmake
new file mode 100644
index 0000000..37aa36a
--- /dev/null
+++ b/simulation/modelsim/rtl_work/_vmake
@@ -0,0 +1,4 @@
+m255
+K4
+z0
+cModel Technology
diff --git a/simulation/modelsim/vsim.wlf b/simulation/modelsim/vsim.wlf
new file mode 100644
index 0000000..1cab7f6
Binary files /dev/null and b/simulation/modelsim/vsim.wlf differ