Vim functions for VHDL.
Indent the right side of VHDL lines, whether they are delimited by :, <= or =>.
signal sig0 : std_logic;
signal signal1 : std_logic;
signal signaltwo : std_logic_vector(1 downto 0);
Into
signal sig0 : std_logic;
signal signal1 : std_logic;
signal signaltwo : std_logic_vector(1 downto 0);
vmap YourMap <plug>(VimHDLIndent)
Clone into ~/.vim/pack/*/start/