diff --git a/hw/ip/pwm/dv/cov/pwm_cov.core b/hw/ip/pwm/dv/cov/pwm_cov.core deleted file mode 100644 index 6ff493a92252c..0000000000000 --- a/hw/ip/pwm/dv/cov/pwm_cov.core +++ /dev/null @@ -1,20 +0,0 @@ -CAPI=2: -# Copyright lowRISC contributors (OpenTitan project). -# Licensed under the Apache License, Version 2.0, see LICENSE for details. -# SPDX-License-Identifier: Apache-2.0 -name: "lowrisc:dv:pwm_cov:0.1" -description: "PWM functional coverage interface & bind." - -filesets: - files_dv: - depend: - - lowrisc:dv:dv_utils - files: - - pwm_cov_if.sv - - pwm_cov_bind.sv - file_type: systemVerilogSource - -targets: - default: - filesets: - - files_dv diff --git a/hw/ip/pwm/dv/cov/pwm_cov_bind.sv b/hw/ip/pwm/dv/cov/pwm_cov_bind.sv deleted file mode 100644 index 951467053c679..0000000000000 --- a/hw/ip/pwm/dv/cov/pwm_cov_bind.sv +++ /dev/null @@ -1,10 +0,0 @@ -// Copyright lowRISC contributors (OpenTitan project). -// Licensed under the Apache License, Version 2.0, see LICENSE for details. -// SPDX-License-Identifier: Apache-2.0 -// -// Binds PWM functional coverage interaface to the top level PWM module. -module pwm_cov_bind; - - bind pwm pwm_cov_if u_pwm_cov_if (.*); - -endmodule diff --git a/hw/ip/pwm/dv/cov/pwm_cov_if.sv b/hw/ip/pwm/dv/cov/pwm_cov_if.sv deleted file mode 100644 index 27627bc4ed497..0000000000000 --- a/hw/ip/pwm/dv/cov/pwm_cov_if.sv +++ /dev/null @@ -1,16 +0,0 @@ -// Copyright lowRISC contributors (OpenTitan project). -// Licensed under the Apache License, Version 2.0, see LICENSE for details. -// SPDX-License-Identifier: Apache-2.0 -// -// Implements functional coverage for PWM. -interface pwm_cov_if ( - input logic clk_i -); - - import uvm_pkg::*; - import dv_utils_pkg::*; - `include "dv_fcov_macros.svh" - - // TODO Add coverage points if needed - -endinterface : pwm_cov_if diff --git a/hw/ip/pwm/dv/pwm_sim.core b/hw/ip/pwm/dv/pwm_sim.core index ad79a35f3322c..c7713285a805a 100644 --- a/hw/ip/pwm/dv/pwm_sim.core +++ b/hw/ip/pwm/dv/pwm_sim.core @@ -14,7 +14,6 @@ filesets: depend: - lowrisc:dv:pwm_test - lowrisc:dv:pwm_sva - - lowrisc:dv:pwm_cov files: - tb.sv file_type: systemVerilogSource