From 4fb050ca203dd72c3daaf97a565cc8deae68674c Mon Sep 17 00:00:00 2001 From: Alex Parfenov <90794457+jstalex@users.noreply.github.com> Date: Wed, 2 Nov 2022 15:27:42 +0300 Subject: [PATCH] Initial commit --- .gitattributes | 2 + .gitignore | 52 + LICENSE | 21 + README.md | 2 + aps_.cache/wt/gui_handlers.wdf | 122 + aps_.cache/wt/java_command_handlers.wdf | 38 + aps_.cache/wt/project.wpc | 4 + aps_.cache/wt/synthesis.wdf | 39 + aps_.cache/wt/synthesis_details.wdf | 3 + aps_.cache/wt/webtalk_pa.xml | 186 ++ aps_.cache/wt/xsim.wdf | 4 + aps_.hw/aps_.lpr | 8 + aps_.hw/hw_1/hw.xml | 17 + aps_.ip_user_files/README.txt | 1 + aps_.ip_user_files/mem_init_files/prog.txt | 4 + aps_.runs/.jobs/vrs_config_1.xml | 8 + aps_.runs/synth_1/.Vivado_Synthesis.queue.rst | 0 aps_.runs/synth_1/.Xil/top_propImpl.xdc | 111 + aps_.runs/synth_1/.vivado.begin.rst | 5 + aps_.runs/synth_1/.vivado.end.rst | 0 aps_.runs/synth_1/ISEWrap.js | 270 ++ aps_.runs/synth_1/ISEWrap.sh | 67 + aps_.runs/synth_1/__synthesis_is_complete__ | 0 aps_.runs/synth_1/gen_run.xml | 109 + aps_.runs/synth_1/htr.txt | 9 + aps_.runs/synth_1/prog.txt | 9 + aps_.runs/synth_1/project.wdf | 31 + aps_.runs/synth_1/rundef.js | 36 + aps_.runs/synth_1/runme.bat | 10 + aps_.runs/synth_1/runme.log | 509 ++++ aps_.runs/synth_1/runme.sh | 43 + aps_.runs/synth_1/top.dcp | Bin 0 -> 40299 bytes aps_.runs/synth_1/top.tcl | 64 + aps_.runs/synth_1/top.vds | 510 ++++ aps_.runs/synth_1/top_utilization_synth.pb | Bin 0 -> 242 bytes aps_.runs/synth_1/top_utilization_synth.rpt | 182 ++ aps_.runs/synth_1/vivado.jou | 12 + aps_.runs/synth_1/vivado.pb | Bin 0 -> 61465 bytes aps_.sim/sim_1/behav/xsim/alu_riscv_tb.tcl | 11 + .../sim_1/behav/xsim/alu_riscv_tb_behav.wdb | Bin 0 -> 10128 bytes .../sim_1/behav/xsim/alu_riscv_tb_vlog.prj | 10 + aps_.sim/sim_1/behav/xsim/compile.bat | 25 + aps_.sim/sim_1/behav/xsim/compile.log | 0 aps_.sim/sim_1/behav/xsim/elaborate.bat | 24 + aps_.sim/sim_1/behav/xsim/elaborate.log | 8 + aps_.sim/sim_1/behav/xsim/glbl.v | 71 + aps_.sim/sim_1/behav/xsim/im_tb.tcl | 11 + aps_.sim/sim_1/behav/xsim/im_tb_behav.wdb | Bin 0 -> 8225 bytes aps_.sim/sim_1/behav/xsim/im_tb_vlog.prj | 18 + aps_.sim/sim_1/behav/xsim/prog.txt | 4 + aps_.sim/sim_1/behav/xsim/rf_tb.tcl | 11 + aps_.sim/sim_1/behav/xsim/rf_tb_behav.wdb | Bin 0 -> 14202 bytes aps_.sim/sim_1/behav/xsim/rf_tb_vlog.prj | 10 + aps_.sim/sim_1/behav/xsim/simulate.bat | 24 + aps_.sim/sim_1/behav/xsim/simulate.log | 2 + aps_.sim/sim_1/behav/xsim/top_tb.tcl | 11 + aps_.sim/sim_1/behav/xsim/top_tb_behav.wdb | Bin 0 -> 14992 bytes aps_.sim/sim_1/behav/xsim/top_tb_vlog.prj | 16 + aps_.sim/sim_1/behav/xsim/webtalk.jou | 12 + aps_.sim/sim_1/behav/xsim/webtalk.log | 15 + .../sim_1/behav/xsim/webtalk_15744.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_15744.backup.log | 13 + .../sim_1/behav/xsim/webtalk_19012.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_19012.backup.log | 13 + .../sim_1/behav/xsim/webtalk_7268.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_7268.backup.log | 13 + .../sim_1/behav/xsim/webtalk_9284.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_9284.backup.log | 15 + .../sim_1/behav/xsim/webtalk_9300.backup.jou | 12 + .../sim_1/behav/xsim/webtalk_9300.backup.log | 14 + aps_.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 1246 bytes .../xsim.dir/im_tb_behav/Compile_Options.txt | 1 + .../im_tb_behav/TempBreakPointFile.txt | 1 + .../xsim/xsim.dir/im_tb_behav/obj/xsim_1.c | 108 + .../im_tb_behav/webtalk/.xsim_webtallk.info | 5 + .../webtalk/usage_statistics_ext_xsim.html | 53 + .../webtalk/usage_statistics_ext_xsim.wdm | 38 + .../webtalk/usage_statistics_ext_xsim.xml | 44 + .../im_tb_behav/webtalk/xsim_webtalk.tcl | 44 + .../behav/xsim/xsim.dir/im_tb_behav/xsim.dbg | Bin 0 -> 5864 bytes .../behav/xsim/xsim.dir/im_tb_behav/xsim.mem | Bin 0 -> 2964 bytes .../xsim/xsim.dir/im_tb_behav/xsim.reloc | Bin 0 -> 1020 bytes .../behav/xsim/xsim.dir/im_tb_behav/xsim.rlx | 12 + .../behav/xsim/xsim.dir/im_tb_behav/xsim.rtti | Bin 0 -> 431 bytes .../xsim/xsim.dir/im_tb_behav/xsim.svtype | Bin 0 -> 120 bytes .../behav/xsim/xsim.dir/im_tb_behav/xsim.type | Bin 0 -> 24 bytes .../behav/xsim/xsim.dir/im_tb_behav/xsim.xdbg | Bin 0 -> 1944 bytes .../xsim.dir/im_tb_behav/xsimSettings.ini | 50 + .../xsim/xsim.dir/im_tb_behav/xsimcrash.log | 0 .../xsim/xsim.dir/im_tb_behav/xsimkernel.log | 7 + .../xsim.dir/top_tb_behav/Compile_Options.txt | 1 + .../top_tb_behav/TempBreakPointFile.txt | 1 + .../xsim/xsim.dir/top_tb_behav/obj/xsim_1.c | 132 + .../top_tb_behav/webtalk/.xsim_webtallk.info | 5 + .../webtalk/usage_statistics_ext_xsim.html | 53 + .../webtalk/usage_statistics_ext_xsim.xml | 44 + .../behav/xsim/xsim.dir/top_tb_behav/xsim.dbg | Bin 0 -> 10392 bytes .../behav/xsim/xsim.dir/top_tb_behav/xsim.mem | Bin 0 -> 4710 bytes .../xsim/xsim.dir/top_tb_behav/xsim.reloc | Bin 0 -> 2202 bytes .../behav/xsim/xsim.dir/top_tb_behav/xsim.rlx | 12 + .../xsim/xsim.dir/top_tb_behav/xsim.rtti | Bin 0 -> 367 bytes .../xsim/xsim.dir/top_tb_behav/xsim.svtype | Bin 0 -> 93 bytes .../xsim/xsim.dir/top_tb_behav/xsim.type | Bin 0 -> 24 bytes .../xsim/xsim.dir/top_tb_behav/xsim.xdbg | Bin 0 -> 9152 bytes .../xsim.dir/top_tb_behav/xsimSettings.ini | 50 + .../xsim/xsim.dir/top_tb_behav/xsimcrash.log | 0 .../xsim/xsim.dir/top_tb_behav/xsimkernel.log | 7 + .../xsim/xsim.dir/xil_defaultlib/@s@e.sdb | Bin 0 -> 743 bytes .../xsim.dir/xil_defaultlib/alu_riscv.sdb | Bin 0 -> 3870 bytes .../xsim.dir/xil_defaultlib/alu_riscv_tb.sdb | Bin 0 -> 7437 bytes .../xsim/xsim.dir/xil_defaultlib/cpu_top.sdb | Bin 0 -> 4025 bytes .../xsim/xsim.dir/xil_defaultlib/glbl.sdb | Bin 0 -> 3684 bytes .../xsim/xsim.dir/xil_defaultlib/im_tb.sdb | Bin 0 -> 996 bytes .../xil_defaultlib/instruction_memory.sdb | Bin 0 -> 1136 bytes .../behav/xsim/xsim.dir/xil_defaultlib/pc.sdb | Bin 0 -> 455 bytes .../xsim/xsim.dir/xil_defaultlib/rf_riscv.sdb | Bin 0 -> 1569 bytes .../xsim/xsim.dir/xil_defaultlib/rf_tb.sdb | Bin 0 -> 2569 bytes .../xsim/xsim.dir/xil_defaultlib/top.sdb | Bin 0 -> 3869 bytes .../xsim/xsim.dir/xil_defaultlib/top_new.sdb | Bin 0 -> 4036 bytes .../xsim/xsim.dir/xil_defaultlib/top_tb.sdb | Bin 0 -> 1286 bytes .../xil_defaultlib/xil_defaultlib.rlx | 14 + aps_.sim/sim_1/behav/xsim/xsim.ini | 1 + aps_.sim/sim_1/behav/xsim/xvlog.log | 0 aps_.sim/sim_1/behav/xsim/xvlog.pb | 4 + .../imports/apsLabs/Nexys-A7-100T-Master.xdc | 213 ++ aps_.srcs/sim_1/new/alu_riscv_tb.sv | 129 + aps_.srcs/sim_1/new/im_tb.sv | 16 + aps_.srcs/sim_1/new/rf_tb.sv | 49 + aps_.srcs/sim_1/new/top_tb.sv | 27 + aps_.srcs/sources_1/new/alu_riscv.sv | 33 + aps_.srcs/sources_1/new/cpu_top.sv | 69 + aps_.srcs/sources_1/new/defines.v | 54 + aps_.srcs/sources_1/new/instruction_memory.sv | 16 + aps_.srcs/sources_1/new/prog.txt | 4 + aps_.srcs/sources_1/new/rf_riscv.sv | 23 + aps_.xpr | 288 ++ desktop.ini | 4 + top_tb_behav.wcfg | 47 + vivado.jou | 58 + vivado.log | 537 ++++ vivado_pid22044.str | 2583 +++++++++++++++++ 141 files changed, 7736 insertions(+) create mode 100644 .gitattributes create mode 100644 .gitignore create mode 100644 LICENSE create mode 100644 README.md create mode 100644 aps_.cache/wt/gui_handlers.wdf create mode 100644 aps_.cache/wt/java_command_handlers.wdf create mode 100644 aps_.cache/wt/project.wpc create mode 100644 aps_.cache/wt/synthesis.wdf create mode 100644 aps_.cache/wt/synthesis_details.wdf create mode 100644 aps_.cache/wt/webtalk_pa.xml create mode 100644 aps_.cache/wt/xsim.wdf create mode 100644 aps_.hw/aps_.lpr create mode 100644 aps_.hw/hw_1/hw.xml create mode 100644 aps_.ip_user_files/README.txt create mode 100644 aps_.ip_user_files/mem_init_files/prog.txt create mode 100644 aps_.runs/.jobs/vrs_config_1.xml create mode 100644 aps_.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 aps_.runs/synth_1/.Xil/top_propImpl.xdc create mode 100644 aps_.runs/synth_1/.vivado.begin.rst create mode 100644 aps_.runs/synth_1/.vivado.end.rst create mode 100644 aps_.runs/synth_1/ISEWrap.js create mode 100644 aps_.runs/synth_1/ISEWrap.sh create mode 100644 aps_.runs/synth_1/__synthesis_is_complete__ create mode 100644 aps_.runs/synth_1/gen_run.xml create mode 100644 aps_.runs/synth_1/htr.txt create mode 100644 aps_.runs/synth_1/prog.txt create mode 100644 aps_.runs/synth_1/project.wdf create mode 100644 aps_.runs/synth_1/rundef.js create mode 100644 aps_.runs/synth_1/runme.bat create mode 100644 aps_.runs/synth_1/runme.log create mode 100644 aps_.runs/synth_1/runme.sh create mode 100644 aps_.runs/synth_1/top.dcp create mode 100644 aps_.runs/synth_1/top.tcl create mode 100644 aps_.runs/synth_1/top.vds create mode 100644 aps_.runs/synth_1/top_utilization_synth.pb create mode 100644 aps_.runs/synth_1/top_utilization_synth.rpt create mode 100644 aps_.runs/synth_1/vivado.jou create mode 100644 aps_.runs/synth_1/vivado.pb create mode 100644 aps_.sim/sim_1/behav/xsim/alu_riscv_tb.tcl create mode 100644 aps_.sim/sim_1/behav/xsim/alu_riscv_tb_behav.wdb create mode 100644 aps_.sim/sim_1/behav/xsim/alu_riscv_tb_vlog.prj create mode 100644 aps_.sim/sim_1/behav/xsim/compile.bat create mode 100644 aps_.sim/sim_1/behav/xsim/compile.log create mode 100644 aps_.sim/sim_1/behav/xsim/elaborate.bat create mode 100644 aps_.sim/sim_1/behav/xsim/elaborate.log create mode 100644 aps_.sim/sim_1/behav/xsim/glbl.v create mode 100644 aps_.sim/sim_1/behav/xsim/im_tb.tcl create mode 100644 aps_.sim/sim_1/behav/xsim/im_tb_behav.wdb create mode 100644 aps_.sim/sim_1/behav/xsim/im_tb_vlog.prj create mode 100644 aps_.sim/sim_1/behav/xsim/prog.txt create mode 100644 aps_.sim/sim_1/behav/xsim/rf_tb.tcl create mode 100644 aps_.sim/sim_1/behav/xsim/rf_tb_behav.wdb create mode 100644 aps_.sim/sim_1/behav/xsim/rf_tb_vlog.prj create mode 100644 aps_.sim/sim_1/behav/xsim/simulate.bat create mode 100644 aps_.sim/sim_1/behav/xsim/simulate.log create mode 100644 aps_.sim/sim_1/behav/xsim/top_tb.tcl create mode 100644 aps_.sim/sim_1/behav/xsim/top_tb_behav.wdb create mode 100644 aps_.sim/sim_1/behav/xsim/top_tb_vlog.prj create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk.jou create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk.log create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_15744.backup.jou create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_15744.backup.log create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_19012.backup.jou create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_19012.backup.log create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_7268.backup.jou create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_7268.backup.log create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_9284.backup.jou create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_9284.backup.log create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_9300.backup.jou create mode 100644 aps_.sim/sim_1/behav/xsim/webtalk_9300.backup.log create mode 100644 aps_.sim/sim_1/behav/xsim/xelab.pb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/Compile_Options.txt create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/TempBreakPointFile.txt create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/obj/xsim_1.c create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/.xsim_webtallk.info create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.html create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.wdm create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.dbg create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.mem create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.reloc create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.rlx create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.rtti create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.svtype create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.type create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.xdbg create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsimSettings.ini create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsimcrash.log create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsimkernel.log create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/Compile_Options.txt create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/TempBreakPointFile.txt create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/obj/xsim_1.c create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/.xsim_webtallk.info create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/usage_statistics_ext_xsim.html create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.dbg create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.mem create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.reloc create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.rlx create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.rtti create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.svtype create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.type create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.xdbg create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimSettings.ini create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimcrash.log create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimkernel.log create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@s@e.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu_riscv.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/alu_riscv_tb.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/cpu_top.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/im_tb.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instruction_memory.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/rf_riscv.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/rf_tb.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/top.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/top_new.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/top_tb.sdb create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 aps_.sim/sim_1/behav/xsim/xsim.ini create mode 100644 aps_.sim/sim_1/behav/xsim/xvlog.log create mode 100644 aps_.sim/sim_1/behav/xsim/xvlog.pb create mode 100644 aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc create mode 100644 aps_.srcs/sim_1/new/alu_riscv_tb.sv create mode 100644 aps_.srcs/sim_1/new/im_tb.sv create mode 100644 aps_.srcs/sim_1/new/rf_tb.sv create mode 100644 aps_.srcs/sim_1/new/top_tb.sv create mode 100644 aps_.srcs/sources_1/new/alu_riscv.sv create mode 100644 aps_.srcs/sources_1/new/cpu_top.sv create mode 100644 aps_.srcs/sources_1/new/defines.v create mode 100644 aps_.srcs/sources_1/new/instruction_memory.sv create mode 100644 aps_.srcs/sources_1/new/prog.txt create mode 100644 aps_.srcs/sources_1/new/rf_riscv.sv create mode 100644 aps_.xpr create mode 100644 desktop.ini create mode 100644 top_tb_behav.wcfg create mode 100644 vivado.jou create mode 100644 vivado.log create mode 100644 vivado_pid22044.str diff --git a/.gitattributes b/.gitattributes new file mode 100644 index 0000000..dfe0770 --- /dev/null +++ b/.gitattributes @@ -0,0 +1,2 @@ +# Auto detect text files and perform LF normalization +* text=auto diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..c6127b3 --- /dev/null +++ b/.gitignore @@ -0,0 +1,52 @@ +# Prerequisites +*.d + +# Object files +*.o +*.ko +*.obj +*.elf + +# Linker output +*.ilk +*.map +*.exp + +# Precompiled Headers +*.gch +*.pch + +# Libraries +*.lib +*.a +*.la +*.lo + +# Shared objects (inc. Windows DLLs) +*.dll +*.so +*.so.* +*.dylib + +# Executables +*.exe +*.out +*.app +*.i*86 +*.x86_64 +*.hex + +# Debug files +*.dSYM/ +*.su +*.idb +*.pdb + +# Kernel Module Compile Results +*.mod* +*.cmd +.tmp_versions/ +modules.order +Module.symvers +Mkfile.old +dkms.conf diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..9244f61 --- /dev/null +++ b/LICENSE @@ -0,0 +1,21 @@ +MIT License + +Copyright (c) 2022 Alex Parfenov + +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in all +copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE +SOFTWARE. diff --git a/README.md b/README.md new file mode 100644 index 0000000..9fa459e --- /dev/null +++ b/README.md @@ -0,0 +1,2 @@ +# RISC-V_CPU + diff --git a/aps_.cache/wt/gui_handlers.wdf b/aps_.cache/wt/gui_handlers.wdf new file mode 100644 index 0000000..515adb4 --- /dev/null +++ b/aps_.cache/wt/gui_handlers.wdf @@ -0,0 +1,122 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61627374726163747361766561736469616c6f675f6e616d65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f73696d756c6174696f6e5f73706563696669635f68646c5f66696c6573:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6170706c79:3137:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f636c6f7365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6e6f:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:313132:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f796573:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:3137:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e6669726d736176657465787465646974736469616c6f675f796573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f636f70795f636f6e73747261696e74735f66696c65735f696e746f5f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:3137:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:3136:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:64656661756c746f7074696f6e70616e655f636c6f7365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:646566696e656d6f64756c65736469616c6f675f656e746974795f6e616d65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f66616d696c79:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f667067615f7461626c65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f7061636b616765:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f7370656564:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:353038:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f6d65737361676573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f6174696e67746f706469616c6f675f69676e6f72655f616e645f636f6e74696e75655f776974685f696e76616c69645f746f70:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:313837:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6f70656e5f70726f6a656374:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:3139:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:313335:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:696e7374616e63656d656e755f666c6f6f72706c616e6e696e67:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c616e677561676574656d706c617465736469616c6f675f74656d706c617465735f74726565:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c61756e636870616e656c5f67656e65726174655f736372697074735f6f6e6c79:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c61756e636870616e656c5f6c61756e63685f72756e735f6f6e5f6c6f63616c5f686f7374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c6f676d6f6e69746f725f6d6f6e69746f72:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f65646974:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f666c6f6f72706c616e6e696e67:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f666c6f77:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f696f5f706c616e6e696e67:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f7265706f727473:3132:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746f6f6c73:3237:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f77696e646f77:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e6d656e756d67725f6c61796f7574:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e746f6f6c6261726d67725f73656c6563745f6f725f736176655f77696e646f775f6c61796f7574:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d657373616765776974686f7074696f6e6469616c6f675f646f6e745f73686f775f746869735f6469616c6f675f616761696e:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3330:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f637269746963616c5f7761726e696e6773:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f696e666f726d6174696f6e5f6d65737361676573:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f7761726e696e675f6d65737361676573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e65746c697374736368656d61746963766965775f73686f775f63656c6c735f696e5f746869735f736368656d61746963:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e657770726f6a65637477697a6172645f646f5f6e6f745f737065636966795f736f75726365735f61745f746869735f74696d65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f70656e66696c65616374696f6e5f6f70656e5f6469726563746f7279:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6f7074696f6e73766965775f636c6f7365:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:3231:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f6669745f73656c656374696f6e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:3437:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6c616e67756167655f74656d706c61746573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f68617264776172655f6d616e61676572:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f72746c5f64657369676e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72656c6f61645f72746c5f64657369676e:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f7274735f77696e646f77:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f73796e746865736973:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f736368656d61746963:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f61735f746f70:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f627265616b:3230:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f72756e:3730:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f72756e5f616c6c:3432:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f7265736574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:3635:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:3336:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f646576696365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:3631:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f736368656d61746963:3134:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d64656275677461625f70726f6772616d5f646576696365:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:39:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f737065636966795f62697473747265616d5f66696c65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f63616e63656c:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563745f736176655f70726f6a6563745f6173:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f637573746f6d5f636f6d6d616e6473:39:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f6c696e655f636f6d6d656e74:39:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f70726f70657274696573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f7265646f:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f73657474696e6773:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f756e646f:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:3438:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72656d6f7665736f75726365736469616c6f675f616c736f5f64656c657465:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7363686f7074696f6e73766965775f617474726962757465735f7461626c65:3238:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:736368656d61746963766965775f726567656e6572617465:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73656c6563746d656e755f686967686c69676874:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e67736469616c6f675f6f7074696f6e735f74726565:3433:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e67736469616c6f675f70726f6a6563745f74726565:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e6773656469746f72706167655f636865636b5f746f5f706572666f726d5f666f6c64696e675f6f665f636f64655f7370616e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e67737468656d6570616e656c5f736176655f6173:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73657474696e67737468656d6570616e656c5f73656c6563745f636f6c6f72735f616e645f666f6e745f746861745f68617665:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6f626a6563747370616e656c5f73696d756c6174696f6e5f6f626a656374735f747265655f7461626c65:313237:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:313033:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e7461625f64656c6574655f616c6c5f627265616b706f696e7473:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:3136:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:3530:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f726566726573685f686965726172636879:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:3538:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:74636c6f626a656374747265657461626c655f747265657461626c65:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:746f756368706f696e747375727665796469616c6f675f6e6f:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:3334:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6f7074696f6e73766965775f72657365745f746f5f64656661756c7473:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f676f746f5f6c6173745f74696d65:3236:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d766965775f676f746f5f74696d655f30:3231:00:00 +eof:1319385472 diff --git a/aps_.cache/wt/java_command_handlers.wdf b/aps_.cache/wt/java_command_handlers.wdf new file mode 100644 index 0000000..7f16bd8 --- /dev/null +++ b/aps_.cache/wt/java_command_handlers.wdf @@ -0,0 +1,38 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:3232:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637265617465626c6f636b64657369676e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:3131:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697470726f70657274696573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65646974756e646f:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:666c6970746f766965777461736b72746c616e616c79736973:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e64657369676e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3138:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:37:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:37:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:39:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72656c6f616464657369676e:37:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7265706f72746d6574686f646f6c6f6779:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:38:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e736368656d61746963:39:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736176656c61796f75746173:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766570726f6a6563746173:33:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746f706e6f6465:3135:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e627265616b:3230:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:3633:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e616c6c:3338:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e666f7274696d65:3534:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f67676c656175746f66697473656c656374696f6e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:37:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7374656d706c61746573:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b70726f6a6563746d616e61676572:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b72746c616e616c79736973:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73696d756c6174696f6e:31:00:00 +eof:2306631163 diff --git a/aps_.cache/wt/project.wpc b/aps_.cache/wt/project.wpc new file mode 100644 index 0000000..2bbb5fb --- /dev/null +++ b/aps_.cache/wt/project.wpc @@ -0,0 +1,4 @@ +version:1 +57656254616c6b5472616e736d697373696f6e417474656d70746564:7 +6d6f64655f636f756e7465727c4755494d6f6465:12 +eof: diff --git a/aps_.cache/wt/synthesis.wdf b/aps_.cache/wt/synthesis.wdf new file mode 100644 index 0000000..08abeed --- /dev/null +++ b/aps_.cache/wt/synthesis.wdf @@ -0,0 +1,39 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761313030746373673332342d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:746f70:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a333573:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:3837382e3632354d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3538342e3736324d42:00:00 +eof:94426797 diff --git a/aps_.cache/wt/synthesis_details.wdf b/aps_.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/aps_.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/aps_.cache/wt/webtalk_pa.xml b/aps_.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..add74fd --- /dev/null +++ b/aps_.cache/wt/webtalk_pa.xml @@ -0,0 +1,186 @@ + + + + +
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
diff --git a/aps_.cache/wt/xsim.wdf b/aps_.cache/wt/xsim.wdf new file mode 100644 index 0000000..50afb2c --- /dev/null +++ b/aps_.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:241934075 diff --git a/aps_.hw/aps_.lpr b/aps_.hw/aps_.lpr new file mode 100644 index 0000000..ea70b5a --- /dev/null +++ b/aps_.hw/aps_.lpr @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/aps_.hw/hw_1/hw.xml b/aps_.hw/hw_1/hw.xml new file mode 100644 index 0000000..b472ba4 --- /dev/null +++ b/aps_.hw/hw_1/hw.xml @@ -0,0 +1,17 @@ + + + + + + + + + + + + + + + + + diff --git a/aps_.ip_user_files/README.txt b/aps_.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/aps_.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/aps_.ip_user_files/mem_init_files/prog.txt b/aps_.ip_user_files/mem_init_files/prog.txt new file mode 100644 index 0000000..80ff991 --- /dev/null +++ b/aps_.ip_user_files/mem_init_files/prog.txt @@ -0,0 +1,4 @@ +0_0_01_00000_00000_00000_00000000_00001 +0_0_10_00000_00000_00000_00000101_00010 +0_0_11_00000_00001_00010_00000000_00011 +1_0_00_00000_00011_00000_00000000_00000 diff --git a/aps_.runs/.jobs/vrs_config_1.xml b/aps_.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..4ed891f --- /dev/null +++ b/aps_.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/aps_.runs/synth_1/.Vivado_Synthesis.queue.rst b/aps_.runs/synth_1/.Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/aps_.runs/synth_1/.Xil/top_propImpl.xdc b/aps_.runs/synth_1/.Xil/top_propImpl.xdc new file mode 100644 index 0000000..a12dc30 --- /dev/null +++ b/aps_.runs/synth_1/.Xil/top_propImpl.xdc @@ -0,0 +1,111 @@ +set_property SRC_FILE_INFO {cfile:C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc rfile:../../../aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { CLK100MHZ }]; #IO_L12P_T1_MRCC_35 Sch=clk100mhz +set_property src_info {type:XDC file:1 line:12 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J15 IOSTANDARD LVCMOS33 } [get_ports { SW[0] }]; #IO_L24N_T3_RS0_15 Sch=sw[0] +set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L16 IOSTANDARD LVCMOS33 } [get_ports { SW[1] }]; #IO_L3N_T0_DQS_EMCCLK_14 Sch=sw[1] +set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M13 IOSTANDARD LVCMOS33 } [get_ports { SW[2] }]; #IO_L6N_T0_D08_VREF_14 Sch=sw[2] +set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R15 IOSTANDARD LVCMOS33 } [get_ports { SW[3] }]; #IO_L13N_T2_MRCC_14 Sch=sw[3] +set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R17 IOSTANDARD LVCMOS33 } [get_ports { SW[4] }]; #IO_L12N_T1_MRCC_14 Sch=sw[4] +set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T18 IOSTANDARD LVCMOS33 } [get_ports { SW[5] }]; #IO_L7N_T1_D10_14 Sch=sw[5] +set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U18 IOSTANDARD LVCMOS33 } [get_ports { SW[6] }]; #IO_L17N_T2_A13_D29_14 Sch=sw[6] +set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R13 IOSTANDARD LVCMOS33 } [get_ports { SW[7] }]; #IO_L5N_T0_D07_14 Sch=sw[7] +set_property src_info {type:XDC file:1 line:20 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T8 IOSTANDARD LVCMOS18 } [get_ports { SW[8] }]; #IO_L24N_T3_34 Sch=sw[8] +set_property src_info {type:XDC file:1 line:21 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U8 IOSTANDARD LVCMOS18 } [get_ports { SW[9] }]; #IO_25_34 Sch=sw[9] +set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R16 IOSTANDARD LVCMOS33 } [get_ports { SW[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10] +set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T13 IOSTANDARD LVCMOS33 } [get_ports { SW[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11] +set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { SW[12] }]; #IO_L24P_T3_35 Sch=sw[12] +set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U12 IOSTANDARD LVCMOS33 } [get_ports { SW[13] }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13] +set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U11 IOSTANDARD LVCMOS33 } [get_ports { SW[14] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=sw[14] +set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V10 IOSTANDARD LVCMOS33 } [get_ports { SW[15] }]; #IO_L21P_T3_DQS_14 Sch=sw[15] +set_property src_info {type:XDC file:1 line:30 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] +set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] +set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] +set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] +set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { LED[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] +set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { LED[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] +set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { LED[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] +set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { LED[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] +set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { LED[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { LED[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] +set_property src_info {type:XDC file:1 line:40 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { LED[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] +set_property src_info {type:XDC file:1 line:41 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { LED[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] +set_property src_info {type:XDC file:1 line:42 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { LED[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] +set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { LED[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] +set_property src_info {type:XDC file:1 line:44 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { LED[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] +set_property src_info {type:XDC file:1 line:45 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { LED[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] +set_property src_info {type:XDC file:1 line:48 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { LED16_B }]; #IO_L5P_T0_D06_14 Sch=led16_b +set_property src_info {type:XDC file:1 line:49 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { LED16_G }]; #IO_L10P_T1_D14_14 Sch=led16_g +set_property src_info {type:XDC file:1 line:50 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { LED16_R }]; #IO_L11P_T1_SRCC_14 Sch=led16_r +set_property src_info {type:XDC file:1 line:51 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { LED17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b +set_property src_info {type:XDC file:1 line:52 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { LED17_G }]; #IO_0_14 Sch=led17_g +set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { LED17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r +set_property src_info {type:XDC file:1 line:56 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { CA }]; #IO_L24N_T3_A00_D16_14 Sch=ca +set_property src_info {type:XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { CB }]; #IO_25_14 Sch=cb +set_property src_info {type:XDC file:1 line:58 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { CC }]; #IO_25_15 Sch=cc +set_property src_info {type:XDC file:1 line:59 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { CD }]; #IO_L17P_T2_A26_15 Sch=cd +set_property src_info {type:XDC file:1 line:60 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { CE }]; #IO_L13P_T2_MRCC_14 Sch=ce +set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { CF }]; #IO_L19P_T3_A10_D26_14 Sch=cf +set_property src_info {type:XDC file:1 line:62 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { CG }]; #IO_L4P_T0_D04_14 Sch=cg +set_property src_info {type:XDC file:1 line:63 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { DP }]; #IO_L19N_T3_A21_VREF_15 Sch=dp +set_property src_info {type:XDC file:1 line:64 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { AN[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] +set_property src_info {type:XDC file:1 line:65 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { AN[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] +set_property src_info {type:XDC file:1 line:66 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { AN[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] +set_property src_info {type:XDC file:1 line:67 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { AN[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] +set_property src_info {type:XDC file:1 line:68 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { AN[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] +set_property src_info {type:XDC file:1 line:69 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { AN[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] +set_property src_info {type:XDC file:1 line:70 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { AN[6] }]; #IO_L23P_T3_35 Sch=an[6] +set_property src_info {type:XDC file:1 line:71 export:INPUT save:INPUT read:READ} [current_design] +set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { AN[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] diff --git a/aps_.runs/synth_1/.vivado.begin.rst b/aps_.runs/synth_1/.vivado.begin.rst new file mode 100644 index 0000000..96b8049 --- /dev/null +++ b/aps_.runs/synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/aps_.runs/synth_1/.vivado.end.rst b/aps_.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/aps_.runs/synth_1/ISEWrap.js b/aps_.runs/synth_1/ISEWrap.js new file mode 100644 index 0000000..97a2ecb --- /dev/null +++ b/aps_.runs/synth_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/aps_.runs/synth_1/ISEWrap.sh b/aps_.runs/synth_1/ISEWrap.sh new file mode 100644 index 0000000..f679f2e --- /dev/null +++ b/aps_.runs/synth_1/ISEWrap.sh @@ -0,0 +1,67 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/aps_.runs/synth_1/__synthesis_is_complete__ b/aps_.runs/synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/aps_.runs/synth_1/gen_run.xml b/aps_.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..aa18cab --- /dev/null +++ b/aps_.runs/synth_1/gen_run.xml @@ -0,0 +1,109 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/aps_.runs/synth_1/htr.txt b/aps_.runs/synth_1/htr.txt new file mode 100644 index 0000000..9ceec8d --- /dev/null +++ b/aps_.runs/synth_1/htr.txt @@ -0,0 +1,9 @@ +REM +REM Vivado(TM) +REM htr.txt: a Vivado-generated description of how-to-repeat the +REM the basic steps of a run. Note that runme.bat/sh needs +REM to be invoked for Vivado to track run status. +REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +REM + +vivado -log top.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source top.tcl diff --git a/aps_.runs/synth_1/prog.txt b/aps_.runs/synth_1/prog.txt new file mode 100644 index 0000000..a93e42e --- /dev/null +++ b/aps_.runs/synth_1/prog.txt @@ -0,0 +1,9 @@ +0_0_10_00000_00000_00000_00001101_00001 +0_0_10_00000_00000_00000_00000000_00100 +0_0_01_00000_00000_00000_00000000_00010 +0_0_10_00000_00000_00000_00000001_00011 +0_1_00_10000_00010_00000_00000100_00000 +0_0_11_00000_00100_00001_00000000_00100 +0_0_11_01000_00010_00011_00000000_00010 +1_0_00_00000_00000_00000_11111101_00000 +1_0_00_00000_00100_00000_00000000_00000 \ No newline at end of file diff --git a/aps_.runs/synth_1/project.wdf b/aps_.runs/synth_1/project.wdf new file mode 100644 index 0000000..ea0420b --- /dev/null +++ b/aps_.runs/synth_1/project.wdf @@ -0,0 +1,31 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:38:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:56697661646f2053796e7468657369732044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:566572696c6f67:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3334:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3838666431336334323465323431613262316437323639643933393630383265:506172656e742050412070726f6a656374204944:00 +eof:2853720970 diff --git a/aps_.runs/synth_1/rundef.js b/aps_.runs/synth_1/rundef.js new file mode 100644 index 0000000..fb556dd --- /dev/null +++ b/aps_.runs/synth_1/rundef.js @@ -0,0 +1,36 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +// + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "C:/Xilinx/SDK/2019.1/bin;C:/Xilinx/Vivado/2019.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2019.1/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2019.1/bin;"; +} else { + PathVal = "C:/Xilinx/SDK/2019.1/bin;C:/Xilinx/Vivado/2019.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2019.1/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2019.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log top.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source top.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/aps_.runs/synth_1/runme.bat b/aps_.runs/synth_1/runme.bat new file mode 100644 index 0000000..1760626 --- /dev/null +++ b/aps_.runs/synth_1/runme.bat @@ -0,0 +1,10 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/aps_.runs/synth_1/runme.log b/aps_.runs/synth_1/runme.log new file mode 100644 index 0000000..ff8f3fc --- /dev/null +++ b/aps_.runs/synth_1/runme.log @@ -0,0 +1,509 @@ + +*** Running vivado + with args -log top.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source top.tcl + + +****** Vivado v2019.1 (64-bit) + **** SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 + **** IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 + ** Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. + +source top.tcl -notrace +Command: synth_design -top top -part xc7a100tcsg324-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 1876 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 693.348 ; gain = 177.703 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'top' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:4] + Parameter COUNTER_WIDTH bound to: 5 - type: integer +WARNING: [Synth 8-311] ignoring non-constant assignment in initial block [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:16] +INFO: [Synth 8-6157] synthesizing module 'SE' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/SE.sv:5] +INFO: [Synth 8-6155] done synthesizing module 'SE' (1#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/SE.sv:5] +WARNING: [Synth 8-689] width (8) of port connection 'extended_constant' does not match port width (32) of module 'SE' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:29] +INFO: [Synth 8-6157] synthesizing module 'instruction_memory' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv:3] + Parameter WIDTH bound to: 32'sb00000000000000000000000000100000 + Parameter DEPTH bound to: 32'sb00000000000000000000000001000000 +INFO: [Synth 8-3876] $readmem data file 'prog.txt' is read successfully [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv:15] +INFO: [Synth 8-6155] done synthesizing module 'instruction_memory' (2#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv:3] +INFO: [Synth 8-6157] synthesizing module 'rf_riscv' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/rf_riscv.sv:3] +INFO: [Synth 8-6155] done synthesizing module 'rf_riscv' (3#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/rf_riscv.sv:3] +INFO: [Synth 8-6157] synthesizing module 'alu_riscv' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:4] +WARNING: [Synth 8-151] case item 5'b11000 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:24] +WARNING: [Synth 8-151] case item 5'b11001 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:25] +WARNING: [Synth 8-151] case item 5'b11100 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:26] +WARNING: [Synth 8-151] case item 5'b11101 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:27] +WARNING: [Synth 8-151] case item 5'b11110 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:28] +WARNING: [Synth 8-151] case item 5'b11111 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:29] +INFO: [Synth 8-6155] done synthesizing module 'alu_riscv' (4#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:4] +WARNING: [Synth 8-689] width (5) of port connection 'ALUOp' does not match port width (4) of module 'alu_riscv' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:94] +WARNING: [Synth 8-3848] Net extended_switch in module/entity top does not have driver. [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:15] +INFO: [Synth 8-6155] done synthesizing module 'top' (5#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:4] +WARNING: [Synth 8-3917] design top has port pcc[5] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[31] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[30] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[29] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[28] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[27] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[26] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[25] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[24] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[23] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[22] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[21] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[20] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[19] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[18] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[17] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[16] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[15] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[14] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[13] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[12] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[11] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[10] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[9] driven by constant 0 +WARNING: [Synth 8-3331] design top has unconnected port SW[15] +WARNING: [Synth 8-3331] design top has unconnected port SW[14] +WARNING: [Synth 8-3331] design top has unconnected port SW[13] +WARNING: [Synth 8-3331] design top has unconnected port SW[12] +WARNING: [Synth 8-3331] design top has unconnected port SW[11] +WARNING: [Synth 8-3331] design top has unconnected port SW[10] +WARNING: [Synth 8-3331] design top has unconnected port SW[9] +WARNING: [Synth 8-3331] design top has unconnected port SW[8] +WARNING: [Synth 8-3331] design top has unconnected port SW[7] +WARNING: [Synth 8-3331] design top has unconnected port SW[6] +WARNING: [Synth 8-3331] design top has unconnected port SW[5] +WARNING: [Synth 8-3331] design top has unconnected port SW[4] +WARNING: [Synth 8-3331] design top has unconnected port SW[3] +WARNING: [Synth 8-3331] design top has unconnected port SW[2] +WARNING: [Synth 8-3331] design top has unconnected port SW[1] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 757.234 ; gain = 241.590 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 757.234 ; gain = 241.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 757.234 ; gain = 241.590 +--------------------------------------------------------------------------------- +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc] +WARNING: [Vivado 12-584] No ports matched 'LED16_B'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:48] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:48] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED16_G'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:49] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:49] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED16_R'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:50] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:50] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED17_B'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:51] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:51] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED17_G'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:52] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:52] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED17_R'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:53] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:53] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CA'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:56] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:56] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CB'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:57] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:57] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CC'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:58] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:58] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CD'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:59] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:59] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CE'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:60] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:60] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CF'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:61] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:61] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CG'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:62] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:62] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'DP'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:63] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:63] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[0]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:64] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:64] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[1]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:65] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:65] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[2]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:66] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:66] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[3]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:67] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:67] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[4]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:68] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:68] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[5]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:69] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:69] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[6]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:70] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:70] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[7]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:71] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:71] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/top_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 837.828 ; gain = 0.000 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 837.828 ; gain = 0.000 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a100tcsg324-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- +INFO: [Synth 8-5544] ROM "ROM" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 3 Input 32 Bit Adders := 1 + 2 Input 5 Bit Adders := 1 ++---XORs : + 2 Input 32 Bit XORs := 1 ++---Registers : + 5 Bit Registers := 1 ++---RAMs : + 1024 Bit RAMs := 1 ++---Muxes : + 10 Input 32 Bit Muxes := 1 + 2 Input 32 Bit Muxes := 2 + 4 Input 32 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module top +Detailed RTL Component Info : ++---Adders : + 2 Input 5 Bit Adders := 1 ++---Registers : + 5 Bit Registers := 1 ++---Muxes : + 4 Input 32 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 1 +Module instruction_memory +Detailed RTL Component Info : ++---Muxes : + 10 Input 32 Bit Muxes := 1 +Module rf_riscv +Detailed RTL Component Info : ++---RAMs : + 1024 Bit RAMs := 1 ++---Muxes : + 2 Input 32 Bit Muxes := 2 +Module alu_riscv +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 3 Input 32 Bit Adders := 1 ++---XORs : + 2 Input 32 Bit XORs := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 240 (col length:80) +BRAMs: 270 (col length: RAMB18 80 RAMB36 40) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +Warning: Parallel synthesis criteria is not met +WARNING: [Synth 8-3917] design top has port pcc[5] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[31] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[30] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[29] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[28] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[27] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[26] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[25] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[24] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[23] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[22] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[21] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[20] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[19] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[18] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[17] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[16] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[15] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[14] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[13] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[12] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[11] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[10] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[9] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[8] driven by constant 0 +WARNING: [Synth 8-3331] design top has unconnected port SW[15] +WARNING: [Synth 8-3331] design top has unconnected port SW[14] +WARNING: [Synth 8-3331] design top has unconnected port SW[13] +WARNING: [Synth 8-3331] design top has unconnected port SW[12] +WARNING: [Synth 8-3331] design top has unconnected port SW[11] +WARNING: [Synth 8-3331] design top has unconnected port SW[10] +WARNING: [Synth 8-3331] design top has unconnected port SW[9] +WARNING: [Synth 8-3331] design top has unconnected port SW[8] +WARNING: [Synth 8-3331] design top has unconnected port SW[7] +WARNING: [Synth 8-3331] design top has unconnected port SW[6] +WARNING: [Synth 8-3331] design top has unconnected port SW[5] +WARNING: [Synth 8-3331] design top has unconnected port SW[4] +WARNING: [Synth 8-3331] design top has unconnected port SW[3] +WARNING: [Synth 8-3331] design top has unconnected port SW[2] +WARNING: [Synth 8-3331] design top has unconnected port SW[1] +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:17 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Distributed RAM: Preliminary Mapping Report (see note below) ++------------+------------+-----------+----------------------+---------------+ +|Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | ++------------+------------+-----------+----------------------+---------------+ +|top | rf/RAM_reg | Implied | 32 x 32 | RAM32M x 12 | ++------------+------------+-----------+----------------------+---------------+ + +Note: The table above is a preliminary report that shows the Distributed RAMs at the current stage of the synthesis flow. Some Distributed RAMs may be reimplemented as non Distributed RAM primitives later in the synthesis flow. Multiple instantiated RAMs are reported only once. +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 849.656 ; gain = 334.012 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 871.059 ; gain = 355.414 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Distributed RAM: Final Mapping Report ++------------+------------+-----------+----------------------+---------------+ +|Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | ++------------+------------+-----------+----------------------+---------------+ +|top | rf/RAM_reg | Implied | 32 x 32 | RAM32M x 12 | ++------------+------------+-----------+----------------------+---------------+ + +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 871.059 ; gain = 355.414 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 1| +|2 |CARRY4 | 10| +|3 |LUT1 | 1| +|4 |LUT2 | 1| +|5 |LUT3 | 3| +|6 |LUT4 | 9| +|7 |LUT5 | 17| +|8 |LUT6 | 54| +|9 |RAM32M | 6| +|10 |FDRE | 5| +|11 |IBUF | 2| +|12 |OBUF | 54| ++------+-------+------+ + +Report Instance Areas: ++------+---------+----------+------+ +| |Instance |Module |Cells | ++------+---------+----------+------+ +|1 |top | | 163| +|2 | alu |alu_riscv | 28| +|3 | rf |rf_riscv | 67| ++------+---------+----------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 40 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:26 . Memory (MB): peak = 878.625 ; gain = 282.387 +Synthesis Optimization Complete : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 16 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 895.750 ; gain = 0.000 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 6 instances were transformed. + RAM32M => RAM32M (RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMS32, RAMS32): 6 instances + +INFO: [Common 17-83] Releasing license: Synthesis +24 Infos, 111 Warnings, 22 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:34 ; elapsed = 00:00:37 . Memory (MB): peak = 895.750 ; gain = 601.887 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 895.750 ; gain = 0.000 +WARNING: [Constraints 18-5210] No constraints selected for write. +Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. +INFO: [Common 17-1381] The checkpoint 'C:/Users/Alex/APS/aps_/aps_.runs/synth_1/top.dcp' has been generated. +INFO: [runtcl-4] Executing : report_utilization -file top_utilization_synth.rpt -pb top_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Oct 19 19:58:15 2022... diff --git a/aps_.runs/synth_1/runme.sh b/aps_.runs/synth_1/runme.sh new file mode 100644 index 0000000..d0a7d7b --- /dev/null +++ b/aps_.runs/synth_1/runme.sh @@ -0,0 +1,43 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +# + +echo "This script was generated under a different operating system." +echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" +exit + +if [ -z "$PATH" ]; then + PATH=C:/Xilinx/SDK/2019.1/bin;C:/Xilinx/Vivado/2019.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2019.1/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2019.1/bin +else + PATH=C:/Xilinx/SDK/2019.1/bin;C:/Xilinx/Vivado/2019.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2019.1/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2019.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='C:/Users/Alex/APS/aps_/aps_.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log top.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source top.tcl diff --git a/aps_.runs/synth_1/top.dcp b/aps_.runs/synth_1/top.dcp new file mode 100644 index 0000000000000000000000000000000000000000..6d36828b189694bba19c626b02e9332d3277faa1 GIT binary patch literal 40299 zcmZs?1C$+6*Dcyfr)}G|ZQHhOD{XhDZQFLzwr$(C@lNu6|Gn>jLq1+m-(QN;geU~}+DAN|WNAR6PR5>fX5@-5OI&QGvN?H_1$>~ zi_v1s4rbZ+lMfADIp_Vx$TEyT>UY3LR$vZ{1iy(T3H34*B!q5P`kDPJk0Z{u#9|wt zn~xrf+i_yXMaQD+)aC;1H)dP$fi#=c6r1F?j6}0FQC%7%4lLTigGc8WL+vP?iQEUV z7v8XPllGN^TcRq_w8vo@r=ZJt%R;D76M6`F7F(Dr*ZY^L=+=p~nv*3}gGmO)tEyoaV*rAKiR{d+|`;`q~-`A8ibch<>fne^l2EHDZ{; z^-%uW;Ll-bF4)*6VSVh%DW9!UR#erMM0W#j1GZ^leBjndYMCusEJ15{n%R9lR6wpe zo6;-3TU&WhNfZt=uT}Vbw0|}6)OdeCx_E>F)x5mX zOqp-1nc4I0Qo^IVe>%%4j%@H1n;HxS3l#6knX2X$wtDO0_He1d=U!>c{CpePv`Zi} zV{1u!Ijd>8yIUh`S;{#&np>mxab)T6%7=blTBE}(ETToI$k3tanL2_p@jIWppL=+> z((q^^Rp%;q8Q$OpA9o(fp+3w zLHxd3fvw#8Kzyb_c(=1o;QDwhJgi-L-nirU$5k?XYQEAMtWz)BqlAY!Y<=2O#dHIGmf44v z*`VbsQw!%{ zd?Vow@$S?vZ}$qeyzZYM-xDDrdJl z5wN8?gnc!5r+;E#qxSTIM~#zo+VFBO#2V8ekbK(3+Mv;#1(VNmU4c^El{8RC$Z@`_ z=iAZ?8kRu4sY;zd3#G0{+XPV#U1^@Cf-Yq8;yxYXIL4NEDy8>rHp;_7IySVjaSUid zO^PRh;=ZK;J-?@PGUmzsw7wOzi?L2&U#HuXngD_RR#i^R4 z;|0R5((EtEEk1kKB2G%D3)L3gyOXz8iUppG&)}CwMmy+-DLgE+6E;@s_9j_3!~GeC zn@qB<$zv{s7M)uwk)phokQhGL`5b4lud2^dUx5Txv3yp$`i7>gV=NU)4A7zbP4;xY zH?Kgmz@CP;1NL^j=sp8(^wO^o;D@W+-yJ1F_Zjx<@88&MH_*gHY|KAvzSil6E|Lz_ zN!Oo!)M1;ETZqkGanv`}KA$_Ouc!il>LouV3UxA#d5scor5*4b#9j5*A6s8h^!tg4z&J|I&Wf>SY~8$(HC>3-HH`UW`49${2qz=Z`$o3Ga1=Mh77C^1IVxL(*0 z;UirvMarmoyEa+;1Ig!-DH6E3{Gi=|4i;A*M$_B+yxR$3-o(`8)9+^pl7d0sgKKf~ z3Wdo}T7nUu=*Rqi^=Ft&l#P`_An&;HNBKmMM6EOuSs|6lhA6|8ODXwqlKHLYQ9 z>IMG3dFTNiwrT1PIFKTv33DJ6CX~gdr1)hq#es18I3xZ&K!OOur?>~Vx3`~V z25@ilOyt6Y|M!4T@x$Rob$CpwWs+hTcykUK(trBDhj_u`0_L+!X=88$CUxCt3=qZP zj&Yrn5wEnS*@P!XjZ(52Jhfl>O>BXBpmt(=o^kep_$e&7PW^EeOaj9_ut5d=HQn1L ztHqwj+8V>$HoBhyMx}~_sTH2?9dZRONTlZY;%cN}Y=4+42&>id&q^Lo`U%JikV2W^ z3$1pA7;gGWv!}y_@}gw1XZd5R!xI{0Bi${Lqx{l%6C=9io27WPFll@$Q#Uzs4e_2c+}fDST_>)+A?kP8X%wK3(Irr-?LR{J?Fs|m&g2LD7f{RH znGWj*GAJTxHpichZ*C!4@hu4MZqsV;EyCP(Q)oB!5DB4IsEfdbNQoeXPystA5d>R> zWxf4NzfCMNy}HRc*ACeSQbVR&K=s~9i^3bwD;W~uuL0hC_wALu5ozSmVkQOb^l4!S zb4j9I@$&)+s5GLw7*d!U(qKApb_w)e8AR9s7!iD7^l*M=i{YWz=K~oumuJJJb23wf z&mTHbL#7G7ys<%fFZ_rhhrkl(>2P86jCkg=(?9u5kx*~Kcm2)44vAE-(`f-^^uiD1 zQ3Qq&A>@$K7)J~LOlR)TK=#jtU@8O$X07N$Ydhd&NLiGfwz5=3- zojwEEpxYFXY)$I7qY=;pNlbSjvtStI_>&~IYb|GyYGU4RYU|-o6n^l}7wn~@jVIeJ z=5M-A!KzDvf9kE=7ct3UZ`BxD^MWj~K_Fz@jrDu$t* zahO*awDV1ypH^`E4Ws$vYI^J09fbnCSsu~oOU^!099)i9f(@dB-MCTruGMFtj9lAO6AcV{Z$85v zZYAJ4t_Tm$8xnqK2E;Nw#_!Ds7g8(8J64M45&$uNb?_*^LyH@7G$8&Rf z>mk|XJu)P@|2Me`HMEX#7NzYf?a}~{;?{UbKDb4o0Hg0xo%6QkDjq$f%iIc6n*R{` zDI!eLMXlDHSot7N6r02Wp?A=qoeN`4(PnpElAdQtb<-rJY4r=#!JHY`Bk=`XFRuU4 zMBfTyxIkL;nUg3A46`#Y6>Yd+T$o|gFy1{(OZu8ul`)ACX}~>0B0pfzc3z}kc~lyC zuZmQVq;UcINU=B{-Hy#ddpaM+1%)A{{@~Y5vXl63Kr2s=j&PNLc2gF^rt9qQ+_JcB zIzd{MGYlk6IZUkiHwauJ6C)BY=C!%yT#NW^CPh}4(IGAQAQ^io%NYb02#1Z3!64Q| zaj+=!A5(F&Wl%uvC?vB2ftWomh0dphKz3r`&eOj|uW=}{TH(936bW&dYY~2mEsibN zSV)^o0hGf4C2Lk&WI0_&TdBvk%*lpGvC_f@$Z9n1+et#J0tl07mx{K){EL`Czatz3 zA!0d8|KYDffq4lLfqq0t2tvkS=Kezh<}gkL@-X#yd;wv>@{ncjAW#J`Ul0VScj7Sd zqY?th_i<+zNJ7+c3HZ3_5`uc*rhwAG#(*#o^B;s&N^|Hd!KuBaL^Zu(B089&g}O87 zD=^8urEOHf2v$|*=ngR}DE#v>2?1d)(#&D*_ojAXd4XB^b#6zi*B7xB3jQ!Ojd5MJ>bFt=${^23ZzsM8grHx*pqZsLz;Ju)O72~a?-*Oc| zi?IyPa^)p&qfQ{1N4tLsor62Gr{q#A!fKu%DeUq_A7w^~Eq;?6dVe+L)cYCrf9D&2 zXU>>YhOYt?Q7yAsl%N^D<9cUDb7N!XpS&4Uwo_gEe6*dL(gjCgVqkPbZ^7NKDJ%Dr zoYG(Buw0z%JlJxwRO*L6@1D$#=1P0bz(vo>1vuU`(;g1jQ$@Xgek!P>9G0<|7;GGA zj~~w64V=GHa{9Quu2sB3{~3(G%n3CAaAnBR@s=mLzj<|hy}Y~VGDZ8cNZ;x5T&}*V zPQ+QfXS0I2(>(1=rIHOEh&NVidGgc#7@4BOQipyB#N!y+k#zWF6MbXpC~X}*Lg|p1 zx>lzaD#u{jN>3$9?b9atUY=!o-r?xzd9w(4T`@Qnk#%D^IJq4Ce@+ntlj%m5PSPoD z$rQtXyqxIp;tut*Qq{8EWIAtV7^heG-o?1l+n*nN>+3dYWj>xVCG#N`MSyZ<>vB(J zZe>fw*|^4x2TuE5Uz!j*G}jkfm&OKZJbjo)v9LE1%4Dzq&grp;KA`R{4$e2;tv^-1 z-fs+(H^$9!Oe0rPPA&#RqFNSDOl=QT(>@jH6xB6;UN^s1H3Nh1UN4*!c+|g+&)FWHoHF6L zGT~M8-c8sZW>ieIXFQMIUBcQ`;BB-9uAL4wUrsl_##M3ER{3bJo$hxu-L|)IdDzu( zUuDT|a#2lrwIn=UmfyJ6jKbE-z|pukr?03X4L&wyV+1?ypFetL3q z@^brpPFdeO^1XTg8t;W3tIC*_#VDnHQL?wMglo*^CT){c-msH&53yH$KH{ zVuprxcfN7ocV5n`aF_0u(tUrL&kML!9&wBA^7;By)$1pt=<3MKlGCtv3}~9+yTr9L=x0n?oLka<%E(p^U$U>3sFYy+YNO`NorNR z4{KhP#n+rd7$ToIlIGh;2TNXg&CZ-5Y7&g*)54qhoqdQ zdafJa)`&Ej-~HuFqZdos(AR|eQm0fl(O_It<2f^r4QAHS{o^YW2xZ`^0YmEJ{%6fZotrc zP~b|aFr}(=PkprQ&CJw3?Ci|MK1#lZ4qN_Y!9uu=H@NTS zqP95Z_Z6R|M^*h=WNx?lN%QsY_MuMwF1!7(Th-}RFf+z;w^%o;`MP#WoBJA`2I`)N z>9nVV7jds6fy`4?C9%rJ{+Jgs4y7b~u&}x%#;Ti&$w()yKu@>nZeZE#!7x;A?hq|-)7LPPZp`%fu^lyuW_9(%!M1b4m2 zAa}cfXr!5FPXB*_D{nA+_9ijtv|-}Vs>xH)%-Zc)cG^?-K%%+q{y!-b#w?kiW4N4} zDaSqK75-;vPi+jl_K5#E)!1OB_QBG`mrUW?_N>y`-|)XnL);G`TkHlo4d0DgDSu zDGg<_p$F8!Go4k&;ImP}eGXJ>nIIiaNr;uM7@U<(0nTn!AJ8ig%r?Vqm#$-1j;0g1 z=RYzv0xJkK(bRruEiK+5F9_`hR{;70WybxYz*8L1B*MDC2~*KVurROU@i~NWP#T#Y z_MtQ70Zy0zC#)3q7xw>JVbV?Q?_KvUzm8?c$UCv64V``l4UY_lLvRHBDfnI8cgau7)WQ7+M9gQyMyE+7jTdhJ_0DFD}|D_n(_9XIamQ zwo?dO+l-2eNbBZW6KvKa*g+s@t(74lB&@ZfZrfm8H^bL9!C@lU?`eET-NwPXjs{?V z3%UUke@D{H(+3}bfy^s|onZXjTuY-nBFyN>y3MT-WBp}}b@yt-aV#W-gk z9q_EXKXHM~oQDUOx$IAe#!@{g?fJ=1s~vQDO3WpG32x%vd~@Rfkr|+Gk@-H5k@=V3 zBl8fHAJ#W!4UTM4MYT^%DTd=%?V=##lkZsVxc_rHgZPKi z|DFziyR|f?x^;&KPExY6SRXZN&EJZLQPM&%43H4y|1SJhLg%_2&zRkr>Mu3w{%Qz9 zOR;wniSSo3)#f09pqXb7%szns%`2Ja9Ku&;uV0&zL!c(kFN(Nov`|9G2uLDwbo^3D zXb)UM>h;KxIV(RLvR#Ywe0`d`Py%=^4*vpbh1r6`PVcx_V$9=BkX=S|at&?NV%Bl9 z(=mpW<`BnzH@6`|24-!g3Ykj?W4@xTtVju+aiNxCTpc2a7=p?_m%MWjBw{yG8z77V zgt5QEBuGRA$$@D6nz$|DpWy2xesM%SfcXtTa7>}n2tlPX8Y9UQ50et~hffLG&DEP# z)B0D>^zSK&H}?yOe`{D1(oJH*?cD_Yx6)R`9r1#tf|-V~-Qx36#z@f*E3e1Q@vnxT z5T0k4%j0pU@ago%QZ4tV$sv<6@gdcHoJCj#egVV~AjsqqDWJLJx>$bs?hZf^y9?g{ z1XymVx}q)dpQwG(cr9?cTzrXkfbq?D$oPIJaNbiehel~Z_I3=W1cBIX!jZB8{r>5pIRLu$VAvziT5=Z1>}*>38F&$#>(s@d@KQ zyTkqtmG6XqQ-TWmH->^$pB>`XJC&0WPAdKi#8@EIT zo=q@YkRuDu78X&*(?5(~7=Oz`{cXN;?`SX!Z<)@VC}2J-PuNrBJ%IXmfT=nEtMmZc zKM?d6^tJb^jpogMBZK9vSf0<#I-^be5I-vXS?JX3QRozZBF0H}Oq4a*S587gm<91g zyCqS4b(@vz_Cnb0M^Nt15}NZvT8||=S1k%bzq_%*pQVHuB2_meHFpdioW48BQvW=y zY>M^kXJ@L8-U&xKw2uU+U6r2WVFgJtiRYHOXbN>m?|n$UhQRsPNGxo!Xdxpr%#StE_C2lEHyY-D25P}-uV;eUw`1?}Rh zS}>&LDH2S;H@@aGTg&)o(Oa%_I?VqLh6qwsX>w?|lZetfO2TZR@0zw8VYPK_Eps?) zRN6x=#?u7Z7@U|CU3|BFkzkGWll%M*RR$2@|DaZt|2In4`HLi1aTABR#p#?^UB!EO!ghEi!rq9Z--vfE`>wNy z``r+S+~V!G4A^B6ciF@D*d>1N(YKRA+@#O96aU^}DI2#^9I~3Vueqr*X}*XJfi^g0 zm&Lqf?{rzGy`NQ(mPxUU%Hw$%CQ4;(omXBj(pzj)sB?)Yzb9j^(6O>H0_|cBwx7;QQ(2gsiI-XWS9mhgD zQpsTK_cEIhlnk&1a(5f8Uy>)eh>_|t^N6nFps?oF(4b>}!<{?+1$|2&lUGB9zW*0R z{0C9|2Zj9yk^Mz`u0my%ShMNaF$iu#!7#^G5Fw&|L(J&}f!yOp$7kT69suO|A7u3( zr1@VR7%0b@(IJ|K^=X~E7Dwbu_#UZfH+$GiP^)OThjTla-B*-Okkgfq**ehaCfgQ0 z>v787ge`-+cc7)mUf!P*`mIJ8u**>zRvm0hPJDWK=^ zc8RQ5aq3|NXw?X%Tl04`3E1*mYXPtMeN6GZ%i65_?dC2K$gt*a8k4i(H}}Dlt@XB~ z{&v-0uZz}_$A05196_CL!#zOlZ|2@5*6|0q;d*j_5{zetf-K10454VjZw5mmdxE46 z33Rir~bHjJ%O-5&^1-q%DMTjE*6=F&Sc#3ha`(tV(`%O$oXoY$DLu;8@2O))R{t)^#8aFN!4dKv;=-jEGy( z6AOf9GN!Nqfl%TVXc6!D`^H4gRR7Jl@2>CzK>!<^2t=Y23xP&DF8Wk8z znv3UsuoonvvNhK{%ZdNzc=K7)aptTsCU&77r`nnrG8KwJ(xnSRGMSO=|{9hTEd)N<~M)q z^mB2GJ5k)f4Cr)bc`VE{gn&O^5|^wku2Iex=OPlWPt>SV3>sM&TuxAD4-z23?$!F< z+gd9hG$JyvoWOe=#3RWblo2`&es(K>K$-$;Bp1I851M2T-e5&4qK*a;LH~yz-AnOX zR;?IBC_UFTRc|XYz}cjG8R?qiU%Y^8Th&Bl1IPL&kmUgSb;7vD+^A%kG@T>zQO-K5 z(fz_ps52%KqU_0G%_z2oV8-@F(={$^K)W_LBOXn1s{D-%B-Et*rk(>87SiAx3Y;*jxk z!9~5tKa2pg-CKRYi*bCH)5h;&dka9M5vg1480{=wGYJsfe|V>TAMFfcQJ-&b2%)^& zrLQBwysV#Z5PRsdOY3&Xq<(a{Ck}+<&?3C=VjyCD+J|qW!PzZev!#IhV13;B*}YvL z{;^rJN*T6T<82eBU3*+6aa`Wbf<}F=I{2p74%_p+tAl$xk_|z9+btRF-4^QoG7yZ< zBa4ki#X9G!yAtDt_n`EWU^sws!8@ih&5*;+qbMqv#Z{DOK0lKI6=B4 z;iAzYst8GegTJBT?x(8?k@9B!0n1C_|g?uPwTWp*6Bb5aBm7&yBj!61cv< zdQ756ZW`v!)m-um(3<)$9^OP;UpyR~STo3S$<50zjAL0*H!PaVu@*+3^>*Hm(=BtrGVBto;SKK0Uzb}7&!h)K{A zZN}osrN-j_qoc)$C!xfMcO8kPRv3wGlnOBpmkcS?VI-DPW+c9dC(y``&m%gggL`h& zVJrl2g#b6eHy7s%K^dN~q4P>YZi1qtilRDmfNYz@yiUm#!xyb@TdMIK zo@s}wbBbmA1v#(x#QxY`R)YhtfbtPHUzb9_atv@~Q9_?O^x~#@};IR2>Aky$+L}A<) z9QF+<6A>q15NKej*p66& zDyJ5Qs`YEIMj4lf#A~z==Cr|ad^#Pup4;{yT%eZ@$zjA}6WGCQ?0X;H0n%Q)|~kJ?RzHR*ScXZWwNl`BAn&Wn>&;@y}^dcklU88eN5PJMq_Qd;u<^C zP)IU9KwIw>wZVb|)kZZwkR4>Obmf9zm1y$vR#+bn$SA3|-wO@ncDOd^NC$6UHB`C+-VWw>MA zRfwS*88&bm)o4F0WChE8ZqOp?VE`J5(b{!2pTZ1PA0Vysd}C`PH=bz!4HOeYv;!uu z+20AtkQ>sNYN=4V$QJid-YCxo^0^Q>H)Z7W>f1Vdb=_^f($|HGy#uy#SW5?`+Y^lr z!GE?z>IUoEy?iL$jXeH&T2o)!cI*2CYP2oFQBIu`_})YVs5E2}i|f*!`m&Azt!rG@ z;_?Q^SnnmIQ(ZzjFnSx8iN-&L;@fl-&xJSx^m&gIw2)%pHzT(a>VFMIO9^dxewk=u z@VBKzTm+&vvg)bNtLc&2hE*==yLtFf+9{y44QSmJG~{bcnwg+cIvBlI5fAgx7C=}% zmyXnr0R?;78PH==H459~3^CS)8M>5akkGGa9J3YhaaAjLyHM~n`!`Co`4_`i$&8iskZJ5@(KUX-x2)H`_X_ozHDn?wTeu&Sy20~)>HJ~k*O)Ag4`H{8oeLqi z>Ni>CZ;1i^7LOE4ZkHOh3C(YDc)hh~4UB98+d!7_jeG*6gLK8RwwF3{_(QB zV!xUvB1A?v5#Us`Z`5YdAPiv~EFmb@8>-nJe#y268*9H=-1hx6O&-ELUgpiXMc zejW&pPKWBt!Kq3Gw%@jNlJ)8T`PXrqMGrUu{4>$6HwK9RV>)BBKVByaY$$8P?D*Vf zxLa)ubd~Ql;$cJs-Jh}AyMOG~->x$Pv?-#OcsH0%6vo!XF*;)x>CqPdtvxcD>L{v7 z2j0$dEvaA$i*3~XFFU47OBT)Q4DaM9l!9b0MbGJ?#u((;;E9xC*Zw+D)pIGpIocHv~j&N}BW z*^Q)qrJVM~i4XpV$Al#ojrNM1cGi#geU_F4H&)eKB$eaml)wn4`S$S`X-5!IuPrh@ z88eR%;X4zh;@_KKJgm#!%=gRsPczd*wi{wXF)*%p7u>Ak=w&Mle{e|}G8$gTF$g~@ z>v3@(=-kZ9hcY{ilw<{>7)ASZB&13eT)?^EmPZW^OFoBnJ01j>0Mt7 z72rJbq<$0H@S>6auYgKWK?T5iYM@hxQMB#KLL`T`3tzuJnRhV$*lIN{JQzGP|#6oF`aOR?Cy+5XaA5dc9 zIC(g8!SmK70FeN60F?KvM{EX+e2`E$P8QCb^Sq@2Kt&0K(g2k8tQP}7CIB62ICIAH zRzCod0CWJ9_N@2%*Dt@Y`Wx z9Wi*`(;+{AOu;9xtM&_OzrkXxZn}bB`pss^I9Yw)kn&0^f1tQP$Ihz)fl*TKBiK>? zCgVL#f*ATK^Cu%JwLzo5X2$Sa4Hk`acyW~KkjS@HCnpS%t=tOUdeH;!u890k5wZN> zWW=pe^=g88C|vJ|p9KhyB8iYV6m#az=aynQgj-ZW-Y`XiM^V+RoUUaBa&dM~J)J>{ zd3PZWSU7EyGbO`r=lXgAWz!ygjZw0@a);j|M2D=EDV;Ze>K7QPu^&*3LYBOzojnEL zv9hAvIJq#!7xnkKaN8HP=4*SquXDB&1ucb=jR&bo75fn@hx)~{WnBeU!SY$)T#V@- z%(Gi;j0bI^%%bjW!74^sAh_Ly`-AA28@dP5u{%ElvBxhbTH;+|(Yd*wD3yB^=AG-o zWq4ho8Wifp`Nh#Xs`JjO69$T|W(;fRnEqlW4v!9|3WxT5nig;yo8Q~s^b$qqR3p|u zj`)!Shm(BJW~FqDif5kN!Qzi~R=FVz zN|q;MoeKy8X&MD0)lUQ_MUKBR_AJ|Pdh9R{;+W>GwQ9JF;o`EFxoxeyy|rx{S=9}# zxUjX2KeEh`d%n!@=^KbMd|S|SUupL#b4|A)#3paeoANh^N-E;-6--IrBM->m+Ap1e zh-<53!7HODAgNK4F78_0E)%+21qo|cV^Gw`0|7)6>3>|bm_Sg^#?S9s4-o%D(2-Nr zE*}uTwcGmhe?Nl^?>>!`f~0n}7~!qSkQUe1AwW>~&Xe#Tpk>lHa>;os(04HrmVY22 z13_uqHqKh~R*w0;kc1$>;x=^ht9w|_mNehb#l%>D?0(??g2?;eO-8e< z)^VNFZAX)*{gp=#*V0>Tx`|*oHhuZ1qO+~?6V9Ltb&GEfj70VW- zOGDl?C+@0NQ{7y}i`ZAwjrqs>>s-mn0->fChj&dkW&g~Hi}R}k=7k&`UBTYdOicqE zUP(*j@!u6Oe@y+V^`!00@T&6%9-?N{Z9pr0X6oRqeWZhL26h}C-+IPIo}bCNJRf#X zdd57L&W+)(K3OJR$Fdr5OaYV1xYl!o&+x>+LXP-CYE63L>GOsR~?97dIQ=6NN zhL`;0*Qb|94Q_PmNjtv6k?XI4mm91YR@@J~|GheBx@l5a5wJMu7hnem?0;7WS=bsm zLXC`1j7!D1Nl;7tavP(Icp8_Ym7I{7ppqDO7#pFZlcHgqXJTViB$uTbl^!3dl%yUR zpK7R=q?Vi%<&_KY*f|WrxL<~u;lLdt|hsu1a(j)BRvfk{tfaDraH@qg-kK%0k#X{j={dhIEpvdIN8+ zd+aA?=*t(BDo*_zOZX6dku0VXr_k=ZBSp45s$Kb&&(4U3$gu z4GYJtFB9qz;=MUG`wS@^57?oKsY~(pRvb@*))%kH9F|PKm(PmTZDjY4=(k=kwXSMs z`j6!=DvdC+0>@A}^4VU)PJggRb@-Lchx~ZtWskz4f}MHHW*Dv6HYpJjuGUhfDw6E# z!kCt5zEq{MEs)?06dOpXhYas?yhr=ry~G~gs*Z;X1SD<<1O)Nl(cxrnU~iJ84fYdv zpl&H^^;AhKOM6g9f1Q5tm3RdeM@1^vkQigF5zYu29U6Vj89X3$)7Y~v5I^?Et)MWu z1%KiXMP2cH;+T6vNqqkAA?-f|b#*s4-=8|ybXa_+_-ai*x!9Pv+Pqr08WueUG&HVw z@US~w=MH6#w3TP-Zh9jwnHKF<)MtMCyuB(d+O4_si}d}`qTOsgxo2utQ&u6hqC91_ ztJZhb8d!{4W3}zj-zx)~9Gx5;O)vSJ(nM4o>1>Ui9OWFo`k}Do2pNV-C-?LD(g_cB z68S6o(_O&ANJmbmysqM5xj0(cdv4S)4h7kHp{ay5v(9IZL(yGe#?o}jZmsrnK5C#B z4id9XE$@%AF<5X_)M}h!FnIUxn>Js1N$e{SZ6>(blU;zl}A^Erf!n)?kq$(Jbe0K8aLUal=k~-Qd zKKLSmaF`@0i{FvW{D~BG!z?f$kcIGmsFY-v>TRqqchr}d*un+8Zs7b;3?`Cp z8qUZd6fEdf!`$qO8kr^_z-~OA6s}RO)7Bk^+>j&-m5PL%hDef6C|=m6r$_k+apZR? zV&)ybtj2zyV{>6znG#ih z(1I5pYK}=z=)f>8dS^6yFTI=-%ky+~bl_9KV%*U>VqljZF>ky45+$MkoByv5>&nwg zy%x)Ib;Lw1CWvQb2Yq<;-iZmwY|>=V1RV$4G)l_A+uvqo;5pRs0%=hVuarfbim{4$ z5W-ETR-vb)Q_&&W)y)+7q~Mq;889gkCfG%f$g7eAj)!e5bOVqq!wOzUr??cB7=C`= zA^Qacs=uqU$)SLO9T3bUSk|0fTAa>u7KaH10J*zqZPdwN(jtYHV-%6unXogA^&2q6 z|8R7duk4>GNp6jfE^bc5EOAsotC71f$3LBmWY|apy_7ER zY$|E1YRZ#{7|bO%?3Lhx-#A9Muw1LnxtaTnuUdZC?m9-LA zU@h#je_Ts+HXbdFWYc4eBqb#^gNxk6JUCV%?EEAA(q-c!3uh;RB%evV(RqobT+~!8 z*xE>^9~Y@^r=1o}C-3A@Sxw1FXl;gCk+ziv`YJB_x+%}J@09bgM!ZtBCez-Bsw<+u zPG68rY5POKcJ(6YLC1n>f>nkURDW5nDsosRlPn!2UC3HbnQ;o6&>P9NhV6=45DJmrc4|LmIw|qLrWAVTBZe+N`0wx1<1+B zRQaC8FbEXu$kf%#pk>^BhB;m0$)(@3I>fa4rXDaYK?fX_G>^nvU$rpLlpSF9F+**9f=7NUiqV!fG!aF(I`%$@5Ie{;Ja% z6__d+lKiPX{WAa33tc9e^ZNjatO`%XDx6WnnEFCfZN`Ol>H!-{W%TR)+rjEpLWefA z{hCYm)&eJ)P`PY6%-jCS(Cm-eX|z8Q4DZudZXG%#kp~vHz;d{BDI;B;j@9oiWJT+= zS5NzpFKlwWCnGuTw+=D&xBV_$IdC~yl)}m5`IQlsVb_GcF=5v5yx??*un?&KHkyLq z4{>&4^?zIAFVxBCAfbTZznkEg`A+6P6`6HeKQ^oDc-Y@z6JM<%Mmkfk5UH0liD+c8_Ie| zM4!b{-@2;JsoE;<{Wi(CpJNGF`vuw7iJ?KwvPoNy#(ZB-Sai&fUpeV(?0 zSq$8tT!ku?(!v_Ll%Tk#V|>@f4eetI2e-s4gjV}d+3yi#R0nW8Dh^S*Y z);icfH3uV&+au0}1%>yrAWooa#BqkX%Rb>2N`o`WbbZeMOt*ymoOv3}F4-y@MS9{b zYo|d5hFgEoT2L^ll|Nq@XhfS8Qe(IXz3=vxHVeJK#*sd%9siI%V)RCu^Pv7BpL;ol zsh?KDj6gbsT1nY-4u3`@rs@~0nXQ=Mn6(=jMf<4HgniU;aa--_;Yu?Fd#f=`$5{tX z$H8t5ws5tds*-L9?0BM|fPH*o>_CGRtk3Uuw%=yq3aI(oOKJ?PVVr=4{fxG7t^GnN zUg_zq;Dxkcf7TjY>G@1|nml;GN;JHSf3zqincSacN zns8>=V{6zz^m+6ilv>GwOHC%=c_)}Nk%nW$spY$B*l=9DqZ=fv`#eg`9{>DGFeyeC zU}=`1EKMNk#JBuBDs?{;y}|yu5q+eC7wSn*u&CbS+=JnGnp&u^<53`-zCB#JZ#mmvd$|?kV81`$Sb&9gPBEiSM*A~%4kA8c;-Tkx+ z4*Q;X(qRuDkMd^`e#?aGci>Uy>Q>p#TW__$n;=-jop9ML4cKc5spyvBo$%13kj%XW zR6|l6HIKC0+TAf;yZ-X!rk%Z@(xc6AR-CGoyWt&Ay(4 z+#@$CR$Llpnk^hVF1NKf)fK-OUM#H(36+T6w9fVsxU}&APX7;8*8p5e(5++J zwzIKq+qP}n8#~$9ww-Kj+qRtz-~C^`s#pK5`fBR*oYQ^!>+Y$Udm3-%wx;@};%VBK zM=Y(#hb_!+wzj51rQ%5|-2bxiYjZ|khoCf=RY)T=FOQUb_I9Iv7G7m-O}$FRF2k};@|E@TcE zG{!vjJThS254>V6>NgimDi94$ZzRYlZ!}ihog}BV7L3NW^AdLP(y64MYP&pB^U%NI zz#iB%Bu>c2;@RS0`)pRbJpXW(er)BU!%0&m##l=7oxZ=_NoU0w-(YviNQ zz#&91vAWHwNCd40zeoQdU$*eI=tITjVpJh*0jOm1Q780fzjTHcrI`QdE zLY5tDWhz{`c+CpRRPWZ-a(X;aRwGf*EAEm+`Hz%a8Z+t(zY+L4|J z4KXUGR3uUZcn&u+b^MFv2_T?F+BQie6jw``Hhl4v@ZimU*W8Mo?pMAS+w#BLG#RG|rP`4?hfHPON z_{g}gJ)lEr!X8Ap-~Hf>nw>GoDj;F*Zb*HN<)-wEU=DDSU8h$}LTa=8jJ8gS-$nG{ z;${FwjkL`P;`-z9uJ~PS?yspO$yBz-0OP(`0(O0`5qWE=QgL-nmY*_rg&05};_BUo zna#P~%5--2D(J_@)^u*H$1|f>19tk=`gSrcu|F$zyQU$zP}Zlhu7$|o9vhoE3A$%Q z!zWKR_7{8X)6al^09IYM&|!NUU_7`18li;mPCU+eO_FfM+`5>by%6x0Ai}`=VPw?W zK0dn&$z6%`IhW-Fr7XNfX?hDw=Sp^pM-jV?^NE~K*VqMF#Gj}mT+ zE<6H={s3{0J}USPDn;gyK8mCxx={C~kSe>2O8SgG%J>~%d;u`-iY{CNBzFR|Z|S2Z zh>FUw2MlWebx>7?(MNR_L>C616_%5CQdLHgD%Rq}33e<^P({_>#YETAM?F+U7b0C2 zQjG)rYNC%~u8A&$yDTi%V~MI{SFAPeqPkcIWC?I@YVs2l=F)=4n05|}U0l)wN7XVlQ zSO=jp7!-7U+X#z3011yipaDP`0B-;aN1##|jN7SRieik*V0yY)T9k%6jLV5wqBfX8 z23t&VpTh)%W7^zf4zgiWW?R@VqHQ(cO8mv0paYfAzU-DTxoWYj7Zk^rt;>%tnq%Kd zR?$T^a+S!Qf}BBi#r+aFgkxyy?jmd&V+tW`ih9QgiAUB^#1kciEI1vEi}t^yCE(VD z0rJpb_Ck}A0b0AUWC76e5loR}=~_7hN-Y+>>|erO>3*tOd4LR~$8klA}~n&VZ7wYOxlUVn~AEQ?u(^ zl?-G(h8-I~Y5q+>5}as9#l9Pd(}sW0ox$z;_77%bH!B%TxehxbhbRI5m=b8%v|7S! z(q+=!6|#6UMl5O{GXWIGCmh3KCCJqeJ^8=)T>w>K%TUsN51jA}FHtg3Z8sikWQ_WR z9l(lr!Fe9$2Q*?xOrbT@q%Jui`>V?+;;IR%hZ@1dK!Em?+i=3JDO!et=E-M1z#9Po zud09r{=Sp8IoJb*Y74Y+g?BAuT(rb* zCw%;zeHfmBF?2V81u*FlXI1o!V=pF6EWboKLt48rl@ws814g_&fLjFDVa0qiv=SY# z7@7Zc*b+b|q9Q`^bqi9`RjUV7J`U+HS|;W|8nvi>z_h4WveURc0rYr-tEfB`U@rc< z;9;7|`rwYz-=Pek-DNx$%Mw-1r8tuWxN8kyy37a-(++9mZJ+fb+6<7nQ9ogCZkXhd z3lD&t4%+Z1rXtB-B4(eC0A^t{;H-?q6B!|JZh-(@&KjR+2=GmW%W&VnM4un0xSPoU@eg>nM4V5J-k1ts2N)%t{4}Kutvh7BPg3Zbg@Ul5gxN>7W?7 zGEjj|10KPOCRG!btfyLB}@7h|i!L#}eie_v$$cxEicOC1SeZ(*y!`bXL`|{^ zqHE>K05#G^c}pOVoXtKjXbu8`g)p~B+x>b9yA^D=RRK#2_|DIq)&__JttAWR)x??Y z7haz48D33t{JCqb{b3KB-TcZwUCC1`shy++b-VcZ&Sp86ZnvWAJx8FjN48N3l}^FS zqhvn4a=t@+IK5)>jszi0TKYasOz|@mM=Fx}l0C(g!pXk{pW^8uBKvXtzzAV9og_!n zu94B+eD&p>^QoC)S~0Z?zi3pSq^1k&MR`b!YN_UzGsWhA*UtaC&~&wX`jsAe);UJM z8gceso;s=H8e*;MKY}`P@F|-M9;iHm&mB``)oz>Pjtr;=KAqF<#kLh zxR1`#gqv6=pEbCTL~({qqpQV|0sZNMUZxm+`b}JDN3-m!XpL@1iBFM5hpN=8Sa|!l z^s5aHZiTV>wY#rdLuY_CyYE|sIBnDmTJOg&&k^aDs8u5nMlZIYw5^g z@+6k9w3bMLYKHtFxEFDAHnQzya5o(;J6`-~g6v8>IXbIU*>Fg3cxzE|YU(xJXqfZZ zj&%o)Kiaw>yc$dBRbzPN8X2>}xhQD@ zdc7G@LA)8a3?i72P!iIZPz;e5=8+w@MMzpdGBgxO1Sl9t1hF6u)fl2#5@S3lF@Xq2 zebA_hU_C8lFyjFbtk|-*=fC`7x1tYD9w85`5y3KbBGs_pd#(_~?V*Q|Q$on{EP?}N zAb#$vQ3DBJ+?e^qb~B+*DTh-D;jXH1RFRO0!}H1oh(YpuR@8ima*`53h^O3)j_6YO zHLxlOkxXAi-4am>BKvRP+`Hw(e17od(hP`#cmh-Kc#A=X;>23OU_C-G>X$3T$DfHS zv8M?kgDzNf*#|*qM2_F$0vHH}`AyZ@UTY?O3zMh}x@GdZUIz{7dH-~n2>W}#3kn;D z=^gZqUse{=!uu4>E=LwsbdoD3RNdZI8IjiNec;J?YgL|3JN@&P?*P&ps!aYL%%P-WnPHLg|V{s z$~-sz_U8?1@Ya&;`y*H?lr}es3Kq#nQ(_QztCW-SlziW%j!8pPlqbKZQUrHqf%-O7 zIdQ(a;~%M2^qag&c^rL?Ez+MQQ86T2w(k*xjAjJ&K#C*GpC_@=n=AF3F;Ovx%-f74 zdTZ3`=|a?*_qBBKmNMzkDO+gphDc2-WN5>D0r$p|N7)(UYaXAJ&X}%t{pkka-VvU+ zjc+>V6Z+HpThzrd|I^7^6eq~XLf#hi8K*wO>4MLp%|XL@{7$Z*N=UXu0QT;+I9Hy2 zmY`gYuHdZ#(IOS*0uO2oluyrZ{y%~-hdlycULZ_yC&n9x^6qrz)%~DnbvH+@VQVeq zPxk=FDiDhM6v#&XG^Ky|5?$OHlfIb}6?8*)2domhK8k&oKTPl#BZuT85xFji(KCD`rPN!A!_SGO`& z$er45(j%6+RYq8+7wl`t5(?qU-Alt0N{#9q(6Z`FlxcaA87Nrjc*c(4S|-C7;?zN8 zZxp}JOVV8=HXj$;C(A7vRZ5HJvM+W_sI=6y@aaii(%p|OVr&@iLt1sG&NNJk19L}l z%RNuB`m*L2Rw{j{?%BCYTNN70v`ZZAG%rfa*d6IZ;+s^)9xO;vW;!mts<|ea(JeT` z+0_qp=^pC2c7{phoR>CD)nERpFXCI`rc=u0|G2-c91^KIr>cTgf{H6)hm9Am4_D7n zp@g~9zF_Xckg6+6mOHmpjW4oCjXQARt!d!KpcV*{Z@C*AMLmxhk6gH6+sb*`;*5-I zEiPg#JClWt_vOuC&#IM}*Hxfi1Z)M8Bh06+OR~$M*hNjy;YovUGmyxDYgGd+QJXD3 zn&HU0SGH(vqb|Wdn{S6f(9s(?qhY<^S$rbfxfDu@Ia@d>%ZXDo?b-k>k((!%_s9Bg z;_0bkoyEbtVYZBhcWEY7jLsR#Yriv81xf5qi3>f{Wi_&ep(YTalI1yvFbwW`x> z56Tyh=42GHmI!PH;%#D^g-ZM&pKr&_KDLQuJa^FEhH$V_Uf|bH=5ZV9bUu8YdUjXuOIfR%3Pu-VMkd9?rt= zA@ikouI76VCsikPcsm1JdG^6-)7rd^PFRJCE}+2QdA*%&NwH#b2>iV9$fdlpBn(a3^Xa2${jTl1MUbOTrP4PKXV3}{{^Y&|)Gb|nnF51rBxkR9ZGIC);7AC& zX)vCDi@e03=Xe9CFU6 z`#tBzj*_ccSyhbKsFS$!1N`4n`j@-g#%hc@s9R*!>4?Cb(@Fw&oZJ~6gZRSr`L)}#5{lpO7VoK zf$6?~dL_P#426R>K2B@WK4VrFV2`V?douUK?H;6B9$QaYKc(#znui-8g z2p?U8-Ey-z4zp{juI!1fGrClHsok%_q6=|?ocJHT$G39t5e%}s)mek|L4h)Nl7V_7 zToe?j89x{+z7&3UWF*hORqPloyR%S>C=6Q&e_@chP*t&LjeJSkwAa{ z6woi|!e2*HNS8tq!7kS6{_RMqSo^{rFeS8Na@>jjJYM{1ErsY6&3>T&O;_1Zu#mA3 z5RfJS5dRl+_p}Fs5`68`wDnYH;uI4m0{qOG*jfH_Wo2Szbj6niw&f-;S%(2q*AoFH zTbAi!3#85LVVVAka=m6a!MO_iOeJFLjspazxvw#g%hR^uo+y;ZCUybos@I3=w?YqAG%Gp=3k!dPdJ-ig%*8;;ytf zwYcwR+_#k7e^73>eC< zqG&F}+{a`O@E+IS@ZG1I?x$2Lm`)16!^w7n+2g@Tk%@Bj;`JHZ45>85QeWtRgZlr( zCns1ZpQ}o5Wv|_dL zd(TkPGBX)rb&h0$-lLco-_6%N^H#5Wd(hQ!MfaYIES^KA$(;H7i4W0K{5$p`FC&{Z zS;njcXGP33qjOeT6KP(7tV(h2T1HT^mqR1MepCok;~lotMVMoSXT{7k#8UcMJi4a? zeYC}B?Ht=rSX?-CnY<8mdCIKAP=gA2MbabfbrcKOz6Y{%r7=2X95s1gk?^ZZ;HGt> z_$dFWaWG-mPI|f2c7LlfZD8GOAt8flHk@9MhYDAyyW_K~oCbuEqv2wN)A?=;qaxUW zU3N0O{3-erJ)B+7TsCoFkGMhZ?3Z-?7w%_Jjx_8V88Pg6T)1x>J*QrMqeD8#hU)R- z9_W%tU*-CM1nvI&{`Yv?U9nazSYKz3VyNsxTr793knL7`nH*G(tt*U?>e<*3q3P$L z*?XPzC8vZ{fymd&%*74d<7cpCcxpS^OJ!<$l$9{BM8-xqioc8yF1g_rPZ(F)r^L*J zFOiIzkSPzyktEs4#5a|@%tP@?bzrOCm1+S+mWc4Uq@r#MiUpe@@d6^I{lWNAHwTFL zUXcxUvBd7#haf0n1u_Iy4g1ZzT*DfZ%b3Mwao zAvs3{qe*jq+)*>h;;0Mbgqr&gZduAU9N6Wl5_HY+QK*N_)0pqPK-xB=@kGN3aOwx` z2GP#QQ)W{13+M|66~^QIdpS(Rh(>r5{V^6;u$9>gZ+iwv7q9YA30l)%y6|MLqq`y; zaqE<*q^1~`@CRJX_5-h_(vJm=Z%ks2E~Dup@t3bxILQv5H;t&R-KqeAe`><^*rjPSKY)msoN^Oai_yPNfXr zhD;b&9lo^7GDO`$bj|`m#oLjp9I8tGJH+O+@e9?1sBOYbc7OFmc z8XZwxC$WA3wWRtvXHqZSdEj=rPSeB(lvy8#5z`4b z+nG|ZcSlXZX+`jM~<BhNKDs%#Bc;d%P)VLyOm{@6z_gQ|cGmxq8cs zd#c4F{f+LYxaZr2?f6vADSc|PU zb1G<6O{7zxIF^5a{_;>({)n3?=$EcL!Ndhk6;b?w(-xEVY;KF5+@BozK`i40h2E~? z!D>d5UI(tQPnd6h5gos0HH_4PiU5z6JFGXAVs1$D2PJ%Jhs|nfGkacz9iOoejkm~8 z>gTJU(36OXwnJp0I9>4;730?cxjd|E)OyF4knYDXY3@qL(?U1PUFzQ}m1Eu$&R!(1 zY$e9Nt96t`kOOACRj$X!Xx|%-h)50e1nO;HY%aFz%&Y3_zzB9875aioW?7|Y zU`fA()DZp7am$5mH6=mPc9OxX*phUWv|hqUZkq}=Ar!{&+z1@nd0=`G5n+sKX#O5S zKx*D)lADEqTx)WR72t&whxD0DTVgd`q8VE4WA0-4IlRu71y5jnTA)PFh^wA_rT-Pj zO4Xq7E74yC3FiO$v$~p|u5aiB&Ak{(J9Ey*OhBGp`Fj`4#eI99G+P~-NHyLmq=pUk zp)?pWRF7bPB3O~31EGkc5C7>Di%iDNaVrWVFr5GWGuf>^v|E&hF@AbAEx6*CtO7dE zk^fXLkibI@3^?#ClHy9);O3W^J8COf)z30a&(AF2Zm?jo54y5k=_($nz0qx zAAUaq_NNk7RPm^GnntY77z6WK0|`{GUOaOltFP{%>{vJc7DR?#qk;C~cvN!LoQyxQ z+sZ=0Dzdvl=$Z`a?4vPjW~Mp|?B08I$ohz+&vSNFp|s+CpmWe=_En+4P>Z!Iix_Y^ z1cLk2DOKFfAxc@)@qezyfA^x=chMrIy84SM`qqbcN56*C2Sn$RB?}azieSdZSreU) za=nL(8xSJs+jmfYwt}nD!(t%(1;Uj06i|vs)!3*_Fs4qrNn_L>&C6fV9j5p(P<#n7 zJf*~L?X3@7Io~o2Bg$~Ep_K>1_(vDhFav)6C|?n<7+QgHT$st^O%G)~|* z8>1mNo8gEd%+}~ej#G5|&1ba+DnzJ*h;$>3`g}#$n44?hEz_E42sUZ4jQKj4jyE_9 z_^GohusT-cfk^!t$V@rM<6;yCWUKXOut4D#Rw`H1%sA!(%siY?f9>7W99<+<#(|62 z7kVF>KoOlPTT&{-0Do`iwU=|3L=8*JC$(MruNj0I5O=n2h*~Nc+Z)u8Zg5K-m+wJFo4cU#hB+(RoOpsPAlo zdbk&dJ7p4-mVdpq{R$*UmF7NX7F4;bnV3xwEjazX+O%d8^D)D6r=hFb7oQM;kZ!VB?(oU z!@lkeRn5$t){Nd@3RzpkjrH+o3CLBm5S&m};(Jp%z!7|H_uiJ#$F9k%wU(UiJeY3+ z0S)Ne-32kuRXak!COEk43|p=G#Eoysc$}2YxIEG*P4_eqo(HEa2Ior$QZx4>e~R?r zm^4C9$V1kxSU2Hea2bjThi=>5>c=K3yFR3>yEf_t(}HNi5tk>FLYaJsvkPwIm#%upy&KX@jQu#5OjF%$LEe-e3QcPp}dsM_j;jF%F!uW|O|Ehi!u$1r|&0^XD|o8-C0=WUli*`XGo$0YgbnT%S1i_%Ko3f39a zCvwtoZXl+$>gehIuyv=bJcF1F^n=-T2}oC_a?jq(b8&+2n`3*KUgx_e@c*T{!2FJb zdqdi1=wQuL2z^zZ(ad9qUpijk=7f9%P6jM5dCT7+RsJhNyQQvJS|h=G`$6vD$A}I+Hj^l`nENoS(b_u6VwUnkbzjrNZ9cUbbr|=G1 z_%+ks9}*Tyy3$Wj?K_0=HDT`hYhNz9N5u4^4b2dmZWlYH-ovG#L^nXE$r)dLpR!C^Lh6v$hr>Aq70dyL5W-nd184rSmJeJS&mv zQv{EaWff#Uf1$$*5t~>&Z)LoJhwbLFc5VbgT84J0wBzYqagY*_&m`uioHRVAyVf!f zm*@&5o+3wZE*qXkN^*G51{YQRSLgA#0^r7}e17d%8OXOMAiVy(-pX^z&9 zY2LFd{#4KvR_So0FYjBp{l%iQ&vz%*&o1~l_{2X!38NgQhTaX#C?f)f6G<{i%n!T~ zLG(=R2Y)2YyK6`+;8 z(q-^pVdi8IEU2fi#u^Y+|00`r75xpqyDQ%IkD#EF`g=x@0bX_>Lt);YHa&iM%;i|( z;c!yl^Bth)&hPBQg?LF4g7;u7by!6XbO5re6Y|K{Y;JyFs;#U`j@VLjBy&N0%Qt1W z(e&@0PMDY4&CD>c~eFz@sxH{uwc=)vrC2`im# zkof~>D8J-iP}NULI$d^EV{$2t8d-m<>#3bqAJ)!oh{O~NsE7=Gi=r;_<_g6@d>wTd zuJ*Tr`yjf7H6LPriPS7R4U+Z|{9)+hGD)ryxZhIfJ7qH%5spCrG_zvq#Y;-BA)9@W zCmXp$BroWW`*{+gDSH@)j1MF zpjr+`QkgnOIhG1&{umwfSfGN&0-p*3Rdb|bvGyze*>8|i+G+f1?;bV$1ld6)DV|sL z;>{!Rl@0a|sda02f0zW!Z5^L8!#o)2B|axigG8YP>-m@M-9|`vvFJqp@mqhg!d297 z?%y+`4;DXKbYE_P0#fXwOL|etP-935j1+&8v~d1NyH1M*<1o?VFVSdS1&I$Q5NP-z zHkE(oJM)BO;6aNDxt2{IcJChnQJtzS2ebG~O3JW0I>Cv!a2~B5CC!c(oFtg6Hr??? zxnLA;1SywOu#yp=Txucb{s@1q1P$v7ci~<;Sb7Kt@PO(Xf7(q|)>JTV4^&5X$X3XS z^+3Q_m~EZ|dmEPX1;77QtzxbB+?|S|4XL8EM#kMuJ@UYk_;znIR{pC~jjCOysRw*o-$b0TgQbgAQozd!Of7`Co{A_H^G(|%m-hB;{76P3($Ag1d@HhH#RwK zwZlL_@p5oc?XywK3Xe{w$edbF2JQkNDwO6tZnWW21K`0+V^Xmi10hIspjVRG_Ah;+ zMWAs=fm)%tGy+tL!GsZ0oob9C>k#H>O23f*N^;vICsbue1l4|6(v?P;qAOOs!1Fs`mWgr z$z&u0mNH?SO|Q|!8$@GE&%60->3e^EnwPSG%u3 z*91aT{#fSW`B3P}Z03F3*_1^iuUULTp^#>s zZ1JuwGx|HTp5l%a-+pm6BwI6=9Esnt#|M-ryoLbfnt3b9AjLM!ift>MxY{6T9uHsH zdKTm;bdfe(le9<|=L(&Q;@ON`It+6eFLltZXg0ia2T4!E4s?$zh(*4vH7uShqbHCC z$qtLR1>I~wiLG(cAg}^g8rUUTbR#Cef@H8Bbh$*1@Xi4*B}N(gcXsgWQaVgmrX#_J zYF02(6c-`p;g>Te5>uFIRw-7>d`Z)9yP720nFqS!h9CR1kU!>_@~fbB&zVyRKzh4l zA#Vd^nwO}~>EXFAV3PL`Z#huuPibc{1$1Lt7yL1L z9F3MA*}~x_$wO;> zdL6A5n0&UDqYeKH_>k*6hN1NoP#yz#{#-bt2Qq zr71H6Ka3|xD3nc8Zf_ei)n;E7O=USz|8gZ$Bgg)3UbDUivt!fL~2d}}u zuzgb*8>>%Qrbc{!e_umVtkFkz7*;B!5?J>@T8Mt&JOs);vot5bqBO}RGVjN29+-8L zW>9L{eKaiHGW7TX!!w+Yc*|~_8gB<@PgS=2^!v97NS z@j+5c~Re0tJ^7Zz2VoXw4K}@WV z`z>)(_exXpXFjL2Q&HRq`rq$Mlq*1+DqI4)8k=jFArcb)`pn(bR^^HgN2c1q@G8aA zfD>ET#KAFOP1-%%PY%8t%wz|J|A-94(s$cnP~D-Hstvw`uo0 zsQz9%P;{aYT#p)4voU{dT`je@E)MIeW`u6}mGMd>;O62jY+)b_dNx3^QSm+}`zXe| zKO_vsU#}HM4iqQ(0OfBPHef-F#wxKVLF3YFGR)0)Bg6xbv59_L*}W^28%N!i)g=8- z8?$iP!iOAbuyCMw(5?6047B@7gz_Lwd@6vE$tQ*Mv>q(iwWBj zVDJS@voOmpp;;0A{w^zS{1zzOhM`t;t1xc;Vg}uz45>ZqT|=mYH_Co=cbo8<@60RIuO$DhHD%l3v~A79G&#Y2Yt9N7 zc2rv%-9zWz(MC@e(&nj{%h;vnEw>WmsW_05gS)oop=g}b4`emH{zNzloSdg(D>}BL z>xWbE#H$uy5n})Sz=FO$Mpozw%p0)KYnbwAb+CswTuo_S`q8?(&(9Eu+J&RWJ@ZN) zUQc*`y9_qa2veBdz+@aW*IFd-&m)9W4*dU*1-1ef@%AyP+()!)9P=`^z%D`_`}CK7lLa*0QD^|85jpv%CPg zGe>yK)BY<`Y*a|j`L>xiO&`QiA12h&Wa{4idZx)1W_pnIE0CrVa5mN)MUwZEVx_rL z!M}YA&Au@6uNT(UR0uD7IsF&ZuJZ*(3yvC#2xWPFe&<6zdrL!SO9;ga+reA~4kG4r zuGh9k3y6*hB@1(ufmp3`rNl*+Hn8%hlAI^sSY1eeriWIs0fiKANw5XK71Sp;GLJ3b zkpcDh1i4Zb9d_=tjILx32-c%tajWcXC%cUXb1l;*mVYpWARoGkA#f=*Q5tm`75$`w z{p#X*z&5lawPYb3)c=KJSNEArx#SdWH>Ib!sBb{x59(ARrQ?KU+<6U`_5?@JnGL%C zm;&WM++dV4C7rr33~`zGcoV@0kB7lbQXBY45%Ji6=H9Xhfdds1c5Z2?P;I)J1#e&7 zKbmYwe`Wd{3@UM;=}VOopy8YidIwmAr0guYIqm02ZOxM3y^Lm%MKmG}B<_Qnekn7`(BJgr&DJw7Nfb*` z_#)XW6)^qrB}A4$rJ}Dd5OHG)A2EegBiW+ES|m7+uw&04`K}k#j6(Q=tWcKjtf`Y!Awu%&X>6vEjAy#1 z!*EL{!q@&6%m2}20W+sS8Mu#i{vBp2)47G%4MaGUhST&yuhAtFugsgomhtVDm{y*@ z*yE*KU-PEkNDPY1{DEKV-SW*tb8%)yr$UEtEGr=ZUFGa^j_=)M^8tV#7e!a?cF`& zN_uHsrM1C7fke*F$-N!PO`$hv6Rx|$8^P=0y~_&0)v_G|dcvOoxu(Ks7hUFn6UaZ4)~4~3}0i;XPQTWKgQJGURmo^U=6PYEsd zGuz`h_k!)_zW7{Dy}moNjyf%#z}%yZr!PgJ^fVx8R|@id45WT3gnYU^u4}+MJUoK0 z>8ALSFrMl4xSH*F7UVcQt*>Qv(G-FzH}fZ;%YB<$GS0-Uy}IdF1_ib*?{b?!8}*PN z$5#7pKUbB*LY50$%Y687cqN%NLxy(kop&2VC^-)d9Q5dm&sQDXw8mvLie$u3=J`IAj44(*%Qk>2KT>};Ng7hdr{B0?29n} zAWx7&%5>02V0*w*r^whb={9Q8Cz{)VhfHPUA+KgX8# z68&zZR41w|fYd%@Wl)x+PdQpT83$XRC-}3hwp%4$9av&PF2{$m7P(qJ4O^khYU?@k zT=SV`=_``>RKdEZbslQRGW_+RA5ckmwcJ0$KYfB3$J*-G`RU3KEr*cb&D1t;D>%=Q z8Gt3h%4r_^OYX~jeBrU5Q6=QBLf+|WrRv1OcBRilM1r+Te$Cs|Nzmf_%tL8U3WN>5 zi_c!=Zu}`8#nvui{d(*7zF-C8ceCci;u0-Fd-c?(AIBxHpX4v;PpOm7W{?R>z`o#i zyh(dnFe$xY3g1D}c0}fBCX=(zf5cBh{t$Xf}VGMM9ba@;bCrhbbO zL~^wZ*icu00vTkCgimc`k2^4kK`R6(ol4uasEQ!l}Im4CjXoR8rP>LAMv9jIM zjoM=!9b^?qb$U`M3b?*$E_sdk%)oN(_M)W=>*&UGH}dM&s8FB;PEWVumJY)oOX(9t z#!aV8oHbfK7-sp@g_MvaqcGwY?mq&vFe-3CTj>V{d~58h8LO_Re&E5GTo0ri5TVf1u#&}e`+F)p*q@cPx=R1eq%TfFu7H)NEwN1ZBc&jOj>3- zukKTk<3@$Te~=yoo|_zN?8;xajZ3FZNZeGaVSMF*<1T-moFIxd{k!DcH;J)&pBY0y zY)7IFTvYA)mfj#q*hpeLyC*rBLOq+eu_E>bMtD+YOiE{}s|(B9cJyTbs5AMmJJ z&Z{-PZ`z5XCUo0~OT?5J_8}cX_eWF4GJrFo+4s2X$7}p51=n&m%+Y>hIC5L$h%Rs!g?1 z8o|-T+$_N!*$cU2N;X6K@Q%D-ab_mCL#VO}EIWymYm~px%=nu2c~KP#c3TrHT$MvO zC6%g}b01E>hqIPVa=v8f(loe89X1r0#_a7Y3Zg4R96dqwXRdv_bp%IZgVkvZMtiEy zB>bz^j5#y}yrv1A$wSaz4}xXbzZQh?ubGJNe+gKKygBm!0g6SXj5NF1EaUagq3*#dPHGn0mtPqYTTfvkWa)m4Ua+nzA=U1| zW~BYAV05JHs*l>?hp*C>MS*gpXwDG@2N8ehdQGTXTjY$Ac0YIfE>%Lqy8U{MeriIT z%k#!nU`0jW1U-Y-su&Ad(af^nu>6d9a+A7P149+e`p>+We&ve=!sc#EvuqUP$w>2;LS<2 z+up%)*RsHD;6#N`^7)hz zdwM$R?`-@jJ49#$m#qyY+_%{Dbf%9Uy8|jlax121@EmF)7489IK^_FiROiW7;T}zr z{=MzcRtdqFF=93}+`{-r>~?9L>4-0mbng!AF@?QQA;mo=zF`K%Vmr|gBn(fB%b`%w z-6fxk!mJZxZD?-LJ7a@F$inZX(V%~k`wwP(X6Q6^qc5DwJr^+^W3{qu+e{p?X6tiq zlkjyx%CB`iNiEcvnFM`pqGt+ngJrh5br$CZ*u<29*wits_n+^&kh=mQ6gt~z6V9YE z<}x(%?uaCiz-t~6ERi4znE5V-3#P3Qxn2Ejhd%?Dhs1?OIdg|SOyhN{k>)FW5sC_$ ziir!5q1at+M7yguh5oxnhE-YhzL%Ne5%JUk#>iG^vowdcWuk^52gE(Hxc@L=xlLK! z^s9|RoPd3CG+a;EKlQ(tX3tqmXg_$jS2>3j$n$U9f-XWrNb9w}2eJ-VI3u6KsUFHm zp=w4cKvphK6Hc|*__-Z1OfLSWzA69A+02=+T_7wHQVu*hI3|o;P73Kz^`sG0pC2x%E(r zFr}R+)~idfoc_AQ3aO**_0ra{C*{>j;8HJSi*7*#!m)HdcQ_|87-Oz53PCGGW3xqh z4A{K;)kOBr6n5Vjbbyse>jy{O%Jwx?ZGSHnF&7(tr1y;y$RPWLm8@>r08)Y z$jakxU1HdYoY-3md%b3&)mt=s#%4fHomzRxE5PMP$HTsxOJ_&KM1JbqlqY#w$wPPX zdNQKCf}8-==}s##_S*fbSxD&>RrVZH`I$ain>)Oa_D2b+kQ)&e7ieBq(6Jv|{rC^V z@h-_@8$!0FQ88(S-1(W@LJSmHVABQJ!1sv>n|_HvVVv1yq3wE??U=m z#K%CnKPqOX_bq1dq4M|mR9bwE=F~|-N=FcEKPv>IT_<+c>F&9w&}9z+TxV(E9^eQH zZ4oxN^m;#$K zG>06asvOk6FLc;X#32@cqpGx@+1>W!Gizf`D0kB*yNX0Xcb{s5%39h>)Xa-$RX2sP zZ1%BTbkM<_NfsN6N~{HKmRk`j<7uA7Aqg;nPgN0Xc|o0I#V~b6CnSIC2K&up`jFAE zRsyFeU|)B zv)ma*kZW9$rMl7`Km`^0TeR*zJI)`) z#FR+(hQC0~c>*1hTGXt5>JH#kGSFzS*TOo(qqKP9zADK;J8{kOqxJHEnD~1W)!E8< zT#A^?IvV$r4t6`6wLr(u9b(9>PA@FDPyIdo`JFq7U1IPp$_T1aAgl2S)gSF@$Fn(y z#uXPXHB;HStF1WbQ^ZVO~e4@3j}H(vtiEW?d0a>~`?GT~jv0^Z`tUVV=g~GR-}9G>0YHL%0u_ zqgc8kF*Ek!Z@IcQQkpGKd7R!Iy}gXThbXlb*g&IuT{_j2tLEnjg`8W>;nt^FN)1O$ zLMh^rnDp)pZ;iGaTknMMqp8L_g7~J_u>#~6S!ysa1|=LMa~|F;V%|DM)g}dlXck{? zTF+9lWXMWG#DpKlj4eD)e-Ct?#c0LbCGEZjg(5^g?NNzV21oV0#hi4-J_tIOl5qif zn!FL-ITOznGK?em#XvZ{p^lmDZ(uAiR`8>Ex>@1H@7{RtD)dbmU!umg1^1lRDR?%m zx-lKQtwB;6!{0v9B9HDHB88)sIGY)-E+P55eSqcF33zvZj;!u+Cg*ElhEs|u-!8f- z^f(ojhjNkbAP1F>>=_wY{@dRh-8bP*KD78XW_orzSQjeHNr~ET2Pm(@jVyQ(I#oIF z;A?wW$ZvHo(=`V6w~N#{j4iysd!B&+7rm^Kw^k+7R_2qG+W{Hd9UbcWj25gR9E0c}7CXvT5>&^M`&M@!Rr$oV@OlD{(pZuGr0W{(0`!UGeQ zP&P@Z^k2DdG&MkfFGa?IK&NpD^S-pKIg(M{pXdbmzq5_UBlT&7Vp!7bHOp4bHHDvr zZtlhYu?Tk2a??Y!0tieYuIqfZoiAn@W-;=2o)?EM>kWiVFsGbPMS2xJ0-Y=}iTh5i zCtY0aoAzBkpNp-DeW{(s!P8Pu7NKUt1=l+qr(@;Bet9UegTth9VG6 z49O+Psk7JHX3M|9tv+-ZiU$ujJ(H^F-x$S=QG_w-CQ{8~=m{WkRF+Z3*$7-xbBLk{#~NF zcud`jpO_MYAr~J)*#M1K!fKaADqqB+`LZ#ZZzqm{0gFD>!^>cp{_cywKsa0^zcaZOA1 zu+R5iESyD6ifn%%ffyXq%^oeOEHuNuIydIf{wTw`FDNM6_~PzA=@!DE!@HyGO-LIu z0R`OZ!^mgl8`e9&hD{k4bz^r#cmbu^J2gx?YY(R!r(cb#=`2SndDl0J8P^aI${_2d zj$h{~(=o;-HdUym&(16|OAU<>)RX5YUrW6|uwH&AHm`RyCpYWyT54cOyTl4qcKj!p zN|g62mEDTDF>OcEH_4wH(VEcI^_uB8Q#s1Z&dE}-ihMZJ77tCX`4+ie$4LaGL(w3^ zS4fuoi^xoiuRKvdMnM(x*yw5~eAH^L(B#F+Qs{B0WG1RI|Ey^sIh8E#gcRyg0H^#0 z0^rZZVzBhmcHs`})iK3ZRA@kwH()kRW>DnSZu&8sA)JtIS-y%fSG5;SXJrbe1f94S z33*_3p9yiJB}IQ;SX<8(L?QycM1#FGgPTczo`G;bT2P>3qd8oXQg`4NNh0e?DaPAn`pW;Z!*dF|`jvSQ&L z)^C;q%JTuMmfTbKxZCjMOv%AxzF}%m{}Ftp`HtKAP1gXxx`vBE9c}5@@qOjyP)q7d zBsWR0_+^Xnkw?iG=pxTi=jklti^Uo)L@M?d!lS>O`nLrRO{`;Ysq*I7)QQUK;p+wz zDk%uVmc9NukSqi05clCuH+cojt#Dp#&!;o-HUdC>w7xS;0{){$`v1!cf>omv5W!90S>A4@w^~Oyv zv%>SUA#us+M6r?jM08JeLiu<~LFHS|AH5H+ohJB=;Ivl@`1Eo~eJgOM5C2l86W(D$ z6{b*wL9q1P19x~mdAEcM{@l;?DB+^`>6~vK(`VNwBh4V4MeIx!!5nGQVVd!gv%f+#qea$Y0fwLQXh38rF4l5v-6Q_pfk+u} zWc^{_<2WYcX*aG-?{sDE&<|QCW}6gGy$+>GaoBk2%Kz<1BXVe~_MC5dyDV~C9oHO~ zT=dY1Ez(M4g*MIb?wh}QJs`k!8j>y9VzKUR4QEuTRquAAx%me%9z-3mK(8BdKY^*1 zf>W=H@^Glma2=5@{f3UM2e{s@plvuaSeH%j@o0#!DD|1{PTz*8gN2skJ?}B|E}prJ zJsP@kDMUcG0$_j9Xp#AP|7OmKbL-cEx(of6aS{*fciK<+>kXuqO|3Nt#}$|et@qEH zp&tO22P5@V`5%ZNHha$2l$5AazGi_!98>qJ3fsjQqykT>e$SnPqD%?5YPQuu+E+S{ z!;TI?D$m(>8|j>tx_;ven1UPxAHKd+j^Uwo0;@HM86Rb@<0m5(S5(;#^ZS~$4P^Dz zU7xWXm4@?Xy4&P>hzq;hfgZKRRX4a1f3kbvrFW&BK`t@#!TcTra#U3cvn{?3?WI!b zk)P))rj#cp#?}$JI#tvSMH5=-DXMA8D15>BbrK@$ZX*J*NI%sokxc~@+JjW)5OPb) z$rBIK@q_GfIuG03_VG%hsiN+rQsjAkk?Iv5D5jm-Fj~rVml!*vW6)ep`4< zprz|SR|=LP@vS66YLy2vBCz`goe?I*3Q+1_&qCWR&L&j*^bRTL8dqm zkzd-Fz|Z6Q`8ahVYL4fei}wN5Gln~i!-QcJe(6>133}Gf*WESa=#uz9x%cP}DE-LP zq1Xfg+TcDi;)ophdy(%xwc93Cpcgn zXXPw%I(4(Rg!l9oP6b}cF@MfQ^~sdI+b>h@quN&+EVJ$~Jj(;XvWU=C>L3D)Iw)Oc z$w_@};a4xQ+p%0>a@9-Nr|c>J-&Nqw0jI&5Y!{o8q z0tz?3lpcBCX$8!vD=*K*o|)sz&a=*JaynBvq3L(Z|A^=zhWJTO3>dy~&SvC7YB2f* zofqBoeW)B}KmRzTR`r=d-1u+JA25bGGQD zwvN};7_mW_tDdFWET$d*nH^7q5w@OWgkHo83 zDjdw{Da}L)RaPpy*+^y>8H>p<`H98EgnpKJ>C`S?ScsKli-{|~F9TilgneA)@ot+R zM3?p%4)!$+EYH5O{@JvfRzVo@je0@+zP*kR8Tl=~vYd5O-LtN?_Q-K%-J9!^AX4{k z;Ei~ZmR-;T^3+b%Dj?)S+3m4c11kq9duepcjuF}nPr}vf=-b{e!L>3Z7XfFb!|Ogc z7K|$h2EMowaI>q}W(&TfjJsspRBaGNMUk-F4G{K}L9~@8^TE_CDE8xiuZ_w}mUOWm zI#-RQ1IA!ax7GVrI6p)?n)-uYW!qIe$7dgbnPHwr#DkT(79__;){c5-?z$z~8;YNM zXxo(3fKG*bp}C}oky+wd)WMOw+8YWp{e_M|Kn8E_FBs=$advkMcU%J2$Qu9fi30BD zf;;@9&;?hXqq1vJFEKH*den$op35e-O|}g|*;>ElJo*HWuId{0VkFn%!&}t8?7->f zO1+PADB2SE(I9=3hPv9Qe3`?*U*^(LeZEf-_T%c>36(iney+22JRdBBPOAi_)=r~;)f}GDNet5TUQ*Oe#_nuR!93mPjyO@!;{IPlFv<+eV))|*PL^QPl zU9lH&_ZQ>qw#zz{6G*Z!sZwi>_Ey(doM8P3rj!@mi z%ErhCMHDSKv=A9qcVZ^zd+D9+#``U!XeJ$Lg0Z!YR91AZM1A}3zod1T{%}jKUC+0C zHS*Go0GFzVjdikXe#wejSPE{JIpT=eQUU}9VZS-pIxLPpPz@G z%k=G4jQg(5Xsa{h`0lO>&u4R}v6e3m1;V9G&`zk<5g!(hWR12=IrrV*$9{NXP8^N` zOd9G7LN!Tc^$jTG;WgmvUW~?#ZCxi_sXb?dX7}ZBl2u`CSgsBRwQnjTA>z*NE^P^F zG(8{Kl!M=E7x)cneX{8bD=q9fQ!vh%3TaQLGa7#zrhYeBffKD`+~u9WEOOgxOHo}z z_3F6AC#=)Q@u%S#n(k2(OX(~4baYjl@`|9GK+xgu(;&bZoEC7vPwT0b98&1h-IL4Z zTw4Qj7o5R~UHu{Zo1|eCn@TCb$2ZSYNA<0^5EZxAsy_?4{Beqyh>^!9cRJMrw>>Mr zJ@?jrcV{L>xND*~A5mSZn(D-XTZG@F)}Y?gr}yLbSUvJ5OpSY(OuGa}ysY0Y-P z(atU|yxwuUi3O-z90H@I|EmQjNx{~xwpPw6__g9sep%+#a!LLryebIE;e;~ZLooYRu2~yy#Qqa0rzganb6ClG{=C#Z?ADVnbm3;AVWkaq0exh9`;cy8 zY4g(Tc**wCD0Sz@tbf!!|M%?+`fy@~_%~Yt!+54E$WJ3l`$g^D91?p!WZ#k|vD##R zjj-5aYp-O8N0yOqU!(mV^q1m;UXR;|3|1*zSeys;|Erd*m1AOxy2^J+yn(YvwzcUn zY<(!vO13i0-&O&U8~E`_b>4&TIBHxr%Nf?WYDYYxUs=Hg7fiDUxr>i6UO`ROh>p>23z@LWgLkhAqgO8?QojQ5oa(d}xA zTukq)92SA(m9&?U1>YASlyG4e_bHq9oQC$=o3x{-Yt*QFy4cM=M_Q&PBJln}L4F(J zI6|3&|8?|Im;Pj4LCJw5HDe!cX{B%iL-TRr?UPuUs;?q7?xkknHj;wFKFYQMF<*tU zlh+UVW0>{>9#$?QSh>*P6!NrnI3isO-C#vDK>Wv(0y_W)+l6Zm{m+(_r3<%@ljGk| zl!Zm{y#VYu7y%CTKj*=wf1q^O>OZk6)>bxuLw~2f{0lV+{+j{wH}!XB%YVm$Et*5Q zg#Mvm2`+y_e@BS?3(da%2l{WI$luuC&X|9%kR0?61~bU~4gGCS`FAWu-G3mT4}UX% zzvurBGy(oE^S^KX-`w9%{J-2&#{cDD&%cHW@_#%oumlx!xP917k;sCBWK}fZ{0|&;&Tjw! literal 0 HcmV?d00001 diff --git a/aps_.runs/synth_1/top.tcl b/aps_.runs/synth_1/top.tcl new file mode 100644 index 0000000..54c01ee --- /dev/null +++ b/aps_.runs/synth_1/top.tcl @@ -0,0 +1,64 @@ +# +# Synthesis run script generated by Vivado +# + +set TIME_start [clock seconds] +proc create_report { reportName command } { + set status "." + append status $reportName ".fail" + if { [file exists $status] } { + eval file delete [glob $status] + } + send_msg_id runtcl-4 info "Executing : $command" + set retval [eval catch { $command } msg] + if { $retval != 0 } { + set fp [open $status w] + close $fp + send_msg_id runtcl-5 warning "$msg" + } +} +create_project -in_memory -part xc7a100tcsg324-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_property webtalk.parent_dir C:/Users/Alex/APS/aps_/aps_.cache/wt [current_project] +set_property parent.project_path C:/Users/Alex/APS/aps_/aps_.xpr [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language Verilog [current_project] +set_property ip_cache_permissions {read write} [current_project] +read_verilog C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/defines.v +set_property file_type "Verilog Header" [get_files C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/defines.v] +read_mem C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/prog.txt +read_verilog -library xil_defaultlib -sv { + C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv + C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv + C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/rf_riscv.sv + C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv + C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/SE.sv + C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/pc.sv +} +set_property is_global_include true [get_files C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv] +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc +set_property used_in_implementation false [get_files C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc] + +set_param ips.enableIPCacheLiteLoad 1 +close [open __synthesis_is_running__ w] + +synth_design -top top -part xc7a100tcsg324-1 + + +# disable binary constraint mode for synth run checkpoints +set_param constraints.enableBinaryConstraints false +write_checkpoint -force -noxdef top.dcp +create_report "synth_1_synth_report_utilization_0" "report_utilization -file top_utilization_synth.rpt -pb top_utilization_synth.pb" +file delete __synthesis_is_running__ +close [open __synthesis_is_complete__ w] diff --git a/aps_.runs/synth_1/top.vds b/aps_.runs/synth_1/top.vds new file mode 100644 index 0000000..6ff603a --- /dev/null +++ b/aps_.runs/synth_1/top.vds @@ -0,0 +1,510 @@ +#----------------------------------------------------------- +# Vivado v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Wed Oct 19 19:57:34 2022 +# Process ID: 18728 +# Current directory: C:/Users/Alex/APS/aps_/aps_.runs/synth_1 +# Command line: vivado.exe -log top.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source top.tcl +# Log file: C:/Users/Alex/APS/aps_/aps_.runs/synth_1/top.vds +# Journal file: C:/Users/Alex/APS/aps_/aps_.runs/synth_1\vivado.jou +#----------------------------------------------------------- +source top.tcl -notrace +Command: synth_design -top top -part xc7a100tcsg324-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a100t' +INFO: [Device 21-403] Loading part xc7a100tcsg324-1 +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 1876 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 693.348 ; gain = 177.703 +--------------------------------------------------------------------------------- +INFO: [Synth 8-6157] synthesizing module 'top' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:4] + Parameter COUNTER_WIDTH bound to: 5 - type: integer +WARNING: [Synth 8-311] ignoring non-constant assignment in initial block [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:16] +INFO: [Synth 8-6157] synthesizing module 'SE' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/SE.sv:5] +INFO: [Synth 8-6155] done synthesizing module 'SE' (1#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/SE.sv:5] +WARNING: [Synth 8-689] width (8) of port connection 'extended_constant' does not match port width (32) of module 'SE' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:29] +INFO: [Synth 8-6157] synthesizing module 'instruction_memory' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv:3] + Parameter WIDTH bound to: 32'sb00000000000000000000000000100000 + Parameter DEPTH bound to: 32'sb00000000000000000000000001000000 +INFO: [Synth 8-3876] $readmem data file 'prog.txt' is read successfully [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv:15] +INFO: [Synth 8-6155] done synthesizing module 'instruction_memory' (2#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv:3] +INFO: [Synth 8-6157] synthesizing module 'rf_riscv' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/rf_riscv.sv:3] +INFO: [Synth 8-6155] done synthesizing module 'rf_riscv' (3#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/rf_riscv.sv:3] +INFO: [Synth 8-6157] synthesizing module 'alu_riscv' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:4] +WARNING: [Synth 8-151] case item 5'b11000 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:24] +WARNING: [Synth 8-151] case item 5'b11001 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:25] +WARNING: [Synth 8-151] case item 5'b11100 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:26] +WARNING: [Synth 8-151] case item 5'b11101 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:27] +WARNING: [Synth 8-151] case item 5'b11110 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:28] +WARNING: [Synth 8-151] case item 5'b11111 is unreachable [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:29] +INFO: [Synth 8-6155] done synthesizing module 'alu_riscv' (4#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv:4] +WARNING: [Synth 8-689] width (5) of port connection 'ALUOp' does not match port width (4) of module 'alu_riscv' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:94] +WARNING: [Synth 8-3848] Net extended_switch in module/entity top does not have driver. [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:15] +INFO: [Synth 8-6155] done synthesizing module 'top' (5#1) [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/top.sv:4] +WARNING: [Synth 8-3917] design top has port pcc[5] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[31] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[30] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[29] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[28] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[27] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[26] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[25] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[24] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[23] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[22] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[21] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[20] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[19] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[18] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[17] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[16] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[15] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[14] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[13] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[12] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[11] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[10] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[9] driven by constant 0 +WARNING: [Synth 8-3331] design top has unconnected port SW[15] +WARNING: [Synth 8-3331] design top has unconnected port SW[14] +WARNING: [Synth 8-3331] design top has unconnected port SW[13] +WARNING: [Synth 8-3331] design top has unconnected port SW[12] +WARNING: [Synth 8-3331] design top has unconnected port SW[11] +WARNING: [Synth 8-3331] design top has unconnected port SW[10] +WARNING: [Synth 8-3331] design top has unconnected port SW[9] +WARNING: [Synth 8-3331] design top has unconnected port SW[8] +WARNING: [Synth 8-3331] design top has unconnected port SW[7] +WARNING: [Synth 8-3331] design top has unconnected port SW[6] +WARNING: [Synth 8-3331] design top has unconnected port SW[5] +WARNING: [Synth 8-3331] design top has unconnected port SW[4] +WARNING: [Synth 8-3331] design top has unconnected port SW[3] +WARNING: [Synth 8-3331] design top has unconnected port SW[2] +WARNING: [Synth 8-3331] design top has unconnected port SW[1] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 757.234 ; gain = 241.590 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 757.234 ; gain = 241.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 757.234 ; gain = 241.590 +--------------------------------------------------------------------------------- +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc] +WARNING: [Vivado 12-584] No ports matched 'LED16_B'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:48] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:48] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED16_G'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:49] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:49] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED16_R'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:50] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:50] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED17_B'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:51] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:51] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED17_G'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:52] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:52] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'LED17_R'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:53] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:53] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CA'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:56] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:56] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CB'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:57] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:57] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CC'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:58] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:58] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CD'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:59] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:59] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CE'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:60] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:60] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CF'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:61] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:61] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'CG'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:62] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:62] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'DP'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:63] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:63] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[0]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:64] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:64] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[1]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:65] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:65] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[2]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:66] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:66] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[3]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:67] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:67] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[4]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:68] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:68] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[5]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:69] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:69] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[6]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:70] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:70] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'AN[7]'. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:71] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc:71] +Resolution: If [get_] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/Alex/APS/aps_/aps_.srcs/constrs_1/imports/apsLabs/Nexys-A7-100T-Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/top_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/top_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 837.828 ; gain = 0.000 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 837.828 ; gain = 0.000 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a100tcsg324-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- +INFO: [Synth 8-5544] ROM "ROM" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 3 Input 32 Bit Adders := 1 + 2 Input 5 Bit Adders := 1 ++---XORs : + 2 Input 32 Bit XORs := 1 ++---Registers : + 5 Bit Registers := 1 ++---RAMs : + 1024 Bit RAMs := 1 ++---Muxes : + 10 Input 32 Bit Muxes := 1 + 2 Input 32 Bit Muxes := 2 + 4 Input 32 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module top +Detailed RTL Component Info : ++---Adders : + 2 Input 5 Bit Adders := 1 ++---Registers : + 5 Bit Registers := 1 ++---Muxes : + 4 Input 32 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 1 +Module instruction_memory +Detailed RTL Component Info : ++---Muxes : + 10 Input 32 Bit Muxes := 1 +Module rf_riscv +Detailed RTL Component Info : ++---RAMs : + 1024 Bit RAMs := 1 ++---Muxes : + 2 Input 32 Bit Muxes := 2 +Module alu_riscv +Detailed RTL Component Info : ++---Adders : + 2 Input 32 Bit Adders := 1 + 3 Input 32 Bit Adders := 1 ++---XORs : + 2 Input 32 Bit XORs := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 240 (col length:80) +BRAMs: 270 (col length: RAMB18 80 RAMB36 40) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +Warning: Parallel synthesis criteria is not met +WARNING: [Synth 8-3917] design top has port pcc[5] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[31] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[30] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[29] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[28] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[27] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[26] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[25] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[24] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[23] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[22] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[21] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[20] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[19] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[18] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[17] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[16] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[15] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[14] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[13] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[12] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[11] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[10] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[9] driven by constant 0 +WARNING: [Synth 8-3917] design top has port inc[8] driven by constant 0 +WARNING: [Synth 8-3331] design top has unconnected port SW[15] +WARNING: [Synth 8-3331] design top has unconnected port SW[14] +WARNING: [Synth 8-3331] design top has unconnected port SW[13] +WARNING: [Synth 8-3331] design top has unconnected port SW[12] +WARNING: [Synth 8-3331] design top has unconnected port SW[11] +WARNING: [Synth 8-3331] design top has unconnected port SW[10] +WARNING: [Synth 8-3331] design top has unconnected port SW[9] +WARNING: [Synth 8-3331] design top has unconnected port SW[8] +WARNING: [Synth 8-3331] design top has unconnected port SW[7] +WARNING: [Synth 8-3331] design top has unconnected port SW[6] +WARNING: [Synth 8-3331] design top has unconnected port SW[5] +WARNING: [Synth 8-3331] design top has unconnected port SW[4] +WARNING: [Synth 8-3331] design top has unconnected port SW[3] +WARNING: [Synth 8-3331] design top has unconnected port SW[2] +WARNING: [Synth 8-3331] design top has unconnected port SW[1] +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:15 ; elapsed = 00:00:17 . Memory (MB): peak = 837.828 ; gain = 322.184 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Distributed RAM: Preliminary Mapping Report (see note below) ++------------+------------+-----------+----------------------+---------------+ +|Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | ++------------+------------+-----------+----------------------+---------------+ +|top | rf/RAM_reg | Implied | 32 x 32 | RAM32M x 12 | ++------------+------------+-----------+----------------------+---------------+ + +Note: The table above is a preliminary report that shows the Distributed RAMs at the current stage of the synthesis flow. Some Distributed RAMs may be reimplemented as non Distributed RAM primitives later in the synthesis flow. Multiple instantiated RAMs are reported only once. +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 849.656 ; gain = 334.012 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 871.059 ; gain = 355.414 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Distributed RAM: Final Mapping Report ++------------+------------+-----------+----------------------+---------------+ +|Module Name | RTL Object | Inference | Size (Depth x Width) | Primitives | ++------------+------------+-----------+----------------------+---------------+ +|top | rf/RAM_reg | Implied | 32 x 32 | RAM32M x 12 | ++------------+------------+-----------+----------------------+---------------+ + +--------------------------------------------------------------------------------- +Finished ROM, RAM, DSP and Shift Register Reporting +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:24 ; elapsed = 00:00:26 . Memory (MB): peak = 871.059 ; gain = 355.414 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 1| +|2 |CARRY4 | 10| +|3 |LUT1 | 1| +|4 |LUT2 | 1| +|5 |LUT3 | 3| +|6 |LUT4 | 9| +|7 |LUT5 | 17| +|8 |LUT6 | 54| +|9 |RAM32M | 6| +|10 |FDRE | 5| +|11 |IBUF | 2| +|12 |OBUF | 54| ++------+-------+------+ + +Report Instance Areas: ++------+---------+----------+------+ +| |Instance |Module |Cells | ++------+---------+----------+------+ +|1 |top | | 163| +|2 | alu |alu_riscv | 28| +|3 | rf |rf_riscv | 67| ++------+---------+----------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 40 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:18 ; elapsed = 00:00:26 . Memory (MB): peak = 878.625 ; gain = 282.387 +Synthesis Optimization Complete : Time (s): cpu = 00:00:27 ; elapsed = 00:00:29 . Memory (MB): peak = 878.625 ; gain = 362.980 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 16 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 895.750 ; gain = 0.000 +INFO: [Project 1-111] Unisim Transformation Summary: + A total of 6 instances were transformed. + RAM32M => RAM32M (RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMD32, RAMS32, RAMS32): 6 instances + +INFO: [Common 17-83] Releasing license: Synthesis +24 Infos, 111 Warnings, 22 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:34 ; elapsed = 00:00:37 . Memory (MB): peak = 895.750 ; gain = 601.887 +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 895.750 ; gain = 0.000 +WARNING: [Constraints 18-5210] No constraints selected for write. +Resolution: This message can indicate that there are no constraints for the design, or it can indicate that the used_in flags are set such that the constraints are ignored. This later case is used when running synth_design to not write synthesis constraints to the resulting checkpoint. Instead, project constraints are read when the synthesized design is opened. +INFO: [Common 17-1381] The checkpoint 'C:/Users/Alex/APS/aps_/aps_.runs/synth_1/top.dcp' has been generated. +INFO: [runtcl-4] Executing : report_utilization -file top_utilization_synth.rpt -pb top_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Wed Oct 19 19:58:15 2022... diff --git a/aps_.runs/synth_1/top_utilization_synth.pb b/aps_.runs/synth_1/top_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..0131a7e0f6cfbb5ab2206bcf682e6d8674852f9f GIT binary patch literal 242 zcmd;LGcqu=)H9sKtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- zr)vvB^Y@>Hs7W~C;6fm}C^ zRC9m3DjUk4N|UD6pzMFLA?YSPtP~_6oi;1RUPjC&1@T-}-j$j)v+RC&G>gkZX8(o8 z*~7EX5-(hwA!YK?mWf|0>K^qs&bmJ%WJ|vO*{?IA;Q#gSCde0-OjE8mtOiVt?#U*V zXicfesv*-|O{crEWHxn~UYR$pP$0L6YgPFvp0F-i9c9wRg}Dll*HrNnNWlQ2{MD`E-cJi>=fTkkVB8doA>X~ zwVJe}>5{2vDlOCdN?oQ`jca9EX*B8UG@UN9e_8rDD%YfjAy?ZMb2LTQ<+`RH(W~pr z_(?;Sp5S)Alu2c>Mf_w>QdC?J3WZc5ogrZc0n!VH7i=Q@bAnv5U<9Y%VWg;Q)n?6> z3X33Tv)NK%VOEojBSZWsIRjA-w_GJTB9 z2>D1l%@&jB7-X6|oU;-5r=A4hB{H+M7Un?&|G!AGJ@S8Rtqc2ek!W$)|0^@(N>x*3 z+n{o#n_itaK0R+-gW5AG&$;~Q4atv?1jovY5tm0ylAK>7el$Zi4wS07&k(;xwO!iK zFl0euRk>oBB5Qc4YO(=QHfdclEBp2{!JqkT1QX?avE-5tSQk0sF;9M6J~U;uDp$8% z1>rmf!e>POb*|J6T5-fuq=Z3nfTmw@{{W_dmS#Wy~>$?f^ znsa;r8Q7N$dy|F91Cs!i?i{gQ8G9cQyReo#WaD>XFOj)MrSdrUHb*`{2hPyKHcm4o zfo+_6JA&UE5_B(-^qlP%yqlPfqqlPfqqlPfqqlPfqqlPfqqlPfqqlPfqqlPfqqlPfqqlWODqs9=| zk!+>*oP)+8c{RAmZK{r|0sNUP&jV*^o5^@*2$5@kEN!*HFqAxQXf4cZX8KCV3*Lf6MNXFUJm8*m{t_AzuG+kZI6^B>Mf_zxFyg_M|K zF2|1lu$UE6xl)?%|LXY*bh%C#$OK#*Dl%gk!vs?V^CiYLXab`THyfn7Gg1K%yoRFX_#7_ zB922>cABPa#56d5l*SLipLIl8{APlDE8&^RXwpVUT;zEcvoKhTIexm0AA&#W;M{K{ z$T!=ZV*}hhn9{oPjvXPhfg@6x3a6cKZ<1>px{O#QE7rswSgq);nzpA@sOBRXVWCVJ zM2?+-T!D-NahM@tdYGSr8mecWJA}O6Ekb@%d0DNg&jKUh#xYo(6=*UHXCR` z%!_Eji(7l0ynNe^Yq4X87|YO-tnMkQY{!&ki-87mdFDg!HSk9X@)Ck_y#8-6FYCwi z#@lw}TcNb@0ecIfX(}~CRLEAWOQdyfuCsU|rOxId28%ViBkdTAcjdz)Be_&a!UgVr za$PbI2$VXkR(R1yMT8WI>7-Byfcu{b^3l7RwRd6I(GeI3S$yy!eiE*P@84RLG8x_LCoD9<83F~_`}UOas9S%P8QCu47p zAIoT`w-d|QXp{%W*v+vsHkXcc&OE7%?Sk@v7+aVCQ=Ah{A7i6Y9vEZCZ;Er`>0@j( z$^&EU1eoG3!C@zK@|254In3C9?P*qw7~hqp@ei-jktrv3c#TGR5Ii0KA}1Feo^xV( z8jbQGc)BuvJw1I3*IYEpgW&1v_<0(=fIG2z8jbQGc*@JjGTIHM{OMb+c0qX%JiRe? zJ~O_ol@8ow_6CI;z4t_ug)Fc%>;bS+6ktjf6ZhI( z!rc*Iv7i0QSLqu0Nb1Wii7YFrq^6CS`XDM}hUzbz^l8Ef-EEs63iE1MHQJGlP6wU}p`|tW> zizPA-cVg#vvaK(WYNi(O!nRwHt0_(>2;&Nx0tB8r_jiZMl`Qf3hSRsCnu4s@486@J za@nDJMY(t0z+>3u9O%qT|2t6ru!0<3HHa~U0R3@C%)_rH$k#pdzT!FF`-vM>8AQkg zRL!QM5LFq(5%G3pA9C!cgkqK>RzZm#H^s&ur}9=XZ0ilOV25qFbz?9pqtFLxhrk#z zOLbfI6rWFBFYIvqxV;&~Eki!#Vuc731Z;LzzL|K0{;EU4zMmlPwu6dXZTGbI} zM^R)8mA+W@9iuMQYN#(|?n^5E;O@Rwt6KN0Y9ghQS4{*-anM^>wpop#h^*3_Ot>tk zL@t+QkzU@Mas2YwS0t!-+68beXeX28<8Iak7CXy^I8+yZ+;oe!0^s4R+(4B=dnM7jZ6!QLI4X@xoe*w%h)@r#>G3PuoglZdsI!Mx z#uc_ZfbdRKtHU)DyB|u$h)dcrevHDC*j3q-u$N?~Noga+X6CROG4qddkU*Eo2bsVx zRja6A82{_=?BzVtXNXu8G_V!LUKx=tEA~f9-DXj$(YhG}8^86;P+RxIj@pQQ!(I&1 z6)S&6*Dv4O96VJiwVORARbLN6hC+1=vQ2r9?f>=9bc>9@%dxvM%YBeN41!@6%yE zEHwe7f|7$2DXgq%%y1gEtm}5=V;rx<{q}t}>v*1#d&0-Z!H+`p{b9*(1{HphyzGc? zxbW+UrFrly8Q8=iEX)qk-0GPlCRNx5S(DEbIlCL!{OgUNxj zYw8u*b-XR)^*w~DbJJ>)=c@9qgnDHoA~0%lN=t>=2O0KREG4#j_aV3k$PWRt9l& zQP&;>Ppq>c4}vZ7d9n)EZo9}Lf&`Y51ePoqT5Gca%LSV)r`xAJ9TubX2aUHh=b}JVBF!=*~jrh=#aLH_?>hJ0t{E`%3}1dzqVly;D0McUDxB4rBFoY00(@ExyNb05Xt5Q=zUASh9$ z9lBzbf@9Y!O&yYhCrxP&CE<3hhuf)FcA+XMx}`zpLM_y#BNi>G%U&)P@IYc`v1;eT z0MHY^nuJqZmcoT~-`SH@ix$aEa32sMBLyC|l-o|`V&jG@np!);pNh=Ose#p|C&+)% zF<|{xg8V|F&6xpxBA#CZ;yX`@#hu0``V)-|H=^ljM(mhB-IJYzMvSU1U(A+L`5Y^+ z>|}n*WU{HWAo4-?%<%YEF5`#bMo=-3AInw@M;H8slKIs11Y!KRj30tO>`;bh3DWXL zVGcmCluX@}JA4vclx&U~<--o?W(TtPg1V({5%!>&^+1^!q`r{V8%ayzYeD zAtJA1#Tlc+_6o~m$A}h~9%1bjj@SLD7MNcDlZXB&7MLFTVe$u-j*(viH`;2~dHFRG zBYn=Y$m9Q{FN-|Fjep>oE{mqiqPFI>KgDQVSZtixpIq5jwVJl)ZCS=NG=2>jKLmf! zG5LEhLB7_W{Dmlb+UI^@*_&pWYAY`L6c|8#Un2JDz2PVvj&p)`ay}oN`d%SFX`8Iu z_i*B1$T&CB)TyzG-M0Bskc`L`ZIkf^cEU|rWrtMDGSbb;bV}HuR>lZdcxmR10aMxr zDH;A2L))m3TzjeOCU#$`$&%V^#I!a3`NH@i=n@J$C23eXtt&BN1>ci^Q^GGLNYgU_ zep4Df{VVXczDp7H4vK|hDlalG6?f}9FQ!VxG#|_f+WKA%4nWt*$K2`P%D#;JwvIN( zCVOiJ+j*PZc4kVx36sl8_mcbGo?^AHxX(s;LwK{SaK|nCe7|+ulAzH z*{GFY9ImUWy??T4xTaL*k((DRTOB*x=6(c#==_B?G< zRwb(_z)e|20ygH5;AOatq2~CJ8b1W6eiSg%-%F5hw@)p*5%;v)?dh_8y3^*vF{|v&-;y71Z7XV1sgx(!t1Wc zL45cX3-S(JN9RHBEoRn(%eNeP)mDXDsC!joyO1kQ z!_?|@$#lHxr^*no4BT)IZxo?=s173eWaP+z4U(xY#OuNjJ0?lLo*>`w zPm)5*XhzqmB8*!RqNYd>kzbDu3tLAjLNyEon%L1|G1G(b&-s>unvHV1?tbTTO{zRu z)==6acC#~V9=e_E#_i{YTSE;c7a9u1K$SCss zz(AZ)6!E=1b9=|yYXm#i9lMb3SH3KEX~*3-wtzt-*a|Xcno$Jr(vAy&vnY4J_70D; zt-Ji-#!c+w+TaNhb+fv_)3QU}S}RMNn_tP=?Fi{U+R0eE$*nsN?lZ{xhbQZ`V@KSv zul?Ya^V(sM+3;lgz$@>y!yvQUDfQ7#!E1*>X0;;}`e>)%dT!K(#vz>t9{cXz z>$y?)7|Zjvc0dQ9mJ$g0DCO>kzGM6-Md<*mrFIDct9`N9JNhlh5>f;kvo>kVD=uNH zYd%WtyFlvF?Kx;V{`pn+4WOuL<7uDh8^KxWV{)@SvEEfw#n{Ke;(>y&{WO)WGwkd5 z#FAWF^_~tSowWe&g ziO5L7PIn2hD5f&SLX64yfxU?I;Qh4(`MC&qS+#|ljEoLZ0E2W#x%9%hhOT{8u9%Nzg=DTE_zU>%lH?MCh>qT2ZOj|= z0R%#+Q@JMB5uR^Q_y;1=zJc|Nb%8ZGBNP_SIbDb^kr^Q$t_SdFRxBm0{A*sR;I9(V zY1{(at8hFsCqs$q2}7TeuLqLYSyf!%oNIF(XC8Och@t zFWO|)n7c^GT`c8Ng&gmvA1AZvRGQc7qdB3F1kC>23jduTukuA{t68rj2}_w^ z%Nw#U2!h8{@%st#ZURM9!7>w3b4WRncd|XOiopj+WI|1*PfRf7YKmCpELrl7*WYl{ zcwEJ7cQqqgi58CgzU4fRcksp6CC45y{RGT@!Fxbn+JrJn29slyI+o!8T?YRxDJy0? zR(^t<_pDWzb7;7bEN1)-|0qFjLT*@DTjM$tDA#Yv`m*AhfA^|o{_&LK<%Fa!Yyk_R zfl?RGUYdcDo`0%5m8zOqsWGb{@(PQi5IOJ*!)N_Kt(` zmjGPbk{HbjxN7V+YqcXAzLFn4)Q`37<#rYOOM7a#<43Fq(ci6XyDA@U=F>u|SmgYYr+!nD6gEk3lFN5BJN2qADQw_0ptTj| zv&BZx16DF5b#d;}SwTo=7CwN%4Jo9o2OpgiipiWPu;IqbRf-^UE8z}g`pUd7c~S5zz$Xc*Ft^HvhW 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/aps_.sim/sim_1/behav/xsim/alu_riscv_tb_behav.wdb b/aps_.sim/sim_1/behav/xsim/alu_riscv_tb_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..036fa08d92d68fe36d364e5c1884c4260872c7d4 GIT binary patch literal 10128 zcmeHNU2L0I89r&dl5S;9%NQAJ+1GYmv$4f?l6KuzN*u@eAx>j#XGyzBUmVv1#Mc3I&Xbhr8PU@ER3_6aIlj^{&WQ2DYQERo&W>*MvrVy= zmr=(8IOnmdE^bq*gibYXR_e@$-;d<2O0}c)mjsPlkp`Agj)2<(J1HHN+OI0s^%mOp zEy>~l5jjb7ao53L#~*K0ltL3%==OZjI?X%^%WL7R5ft)23NR9yw<;fkwGm)Ba%q$M zF@RhOHHJX#1?bxjkh=%a9GG5P?uRC42;iF72bdhTnVe4mtTzfUt%ZIH>o@?gP5pq4 z(+(f|aij)^E4LoV*Wk3lw+T2|gTwyZ41Bo;XFq)0y=QB1uv8S5mii8$=Ln`ZhU`1X zj((0i)0B_E{vhEP(oY|4juYovYtFa!?}Dx8S?fCkewJt5L9i@^d>x{;HNwzk$zfay^SDka%)0~7F__1;>G|Bk3{Zw%T|+pD5(vSbiUmEw1)u)(Dm}3 zppf$88a&<;6jELd@c5<+_Jj8Xg_PFsBKPcoghArP#@Bb_RdfR`!^HxRp^gRvay= zV>6YjxoWDEpUzeaQbrsHo33GjYO(*THQ!XbxxZbX|2kMJm^N+RJ3?9`(!!N;)e14(xIY>!GV(MDrU!2 zDqo%{R#bO7rMfd|)jbqf$yB0KmE4(>$yhX*8BE0k zIjML$9%Q6@db@(@!6g2gQ&nK_a3)?AG#yVw0uhmHsZO?5C))za!E`*u&IJSLykHca z7YwEIBwlJD<_`6CW_na+)yk?-EGw=lm7gu;bCvutciNxC)$|jbS&`Vop}}%~x*UoY z^RuC7G9AL~6V2u6Tsc%OOt_KIWd39*xR#sCCsd7sg$XG)njg=e2+bl$h^xQ3W+|__ zshR0qzHC;>`b2on1V!gR1cR6okVBu=v=0jSuI2lc?_iz-4zLs04craz9m6-uy#U`9 zd=K!h=RM9ln)hle!0#@8Tk-pkb@PqQIz9z_8fXVPfEW-5x_};_7w7{Lz+qqj_$=T8 zBS01y1#-YKAP+nNaMH$s0`NKDI8X#8fJuOTF98)`2H>F00#5;a=RO1Q8-ab|TgdDe z-xcf|zc+Q?biW=!p6*)|w(i$v*u3v}mu&$W0M@Y$;P`T^`F+5*Ki~EI#=8UHo1E|M z?Z6H|e?P%omG!F@{*J{!kOk3oeo_tpyp>J#n^->G@$QWnL(WZ_A3)VMre zN}|np(j4z^e~jyJ!XPG(Zzsx<_?Im_zMUwu^2nJJZN`&kD@PtVO`=VnG+Ql+f7!y5 z=BN@E8S58q#*^l#MlM8%BiiIiHEL1(OBS9~quRMxAw8nacv4(l6#pd)k9&i1nhPIt zMzk4^`-d_v1xlh#9`_ifK?*dAHhHpb)PndIEj-yaYD5B$i#FrQwo&yGxIwhZH&3HUtDB-(&eL%FylW9^H#Q&y+C)1?n96#PE z(Plhpwrbkx^TMJ{o<8rE#ec!Vljf+|U4Fbd(Plhpj&gSUye82mPpVN%;$OD#q#9M? zg$S7yZN`)0s&S9cb3~gwDXtFm`#k*{V#I8TT88tz}g7-@BX!MxN$~+=UMQ7aWw0T=EiB_T1(bO;D#B2(Ho{EYYpKD=<{o~ z?(O9tZJG-=Y*@T$--Rzu9C>-iOQ*Kp_Rl{&c+0yBuRPuVm!Dle`ocp;-gx$}2fz8u z-~OEb)3e84_;r2Vx()RWPWzm?rV;L%U-z4hTeGYudh=X(^M=LOWA8k9=vVI1Z)|#f x%jLE&G@K}(J+-pE@AFT+`p)lPeC&Jw_}Y7C)35aX2eGC8KW=UO$=*%d{tb{WRJi~E literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/alu_riscv_tb_vlog.prj b/aps_.sim/sim_1/behav/xsim/alu_riscv_tb_vlog.prj new file mode 100644 index 0000000..4efe5d1 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/alu_riscv_tb_vlog.prj @@ -0,0 +1,10 @@ +# compile verilog/system verilog design source files +sv xil_defaultlib \ +"../../../../ALU.srcs/sources_1/new/alu_riscv.sv" \ +"../../../../ALU.srcs/sim_1/new/alu_riscv_tb.sv" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/aps_.sim/sim_1/behav/xsim/compile.bat b/aps_.sim/sim_1/behav/xsim/compile.bat new file mode 100644 index 0000000..b99e8f5 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/compile.bat @@ -0,0 +1,25 @@ +@echo off +REM **************************************************************************** +REM Vivado (TM) v2019.1 (64-bit) +REM +REM Filename : compile.bat +REM Simulator : Xilinx Vivado Simulator +REM Description : Script for compiling the simulation design source files +REM +REM Generated by Vivado on Sun Oct 23 17:26:07 +0300 2022 +REM SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +REM +REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +REM +REM usage: compile.bat +REM +REM **************************************************************************** +echo "xvlog --incr --relax -prj top_tb_vlog.prj" +call xvlog --incr --relax -prj top_tb_vlog.prj -log xvlog.log +call type xvlog.log > compile.log +if "%errorlevel%"=="1" goto END +if "%errorlevel%"=="0" goto SUCCESS +:END +exit 1 +:SUCCESS +exit 0 diff --git a/aps_.sim/sim_1/behav/xsim/compile.log b/aps_.sim/sim_1/behav/xsim/compile.log new file mode 100644 index 0000000..e69de29 diff --git a/aps_.sim/sim_1/behav/xsim/elaborate.bat b/aps_.sim/sim_1/behav/xsim/elaborate.bat new file mode 100644 index 0000000..a2b2852 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/elaborate.bat @@ -0,0 +1,24 @@ +@echo off +REM **************************************************************************** +REM Vivado (TM) v2019.1 (64-bit) +REM +REM Filename : elaborate.bat +REM Simulator : Xilinx Vivado Simulator +REM Description : Script for elaborating the compiled design +REM +REM Generated by Vivado on Sun Oct 23 17:26:09 +0300 2022 +REM SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +REM +REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +REM +REM usage: elaborate.bat +REM +REM **************************************************************************** +echo "xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +call xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +if "%errorlevel%"=="0" goto SUCCESS +if "%errorlevel%"=="1" goto END +:END +exit 1 +:SUCCESS +exit 0 diff --git a/aps_.sim/sim_1/behav/xsim/elaborate.log b/aps_.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..db86c1b --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,8 @@ +Vivado Simulator 2019.1 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/xelab.exe -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 4 for port 'ALUOp' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:62] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel diff --git a/aps_.sim/sim_1/behav/xsim/glbl.v b/aps_.sim/sim_1/behav/xsim/glbl.v new file mode 100644 index 0000000..be64233 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/glbl.v @@ -0,0 +1,71 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/aps_.sim/sim_1/behav/xsim/im_tb.tcl b/aps_.sim/sim_1/behav/xsim/im_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/im_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/aps_.sim/sim_1/behav/xsim/im_tb_behav.wdb b/aps_.sim/sim_1/behav/xsim/im_tb_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..27d3b612c3791769dc2f771c5c0ee78148a27761 GIT binary patch literal 8225 zcmeI0YiwLc6@bUCL!Hu6)23j|quV5H92&g4j+3V4k=?a7wi7pYch|8&NH>10oE7T_ z-gROGAx5ZCOZicUh~`f#gAfFSs3SqK1XUf7f+;GPA|MDM9DW6}JaZKmGlypH=5{Bzs_%`OmHOk(VlcU0k36rpH>|X(>+~G@t8O!`iD%dH?((*!+GSx|49ohe^nF3C-uNY!HjSOY`oWi@&Oh zj(eS#F1qt=*Td$uid)~NS!5J}w~H=AS{1hPTSTqjrez4)qUV$0nyt#!iY3-IQLk5$ z5TP&I#S%-V%zX&h)jzAY}%o}qY5hd+^z9>f#e5@O4Im^N@ z_XzXMI$0lOv`IOf-CyL{k73*$;zQu56UMy*NCb{^GK_mSkO-XrJfe*L(SQ24l>!ks z<~taV@xwKVB5*t-L5^~`2SpL`?D;UR4M+s;F3~Wq8%P9>v9s=WJ+QXjK1n0w-znz`aj2$WczaCq)tRcZ-H`r-4M^ zBBEj3SH*|G-6I;t%>#+RZ59pVUH}q-WA6kxe2n9lBt+ou6%FGq0g1rfCmP264M+s; zjqXl-v}@mQ;CLqri?>M~aGY~t+!h~qe+91D$L*-VC4JlnDsVkME?R*b_HnTaoPCdD zyzvU$7k%-XD{yndffA2*gNUeoE_wFwu^!*@al9WyL@kHka^EE(LS61S|G7(=c0iu< zalv+}2S@w`ALqWa3GD6<@lg*U|3l$GX%~&C72}?h_~3rzH)k2$0H(ecSonN)Fn=o9f~r`dFl70QKyVm#)=ZF<*k zXQxf?ZgE;{x~19KWe(=cnf%B=sZPhFMU`W zA>QKfzCxeP!0_;-Y0qX%doF9*`_iT-)754soT&+?JUU@|y1JaM?$!hCQr_L3a@xC6 zU8c3Q>p-`c+}D~-X|m1QPR}Jl-49#|>{&O161zcIVn`i!a-o zO=lRKKY%UsN3mu8P_|6tWx89PzRtE>hsmv`lBUgMx*s-Pd0V1eVi8y%P^IqpwWX>zC(Ey<>hN5}HVqVDc(Djls{r!cBj2J=S-jz*`Yev}>G zv`#&@t?{YJp?t}$CxEE-H_j{fR-uWI9{h;WFMENF7il#(cMTu+QgC)5t{z5vgw ziSL{YxR7y)!1<%gdN)wZVAYc}P8zED}*0R$-qbeo%gt#DXvFy89_5n7>EZII(xM)v3* zUGYeJesJzl8{~BQ%|-REgmBs&GxHv8Uu`g+ zcE>cn*W+SpgPb;FmeqeTgwtlsG#_UoGirnJw7QAti%z53Ag9&MlKPiJI4w345w}@0 ztu`1>i%tDK9v4v?U*kI57UjzyeafA&3C;UC#<5My=hopGZ9LuBb879mJ@ISrp4l$kqjHJp|Kjo& zw^mdmE?4@rBbV)iqUBLt(688q)$$wW#tht;0b>Sb5@v2p#Q)6+*IxheCy%fE a;Wz*J#}{VbRA1=tzf&tUFP^XY+J6C%X;#w! literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/im_tb_vlog.prj b/aps_.sim/sim_1/behav/xsim/im_tb_vlog.prj new file mode 100644 index 0000000..beb135b --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/im_tb_vlog.prj @@ -0,0 +1,18 @@ +# compile verilog/system verilog design source files +sv xil_defaultlib --include "../../../../aps_.srcs/sources_1/new" \ +"../../../../aps_.srcs/sources_1/new/top.sv" \ +"../../../../aps_.srcs/sources_1/new/alu_riscv.sv" \ +"../../../../aps_.srcs/sources_1/new/rf_riscv.sv" \ +"../../../../aps_.srcs/sources_1/new/instruction_memory.sv" \ +"../../../../aps_.srcs/sources_1/new/SE.sv" \ +"../../../../aps_.srcs/sources_1/new/pc.sv" \ +"../../../../aps_.srcs/sim_1/new/alu_riscv_tb.sv" \ +"../../../../aps_.srcs/sim_1/new/rf_tb.sv" \ +"../../../../aps_.srcs/sim_1/new/top_tb.sv" \ +"../../../../aps_.srcs/sim_1/new/im_tb.sv" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/aps_.sim/sim_1/behav/xsim/prog.txt b/aps_.sim/sim_1/behav/xsim/prog.txt new file mode 100644 index 0000000..80ff991 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/prog.txt @@ -0,0 +1,4 @@ +0_0_01_00000_00000_00000_00000000_00001 +0_0_10_00000_00000_00000_00000101_00010 +0_0_11_00000_00001_00010_00000000_00011 +1_0_00_00000_00011_00000_00000000_00000 diff --git a/aps_.sim/sim_1/behav/xsim/rf_tb.tcl b/aps_.sim/sim_1/behav/xsim/rf_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/rf_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/aps_.sim/sim_1/behav/xsim/rf_tb_behav.wdb b/aps_.sim/sim_1/behav/xsim/rf_tb_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..bcfeff9d3b951cf39a85b3254658f66bce5a7876 GIT binary patch literal 14202 zcmeHN3s{uZ*8bEKJBgy5LVq0@)WR+@+!Sz>J0gX`0Sr9a`9wt`5s(2!a{@hHvPT^} zUBpa;&Z)HeP0b9v4PMg0KQAbys563&iXLTf)N~Y-v)*s^M<4Sy)cK$1e@@Sn%V)9n zUh7?Juf6u=+fd2r8R?mGzyWa9@9X>V#B+P?3o7ZTP>FkPhq z{(x3@8*)*!O;*`N+fT6+4W3Lz<4mV!Qbd?%WPWO9ZX43p5b=FkV=S|?x<^yt<%tvH zBIRSEV`xEWMHYV$K{a&u{+QIab>}9HH zDH$m_d^)89I6>#Pwzd|+#hciLAW%}&GWsb1gg$g4JtU73;?bmNbLdPCok;;87;TZ@ zAZ^2$DkG#mHN7np!B0%wOIUc0^CKJv5i>?&z=-2Oio1-$3W){$Xgy5~t+nyxf)XO0V?U1-m8DvC=Vj;ml?PqI7tY+y`X4vhMNdlTE!c~Ue|P9HM^ z4JXt}tb?$gWbf1oiGw)~HHH+|TI@pyLJX0xPBayPfJYlqo)9#)AK1zw1K*7pSejm2 z{ovP=_&H+Oa44aZ5!w9eb-If9$J{?ATKs*|DEGvUfCp)K*$^!~u!*f&G{Kv47x0LXc=n z*GDd~0|UakU<~`S4;y?@R}gSGyN+;Tp1@(hz!z;P9y**Q5NtTZa@Gb;!~%zN00ItY zR2mOD5Ok;+2s*@;vo>O(W+33Ox1a+KeK~7GhdDw*Kady%9ma)TB-9fzfg8k>z+qfR zxMw9e+!2m&*qb24!#&{$rvQiuIO+ERI^>6%B0t>6AmFfX?Bn4+2QG{l1RU-Xdz?7m zaR3nkhnhRWB?CkR+*8DkaMJ)H0`6%hu{OXXFLB(N)CK{EHG~v#n1hH@Q5yt%KVtiF zVME+`Xb=JSU&M}Z3jrbmu0OFO+;V`3fWzLg$BFr^0f-1VH)2P)4FC}VCnt7<`-W^F z;M|EF;l2fk2srFnN4Oe*h=3bHY>$Ht^}B=y5pd5CJHq`45D{=V1CDTAnE^PD4scIP zaCnW25**Gl>r(5dyBl?$Bf)u5-w|%P z1n1oWu1tdaxwjs<3hVnd;Q+;Y;J%j=i|0-JP9Q&>1c&=xiW9#Rz*Qj_5zYZ(vMyA@ zeFZ&qhb1`pfyHsf_Xi*+BslR76LGlv#CYQO2z|7r@$mK$ak#rd=-ba1_rHik?jZD$ z4@(28cJru}ry`wme^p5iU zclD0q|9|WG+^lRaX9k};l}pQ*n!!!a%SAUQT{SJA3(LrwHq*zK_vJz})G2dS-u}Ed z7oC}&o1T(EY9FyZd;~vSY>)8cM~H1dAKsrEpPCz=nwFwcrKe>M_u;+mG`_rdKzocI z?;Y4qL&N%bu@ro~crSZndw=f$KG2rAuMdwWxIp1CFLUZtE@gTS%|<<)ix2hY;v=}Y z$oS~k2reoio{LIM;G&Wuxw!b42riq?%jR=uWpi;cF?>vH_{&kGkBtiBqhi8hxNwRV zYio`PPY7ep2vJ7cOcYf3qYwoaBmxVKml8vx_(TzI>xI8;b7D3Y!qyv?AhpFOBucvB zp>c^5;v*%R_{fAvDI#HP^cZP>LLB`$vYo?(@rjY`f+j@9cuOKu*Qb5gw|&=7(w&eH z8IQ?H1Bg0l6j3J)CF)qb_}FkhDLNu?ESK2UnwBwBSVV8(rw^Aiowg+X@SznQ$4yVk zP2tk9;1MGg0X|+{zJURXlx$T@%2bsiG-d+WQsK@Xyd&O z#$AIqG#J0L;oXh4oOlrN5MsQU@E*cj2k#iXQHBwF664)~Hvzumc-tce{PEsKU&Q0R z0v{2N_W^wTi1E8DeqRkF9!abu9z~2lXoeDp5r-2?NqPro<1tP&he`UZ2-N*Vf-`G! zcMEAweQmv=Oxokn2d@sJz7p}@uA(Lj{UBy6C#ZBsvl6yGJ?S(RLvw8!Bs0;*v4Jq~bqi-59) z#{sPellJlO76A=qj|ML$?QwXEfa;n3iUS;*9=8%3nrJnX_VHNSTnIKM(O4$!aV%}_ z2D4io;8;3b88$u91}5#}v2?gN94?|{Chc)-7;ZKD#lMV6dmI~v8|!9^7ssSMjt#?E znVpl{@b);ISAkfgJCpV}oN3TzX0LF7!|%|bDa_$DChg;~x;{mNY11^PF&V1X0LXDlM7MkJU(M<%xBU*9xDSk6c;{`7nAn5K{hj2 z%k1?IaBOG?e8P;2=MR4aTix7j+qv_T%Dx09wfo)Jk?> z>~Y>U7#F|}N(hsWG5u;*XCLb0`*wxdZ!js|pWOGh_3&jl_TK~PKkczVOmt#0izQMo z9(blNVuiRX7NouVqu!$m{H`mIOtZf(9^&71C4L)|$2U#-zyCbN?ky88%t!R70*@-t zt^#jRuULFsw6i?w{3j}4e}7eq57z%gGLOc5RDs`k1z!1$=l6R{55G_1{WYgkWAzqi zPVUk<?&8uHX*<<-3h9PcgLJI-&|a~pCOJ@Ik6+tp*v`^zt%QY~xlGkS)8L*BuO zH;3?xZv`A$+? z(zAOs6W(2R|KjfB7uFrxv3U zb5=p~omu%VC(`w+d-v!kdnF=&(sK8R!^cy5yO(Ia-&vYc-urJQReh&NTpIbzAj84c z|LDG~=gt*Nr!RMpoSu6+`kHB7_140ViYhhdO4s)a4&GI8e~L>?@ae6ti{C20V`x=9 zr|9w5+Dh|Gr*TNj4c_eiQRO-kBss+noDl&nz(?c6J?n|E4PT47^&TfHOdsB@FeO|wS{hxAmJb@u zR zB%|d=oib=kjjXQafUI;)iQB-_&UHa2WTg`?Yn#?JXpe2o(cjG?@6XNF^MlRS6I;zz z%M7*V(rC42^L`oMd`RY2dfH>i^(9#Y%-Yybjh2lngXQY`X6w;Bv-SE&wWi5kt$CQP zZYeWq6*`mF?afPCMQgpb^u=Ts8jBzOz07UWKed}mFKJ^ZU(jZ)GFU!4Yp^srQ6<(J zEXGp?%l2}k<$=OzS=?l_?7z2@kI65rb>?5HFzG!iOfRJEFfCkWv}~i=TWC5foD7yj z9+XCs(Q^yGN`#ksq@deKSG~rZE-hS_gc)>3;oR2Kb@2Dx`VRd?FVH1?mC&< zynQmhkg6~T)iB6P@1K;_^_&s5FVlK>FRe)*wZ@#Q))X#Q>aw>f3o1^^_#MY&!RP9= zo4z($_Vzbh&!m~HR)4egAaAx_T+qDaV&%MVp5AGyS-;~}%h4RQ=9??prj4`p4NZCa zUMF{&mMONIqRtvEmtqZ;cmJl;-G56N^mM6n(e)*p+^%V3*HSh9a#0)m>UnL}rhI*x zIbW})K@3lrtq(`2HRlJbTe6Fly4xQr3r3amW2q#8XJl?`FKRbkGFU9%(Z2YQ_Jxv4 zp{G(b7%U|lX+@mO)^p?4nt}^jx5GylN9FH#Ey~dst=ew-u3@Li;|qi3hyF&(7Ru_! zD5K@=RZ1OIr}T|FS>3tw+G8!(wM|E_yYorQ_id+r@se6|^$m5)94hn8 z&B~xT2W9-(i`t^fYuc-w$_ zyX{!ynL=m1ICSZIseSd8M+}qw&rU3#Jk$8&=-R2S{d)g(XvV^g&bxa2-Kp2IcRzMg z23*;@xN}2&X!@(q4z6-ub=vd0ss9tPVAUTcoeX`ZZ=X@GeYG%dY;Iq7_kA1BHO#B4 zE2z75VT-AGUqC_So0nT#E!Phh?0o;>kkKpV%{h1pKrdhxmVzg&%5qx z%GiA;bDe)$(YQPbj-6JZmXHd50 z(=TIn@8?_RYS!I8u()5z!d?SPvj@7}Tvm8xfTrI1b*rXv^ws&MttVWIZf|c|wB^*j zCRZEcyCwl)D7jiY_=jxG$gXD+0zYbtek$`S{ChlTK0T8BZ@s7ZQXyy--LkH%+`NDH z{^ib9g}QpHp|APHXr}>gI{zd4M@G%Kw8!#zgT=jacbTe|Qt?d+E}V4pyfydn_f&jC z!0OqWeRDNc{)(OIU0W1Knw+%9LYnjM7c4PtJ>%-C&(rE3w$JOHd7r7 z$35_QUY4yflvL}EDXw8gg89#l1%vwbUs*>PzI|tv>O?1fL%#)gzApdgfGA&WY(P=3 zdy79mirVK7d$^`xe!ca!b*k@r)r|$FCy$!KA6A76b*@nsmAun4AgC)p`AmJmiGK5j z&AZGp%!zBL@1k!oy>hA_Rj=mSvM=1)s>k!+V#f^#1V573*aM154B1oPB=5(Y;Q#ybqi* z$_~_W(ce;OZ>Uw%Ftxx1ca{&R4%E(I3(pIMNGx!BGl5F?v48LHUQp*^FJWyq?Y?Nv zKG^Nz-7fmH8_f@{KKP@ysP)#6&x+d+{OaR@*D1XJR({ndU9`vE;0-N*YM8T@P2JdF zu3-LGPQ1Sx3CvxP^Oqk2d$RG5M3?NRsqVU2(~BSLG@#T~GkeQNs!`)@v*+(eVSZ^} z->tc=hzkhnqN`~6d-J$`wKMM?QuFg|HTZEUO;~sR*4~GK>9o1`{_yO!^b(WBNAIzg zzhk+#qIskft^O_79X(&B0Ydp*)uw{2-gib;va?lwP&hkxjFZ7+Ln#t+6Qe~c5HT+U;D51RJVs+(%p;S`xmAWyuSbd literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/rf_tb_vlog.prj b/aps_.sim/sim_1/behav/xsim/rf_tb_vlog.prj new file mode 100644 index 0000000..ce447b9 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/rf_tb_vlog.prj @@ -0,0 +1,10 @@ +# compile verilog/system verilog design source files +sv xil_defaultlib \ +"../../../../ALU.srcs/sources_1/new/rf_riscv.sv" \ +"../../../../ALU.srcs/sim_1/new/rf_tb.sv" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/aps_.sim/sim_1/behav/xsim/simulate.bat b/aps_.sim/sim_1/behav/xsim/simulate.bat new file mode 100644 index 0000000..89ea99c --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/simulate.bat @@ -0,0 +1,24 @@ +@echo off +REM **************************************************************************** +REM Vivado (TM) v2019.1 (64-bit) +REM +REM Filename : simulate.bat +REM Simulator : Xilinx Vivado Simulator +REM Description : Script for simulating the design by launching the simulator +REM +REM Generated by Vivado on Sun Oct 23 17:26:11 +0300 2022 +REM SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +REM +REM Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. +REM +REM usage: simulate.bat +REM +REM **************************************************************************** +echo "xsim top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch top_tb.tcl -log simulate.log" +call xsim top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch top_tb.tcl -log simulate.log +if "%errorlevel%"=="0" goto SUCCESS +if "%errorlevel%"=="1" goto END +:END +exit 1 +:SUCCESS +exit 0 diff --git a/aps_.sim/sim_1/behav/xsim/simulate.log b/aps_.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..aa9a83c --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/simulate.log @@ -0,0 +1,2 @@ +Vivado Simulator 2019.1 +Time resolution is 1 ps diff --git a/aps_.sim/sim_1/behav/xsim/top_tb.tcl b/aps_.sim/sim_1/behav/xsim/top_tb.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/top_tb.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/aps_.sim/sim_1/behav/xsim/top_tb_behav.wdb b/aps_.sim/sim_1/behav/xsim/top_tb_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..1880698f044f33be5f70dec6835abe5e15316b6b GIT binary patch literal 14992 zcmeHOe|%KcmA@cVL@ANlC7{9(RA@nxNkS4BA-{j1aT1e2P*R7G3?Y(VWRgh`66ji2 z`caFmc7YF_O%}Qxwk(yO63UVSi!4zH(AYI0N~G4ne3}535yAjr&-cA^(9d(LPk#hdeet+{{NtuxZz4~ z4+ZdDw1%k8{!*gKBdX~8m8qH+!K#TurTjJd^hw!bMY`ACjcd>WfMX3iHHZ?hR*yh(m-~JSXNV+VS*D z_IgKNZc%Qzt&lJt;xl!65uPjeOTrw?8xWKY_DV7H6x{5L|_hBK<-7Un#?@{|7 zzRD*?3Xwiqh=vDM?jafVkPtD{4@{2=qa?sV#Cocrc$QK!@;I&`1OUe}P{|qD1`Gf| z1tGyOD>jny89LBlRVcq$BrphgxoGz(8uf>!C{T{*2Rqup-cPKKsKzvmo+q$v1W90N zntd2*DzP9Q^v4(|q>04R4>kK?9-wE8ivmL*N$>%}7*WKCDTdex0~_X%>IZ|ZhTLL1 z8TmmR_Yl9}2Q!syLy4FB!Qk|`m-uIXFvu@*R8Nd~f)LmDi7^Iphc@#54za{QQt655 z#K2%)INA;pOAPW&r77Zxfw2(dAUr`VG1Tl^3t+|((}or8#6}pzg}6KYU{D+29zPiD z4cb;>Cq#x84VnI$5{a}!r zK;mpa802OYaUo&Ui-#VFH=*femfc2l6E)d`Wu{+b|zsAdwqs z$K0{bT80gTxIpl$^{HC>+p#9l1FyGZTH|0nF$g%+ ziyj9(a7n}t5dnug19c(m06H+n%_j!o8Ed1(p)GMm)CS=h z_kw<0*x;`M4IEWQ zWq(CMKk_^U{C(X$BXP|dfBJg{&%hzxKWcFJj^IaX_0M0s z28S3-#B#ovKM3>tRD;7gj=4x2&SnsBof;g@XA`l+Ar265mo+$?yC!0ZleqwHAe2PN z3(hqYvBb%Ddf@KY;P6eU#o>Me0r!0k4(FPQSo*`fK){XC;Bc;)h$Rkd2m zIOI=@!#9=0$#sJ-eg1HNN?ex4AL`s_9@!ck>fA&O74WD>_``FK28Z=9u^u?wvl5r9 z!6|h~NtAP;Km90#XIU?Dt|rpSaZ$H&9(ML8Yf6hl-AY`61_vzGPWnS1i7VFNkUzvP z3G0hCAmtjI{+t}g9RQJgid9N2VgDHE5wn&srim1YPfO5a4n}$-KSp|^c#QN$ez100 zLY$~YBmC{A|EBTFxkhsX^%6sDG%u`~mXIHe`Azjk{=cbS#v=P6eiN~LmUhJXZS>!? zK5Z4HWrEmNu|O0QEhrNCl@%hdtWwd(7FFdgb(lkKA!1fZVMSqXQD}%QLbj(y*dk>+ zBHR`w+u@VYaaF{+e)G*wlU+bEGXSLKGH@!V*VC zd1YQjVQGo2*j`*(zEqSir2pB<3mth*ky}&=<|j4eurOPwP@7Z3Z2d9F{YDCn zw3$QuX(C87RnCXzZ|-L`huh3%c5j|$>pwMfgw3qekh5?J54GX1A@q07)Te}_N)*}i z9irS(A<`2wW~C*FT}d7L%Sem2WzR~;N)cIoEy}z(B;@Io=R{_i?imH4OpN2$a@Q|@0 zL1d&oEz|%K64NP+yrRV-H@}?f62GC!Z;nW z*)HOz2hVoc%N@b7MfU38*!0Zc+%ktv`I+J<&vOJj3X5&#;1YXPuzEU7aX9^VDlFzc z3+#(>ox#=QAQ&gglw0&u1}m*B&$BytKt6tMIpSA)e)XvM7Ea{J@-4ddokQrW5YBNe zsao~lOK=htGrLKGyO<}?#Q;WMQuRr+@jeB{dpF+o@Le*I81Fy$R>$2og&6Peu;INA z?-lqv65fUJo{M)_d@le$iFh*cU=kpGa!sZ|>-WZ!g4$cQnL`q8rZ(y0LNjA`8ZUG z7O~X(tVZc$&gNu8_&4+<9C!*#J#Gf$&au7605_9y7x{&v zho#=?txuM4;Gmif|M*2?@S;1le%QW6FYdIeh z)y*4Xu`GCLxFhdm;7$fa3FY8XeB9lk+ad$FOSS*Egf;R)zb&G>6U3bi++G=&b9;sJ zcOMPj$8dgC59mIzZJ00x42+qa`r5VOXV%tUwa#~B#Vl=Ff3kC3({J~+yGNK}R*C@w z2Mr0hW#i`HDGxj=4#2B<=*p1$2A>!*bj?8l@!3Oo-lk-;fQ2t{3^51Z2^wwur&9eut zJd?Mj`0$dVoHsrh9XUPdt@NA?L5F8N`GWyJw=Q`#Xhe?ttv{PG{ygUXB{}!}+x&zN zKA5^HCF{w|2kzMraejQzKV>|berVOaoF%_O?3sQuP*HADH!&T7oUkL zXgipj?0xY};gWz~Uta#OEBV?_8neB>ccxdY@-?j4@GsAJK0E(u^YwiJ;KD0MJ&g+rte#@ajgQVc zeSh2S^d0DM`aE}S$lMv}JGsQ^d*QUT?m4@=Zr^*>x@Sw>r5`PJ*L{@lF5Q>!K3=-J zrE2D`mXUWmeW&Z3zJIsBdN8l6@r%{lTC%O%!d6uO+*R8-)Kasx*=pO{Zms)WyXUXL zt)3n2UEX7>yS;lpcKR+~aQY4&I-NUWZ|TSX;cBe-h3l$gMxz0Rn5CwMt*q8vn=wI z$NgKUuXm%<_nyP)JG0*DTQ|Jhd%$X`=^kmRd9kSX?&cM%uSQ&)$EQ+LI?I9P>wjD4^u;z=qieRfYAZIo8htBWGr#z?tM>A2OHJpGEH$4#Wx4T}D^A~> zfEx=(?Jd3fQn%OjnX_}`@vSXa=1@9+x2@$$WUFV=m3Gf7>$|;Sk6UUk{>;^Q`DNG4 z8!lI4?`qe~WuG+Wj%Y8qRBfp_A8xtSzPZc$@^)9_-qTjw?~hxfcQ;W!+N{y7CGNW3 zqt@urt)9&*+B}(_&o2gE^SNgHkF{>vpPH{9e%I-1n$+#xQt9+vpW*abKepPIpR{(g zFLc-W4p}=oirsHt&vlQrc8#R8L^yW3W=6F&Uw`f-rQ;*3?GNu->-N1*={RVuTXx#o zary;!@bY~3h4b56)+9N7dp`f^#lXF#pKa{&zC=r(W}ELGyJc5PcIoyO_xY_Y$7e2d z9}n;LzP`K5`=+JKyTwI8yzTTgxAvmY-W}iN-S;ck)y%VPo@k^lez literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/top_tb_vlog.prj b/aps_.sim/sim_1/behav/xsim/top_tb_vlog.prj new file mode 100644 index 0000000..1f0b7b7 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/top_tb_vlog.prj @@ -0,0 +1,16 @@ +# compile verilog/system verilog design source files +sv xil_defaultlib --include "../../../../aps_.srcs/sources_1/new" \ +"../../../../aps_.srcs/sources_1/new/alu_riscv.sv" \ +"../../../../aps_.srcs/sources_1/new/rf_riscv.sv" \ +"../../../../aps_.srcs/sources_1/new/instruction_memory.sv" \ +"../../../../aps_.srcs/sources_1/new/cpu_top.sv" \ +"../../../../aps_.srcs/sim_1/new/alu_riscv_tb.sv" \ +"../../../../aps_.srcs/sim_1/new/rf_tb.sv" \ +"../../../../aps_.srcs/sim_1/new/top_tb.sv" \ +"../../../../aps_.srcs/sim_1/new/im_tb.sv" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/aps_.sim/sim_1/behav/xsim/webtalk.jou b/aps_.sim/sim_1/behav/xsim/webtalk.jou new file mode 100644 index 0000000..d63c98c --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Sun Oct 23 17:29:09 2022 +# Process ID: 23120 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/aps_.sim/sim_1/behav/xsim/webtalk.log b/aps_.sim/sim_1/behav/xsim/webtalk.log new file mode 100644 index 0000000..b7286ec --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk.log @@ -0,0 +1,15 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Sun Oct 23 17:29:09 2022 +# Process ID: 23120 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-186] 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/usage_statistics_ext_xsim.xml' has been successfully sent to Xilinx on Sun Oct 23 17:29:15 2022. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/Vivado/2019.1/doc/webtalk_introduction.html. +webtalk_transmit: Time (s): cpu = 00:00:00 ; elapsed = 00:00:06 . Memory (MB): peak = 91.477 ; gain = 0.000 +INFO: [Common 17-206] Exiting Webtalk at Sun Oct 23 17:29:15 2022... diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_15744.backup.jou b/aps_.sim/sim_1/behav/xsim/webtalk_15744.backup.jou new file mode 100644 index 0000000..c3c2a22 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_15744.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Wed Oct 19 20:13:22 2022 +# Process ID: 15744 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_15744.backup.log b/aps_.sim/sim_1/behav/xsim/webtalk_15744.backup.log new file mode 100644 index 0000000..d48bdc0 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_15744.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Wed Oct 19 20:13:22 2022 +# Process ID: 15744 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Wed Oct 19 20:13:23 2022... diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_19012.backup.jou b/aps_.sim/sim_1/behav/xsim/webtalk_19012.backup.jou new file mode 100644 index 0000000..ca40324 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_19012.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 20:10:21 2022 +# Process ID: 19012 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_19012.backup.log b/aps_.sim/sim_1/behav/xsim/webtalk_19012.backup.log new file mode 100644 index 0000000..5e97769 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_19012.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 20:10:21 2022 +# Process ID: 19012 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Thu Oct 20 20:10:22 2022... diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_7268.backup.jou b/aps_.sim/sim_1/behav/xsim/webtalk_7268.backup.jou new file mode 100644 index 0000000..b9af85b --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_7268.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 20:14:13 2022 +# Process ID: 7268 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_7268.backup.log b/aps_.sim/sim_1/behav/xsim/webtalk_7268.backup.log new file mode 100644 index 0000000..44cd4b8 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_7268.backup.log @@ -0,0 +1,13 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 20:14:13 2022 +# Process ID: 7268 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Thu Oct 20 20:14:14 2022... diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_9284.backup.jou b/aps_.sim/sim_1/behav/xsim/webtalk_9284.backup.jou new file mode 100644 index 0000000..d2e13cf --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_9284.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 17:08:12 2022 +# Process ID: 9284 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_9284.backup.log b/aps_.sim/sim_1/behav/xsim/webtalk_9284.backup.log new file mode 100644 index 0000000..152e010 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_9284.backup.log @@ -0,0 +1,15 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 17:08:12 2022 +# Process ID: 9284 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-186] 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.xml' has been successfully sent to Xilinx on Thu Oct 20 17:08:19 2022. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/Vivado/2019.1/doc/webtalk_introduction.html. +webtalk_transmit: Time (s): cpu = 00:00:00 ; elapsed = 00:00:07 . Memory (MB): peak = 109.355 ; gain = 23.625 +INFO: [Common 17-206] Exiting Webtalk at Thu Oct 20 17:08:19 2022... diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_9300.backup.jou b/aps_.sim/sim_1/behav/xsim/webtalk_9300.backup.jou new file mode 100644 index 0000000..64e4055 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_9300.backup.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 17:08:36 2022 +# Process ID: 9300 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl -notrace diff --git a/aps_.sim/sim_1/behav/xsim/webtalk_9300.backup.log b/aps_.sim/sim_1/behav/xsim/webtalk_9300.backup.log new file mode 100644 index 0000000..c04503f --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/webtalk_9300.backup.log @@ -0,0 +1,14 @@ +#----------------------------------------------------------- +# Webtalk v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 17:08:36 2022 +# Process ID: 9300 +# Current directory: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim +# Command line: wbtcv.exe -mode batch -source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl -notrace +# Log file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/webtalk.log +# Journal file: C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim\webtalk.jou +#----------------------------------------------------------- +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-186] 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.xml' has been successfully sent to Xilinx on Thu Oct 20 17:08:40 2022. For additional details about this file, please refer to the WebTalk help file at C:/Xilinx/Vivado/2019.1/doc/webtalk_introduction.html. +INFO: [Common 17-206] Exiting Webtalk at Thu Oct 20 17:08:40 2022... diff --git a/aps_.sim/sim_1/behav/xsim/xelab.pb b/aps_.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..a9272bf3f550349906da707fa64fb477a279a88a GIT binary patch literal 1246 zcmZ`(T~FIE6s4sU&6}paOxw^V-G?D0M3cq|ZNd{>nKUFcCO)Q#m&!@p*2A?k+bQ8+ z>}mgCPy18*DRT&*h{8*pd+nTi?>Q$%0<||aY--eLZL9`fZ~K{M^PCeS75;!a-`Ikr z5>D@P>nWX(oSVJ77rcSmxaUQVhwoDNdl&10-}R%xV78LAiq@~jN~ei_qSc#n^-5=G zeE zUYz7YFfrY`o7VUJdJlh_=y!9p=T?>6YI3(4&5fCGb8)3emeJI`Vxk{7(k&<_u|o?A z-76y@3@54QCjky94m^TmFCE~1ltzBk?}gZ&>N1fiyQDPEr(mu#mJn`ZWq}I2&JAGb z9zntQ_?BqS;xdy9W`)t?1-0MbgmaQ8c^7M%nMMk=zt_9w}i*c0H zn@p(EFi~<26RGA)Cu|ZjsSIpw>a9+DqlNpSxBa}Zida3^geBOHv%5S_nGPOFzeQ`q zqx0kJk*#ACSreXHS8I33Y2gmPp1M}i@y)~0D$%Z%xk{)Wd#<2YZj$9=tEZzEoyH@8 zZ&0(3JI&>tu(98`SoeBezZZFysdmw>{?HM6Ka%r|Q$y3I2*+Xls;CIJHm?&d(cu>f zqZtuX3QWM~gChryn7Ax;%oJTPnQMLxQz~d_wG?Fh115}9ZM_SLwT_{1NtK|yv$nCi e8-))w4t!iXWvy7NI)91KCz0AqA(1NWH2wqljd#QV literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/Compile_Options.txt b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/Compile_Options.txt new file mode 100644 index 0000000..26d648f --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "88fd13c424e241a2b1d7269d9396082e" --incr --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "im_tb_behav" "xil_defaultlib.im_tb" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/TempBreakPointFile.txt b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/obj/xsim_1.c b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/obj/xsim_1.c new file mode 100644 index 0000000..fb498d9 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/obj/xsim_1.c @@ -0,0 +1,108 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_4(char*, char *); +extern void execute_11(char*, char *); +extern void execute_12(char*, char *); +extern void execute_3(char*, char *); +extern void execute_10(char*, char *); +extern void execute_7(char*, char *); +extern void execute_8(char*, char *); +extern void execute_9(char*, char *); +extern void execute_13(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_17(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[14] = {(funcp)execute_4, (funcp)execute_11, (funcp)execute_12, (funcp)execute_3, (funcp)execute_10, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 14; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/im_tb_behav/xsim.reloc", (void **)funcTab, 14); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/im_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/im_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/im_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/im_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/im_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/.xsim_webtallk.info b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/.xsim_webtallk.info new file mode 100644 index 0000000..0365fa9 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/.xsim_webtallk.info @@ -0,0 +1,5 @@ +1666274890 +1666274915 +5 +1 +88fd13c424e241a2b1d7269d9396082e diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.html b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.html new file mode 100644 index 0000000..2f8bab2 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.html @@ -0,0 +1,53 @@ +Device Usage Statistics Report +

XSIM Usage Report


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version2552052
date_generatedThu Oct 20 17:08:35 2022os_platformWIN64
product_versionXSIM v2019.1 (64-bit)project_id88fd13c424e241a2b1d7269d9396082e
project_iteration2random_idd9ce6c1c-2b3d-4d37-a5d5-eb6432f23822
registration_idd9ce6c1c-2b3d-4d37-a5d5-eb6432f23822route_designFALSE
target_devicenot_applicabletarget_familynot_applicable
target_packagenot_applicabletarget_speednot_applicable
tool_flowxsim_vivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Xeon(R) CPU E5-2620 v3 @ 2.40GHzcpu_speed2400 MHz
os_nameWindows Server 2016 or Windows 10os_releasemajor release (build 9200)
system_ram17.000 GBtotal_processors1

+ + +
vivado_usage

+ + + + +
xsim
+ + + +
command_line_options
command=xsim
+
+ + + + + + + +
usage
iteration=0runtime=1 ussimulation_memory=7064_KBsimulation_time=0.05_sec
trace_waveform=true
+

+ + diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.wdm b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.wdm new file mode 100644 index 0000000..0410530 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.wdm @@ -0,0 +1,38 @@ +version = "1.0"; +clients = +( + { client_name = "project"; + rules = ( + { + context="software_version_and_target_device"; + xml_map="software_version_and_target_device"; + html_map="software_version_and_target_device"; + html_format="UserEnvStyle"; + }, + { + context="user_environment"; + xml_map="user_environment"; + html_map="user_environment"; + html_format="UserEnvStyle"; + } + ); + }, + + { client_name = "xsim"; + rules = ( + { + context="xsim\\command_line_options"; + xml_map="xsim\\command_line_options"; + html_map="xsim\\command_line_options"; + html_format="UnisimStatsStyle"; + }, + { + context="xsim\\usage"; + xml_map="xsim\\usage"; + html_map="xsim\\usage"; + html_format="UnisimStatsStyle"; + } + ); + } +); + diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..43f5807 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl new file mode 100644 index 0000000..d9fd2ad --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/xsim_webtalk.tcl @@ -0,0 +1,44 @@ +webtalk_init -webtalk_dir C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/ +webtalk_register_client -client project +webtalk_add_data -client project -key date_generated -value "Thu Oct 20 17:09:59 2022" -context "software_version_and_target_device" +webtalk_add_data -client project -key product_version -value "XSIM v2019.1 (64-bit)" -context "software_version_and_target_device" +webtalk_add_data -client project -key build_version -value "2552052" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_platform -value "WIN64" -context "software_version_and_target_device" +webtalk_add_data -client project -key registration_id -value "" -context "software_version_and_target_device" +webtalk_add_data -client project -key tool_flow -value "xsim_vivado" -context "software_version_and_target_device" +webtalk_add_data -client project -key beta -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key route_design -value "FALSE" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_family -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_device -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_package -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key target_speed -value "not_applicable" -context "software_version_and_target_device" +webtalk_add_data -client project -key random_id -value "d9ce6c1c-2b3d-4d37-a5d5-eb6432f23822" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_id -value "88fd13c424e241a2b1d7269d9396082e" -context "software_version_and_target_device" +webtalk_add_data -client project -key project_iteration -value "4" -context "software_version_and_target_device" +webtalk_add_data -client project -key os_name -value "Windows Server 2016 or Windows 10" -context "user_environment" +webtalk_add_data -client project -key os_release -value "major release (build 9200)" -context "user_environment" +webtalk_add_data -client project -key cpu_name -value "Intel(R) Xeon(R) CPU E5-2620 v3 @ 2.40GHz" -context "user_environment" +webtalk_add_data -client project -key cpu_speed -value "2400 MHz" -context "user_environment" +webtalk_add_data -client project -key total_processors -value "1" -context "user_environment" +webtalk_add_data -client project -key system_ram -value "17.000 GB" -context "user_environment" +webtalk_register_client -client xsim +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key runall -value "true" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key Command -value "xsim" -context "xsim\\command_line_options" +webtalk_add_data -client xsim -key trace_waveform -value "true" -context "xsim\\usage" +webtalk_add_data -client xsim -key runtime -value "1 us" -context "xsim\\usage" +webtalk_add_data -client xsim -key iteration -value "0" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Time -value "0.05_sec" -context "xsim\\usage" +webtalk_add_data -client xsim -key Simulation_Memory -value "6520_KB" -context "xsim\\usage" +webtalk_transmit -clientid 806496643 -regid "" -xml C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.xml -html C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.html -wdm C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/webtalk/usage_statistics_ext_xsim.wdm -intro "

XSIM Usage Report


" +webtalk_terminate diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.dbg b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..e8214c799a1fb5265796c7ba740d01186ee9f97f GIT binary patch literal 5864 zcmai&OKg-?6o3!-!Y5Tx>w9sbgdIyvOk{`W`{&%|_Q(5klGAhVJ>Na|`QLk|W3}1Z z{BmZvQk%;Z28J?ST|M%}2k%e(bNlw~RS~(mS!AqDWc6N=BM*xleB@4*M@6nYCUS0T zOIOrhRqfMys3v25MJ@V}YEpjFg5NiZd~c5X)S}N?Ub`Wi)W3;8f{JJtZ)0Phm!}M& zzLR@2fgS>sPkoGIG=*Ue==XRE)1$rzRU0Wxulnfs*%ao0 z`k3RdQ<%rq#~gjD>efh;@fioo_kNBL$hu~w)iOU!$QxjX#tQCTvxeWP<&HAVL`|jdr-P7u`=4pP` zJI&8pr}PycpNpaREyC*v`GxK33Bj&CH% zQ4aT}Dngz;AL0r?DsWG!hPV+R6*$Juy1Vtjx^_=!8X^CTs?Sm8xJc6odG3m2yZ9K# zGzk^B=Tt-70+0$Ea~|TBfmGmLQcZG{)9z=g2>F*)L)=$DDsUOq5ciGx5V-BCA#Mdo z1#X9Gi2DUd1&+OwC4hkISWSpL<+)3U^UCQ0AGpK}OYm zmwbEpSdZ^Kj`u-E)p7V8_X7zP>T<{V=Pqg50r|<}lI>Ctj`*t{7r)sQj`xT9sE3gM zSvgSJMKfmA#OEYFxL-Yvd`1->;XHNRnsM}jdm*Dr{}DJW_4&4a!I#kje7;cb#-A*w zea7nxJmsPIq4H3>A>Ot5t|b_MpK6GYnhSNQ%|*>qGCg~0RwkF4Qk!oy7ndfQwT1a; zZfb5}@nad7U6}YV*By1s@O-UV8=uW}MLC!5JrM18=>t7ck4yJ-N4;`i z)APOEQLbxa$^Iyp+gQ>Q<+?YN+!V@8zba;BHk<1wfQE2 zV@u#T@m{rmD5^TVmCGM(RWhH2XyuMod|$az^|JZ?QgyUE=#`WQD}z2!IWm0M&ySW0 z{nZT>MvqqqHw3K=7IR)i&313h?%$a0@v@_p!7_vM1Gq9jiYxO&xiX7a9?3^1h6~jr zQe98=OF_yb$D~zW7%Zu`rfZ4h4`oLiQ;Usk|LoLqw!c)#j@KJe{HL?AIMK*9bkpXt z^HU#X>DefTd7w!)31=`?!&o{xF?~v+S zs&A|Eo3vkbKsB#QT=x#vG>*~9B?k;Gv9|rAalbkzeFPncYz_~l49$#J} z%Vv}Dxbu*9CRrt8Hp$uW5&RoS|_=BuLL+NmU<>`R+h~s<5{t^Z*OrKvq{d1CBuR3iO-SVVZM&L za665=WCzlUS$8hp{In~cx?RSV>_9qcHp%Ta?v`!rTecyS+#cgDxP;|>j&ba@@{4!j ZI$S*4*cZ*ZbG!am>z>)C+*!Ru{sY`ll(PT; literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.mem b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..e43595e974ff2b347a37e6f079b539ecda3e9d8f GIT binary patch literal 2964 zcmeH{XHe5g7{)0g>O~UZR0JfJ^8zBEA_fi&h@z3Ip(9d^QUnpCgkXR<&;v0V7^1XL zqY#FsG^wEkL_rgQPz)s!I*5d3Nd7<$Mx8nD>)l6o=bh($_I-DE=C?B|BJ%Uta_XC3 z*7~Lt_|NrCw@F}=z$SrB0{<@ol29nzh##kl|46`jIYBc3kPJ)+{D802IZPe=p9jJ&UJ6N0>3Ceo&emUwAAKHDC(7r^n)#!XEa(| z0O#E<(49*a36u3nJb`PQ4kr|uN_;usJ>oAlf4yIs@76Lru38DchFRp$Jm8;g% zGyAM8bZi?}SfcxBtJIB8G$X`uZc&yw?fJ7~hRvu#zB!^WUntsy7&mNi%+ zvSk@jQ>X7^BXhFcJxX1JjrYj_?Uo{5ZgsU*rrjN+#DhXbJ_3`Pt=)r&%GzFlZxntZ=+!ep~Q*pnL&$o zvmd}dBv^=HT!Y}8MV`U@s%MW$F=1;TT$il0h!DhVJE>~Y_HJ6@qPm@@M4mhBj)ntQ zy?NE_g2Rn2lPxn?a$K3rT@MMm?tp)eQ!1a-l(v6>ap}qNKk#3rpjE!?Eg>?PM{51D zds%C=LMxZg?&==4sZcp!l&vIB2jf@gN3XZ@|8!l$n6vm$n;X_yif*oBv%YvJhJp5O zk3%KlmpktX#xQx}uOq>@qUUOCKhBlxjOZd-+#ZYuzoYJbRuJ!%Ira0f9k-d9W@W!5 zHG~WGd-O(+TOQw|Jtv5@dj=+5)Dw5Rnp8X;u04a_TW$Wk=MxfaLU|wVDv|aPU-Uc+ z;Tq>tP&dkqbu0tq!lVd0USCUW&6c@xC4ftf@9Fo~GlPV_Ab|VR zmj|0JoF8Rw9ZDn!I_QxZ&kpbS?fcZbv%|5vgW57bBE)_)j!k{RYmunB9d;g~4W~{(`0ii(>{Tis289&cgM^C10I~;r z);7poKDf2Se+_o7*{B69cL8x=C2c`mT$rNLCIrn1BRKrfCN8EzSvvCLTcOJ3xhV}B zXBS0&DHl%KfKGV7djo#DG=F^;T3FJkKeJNbDe`uwT4h9L> ze!z&_q)@AQL7CjApIz+w1cgQ~F4R)Ybi+hGi8Fp_EsPhNTX`D6RiY?9t_c>LvM4W~ zK;{OFMJpGEm-mQ6aG!ux>9$u$Tpf9T8XH~{SM9M04_ifpbI3a2J5#%C>aq}HGO zbcHSOP|VztPnhflsg`~0GVaV{26)+PNTwgXIuGits!>uNxS6jyjJn;UTF{E>Vt6{& zc6m5~Y{r*rN_Hq2Zok?Ii1XjjGU%SX? zP~R0G8g!uOVPTbXM^WIfDr04A`*Q7^&^pcRj8&W@hv5}&UW@GpltIavm_m8)knusF z{)z**jGwqOMK$w6galkFg`pzS97YkvcXE&y@> literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.reloc b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..ca29208fc70ddbf04c9c9552262f4c0a74f7353b GIT binary patch literal 1020 zcmVM*(IA7yGg1>jzl6yB9TZWawHOo zL?TBbk;swAkw|3d>94C8Qn8_GIseyv&VhT+-_70Pd*^m}pXzvm8~6-&a0kaPbpB-P zA1KeZ9u9ucxUCOiTc22-`KjeU)^!zZ?^nb2e$GpscjURwkN4bexco`;?q}=|S8xFj zJZFME`}yF;e!f?_PX~u^%=;&B%I_Qhe!DMiLT%Ms$&LwutPmZaKrn?@cs*3SHLlRf=Boa&u|ByzSQ*- zT*EUQex>=~HytB5gfDOcPjCtsU+cOGuHYIDzR~;uAK(i-!0zul?+WkW{9BD5;1Z5t z|2xg+@E$JU9&X_gKEs*MbKw&_!5;lA`9tT;^o1n6XaDUz*YEB*`tzRW4L5(?=Z}Bi z^WlMV&-3@N_5CaC(7#65`g%>@y0C8rTVIRmOC$LRw!SvO*2kKM`aW0Y*KkkYIzG~T zLq3MBkGYREKaqD}>thXUeJg&#e)OFgw!Tz9)x7ng=$W$hoe8!+P;Kh|K;2rMUpIAK zZt8eX-4^)c3delsM%cdFCEpo4zY}cVrNH_g=XbI1B0d>l>yrh2FTywZrcVa+k(s=2 zOrPvw>pR(|&v^6+>nq)+kEENvv7_#-PnA=&f+mpZn(Z#Q**+|;$>>v>uoH}I7@ z)$G6T33X5{DHqSzi|)`8&+xWr4Ki>9P=?ouA+{1&S zyOmDyp2T~`~k!ZdXV#DCA&JpW1?^KKRVru+mH|kaF)}qB?34Ky5 zHse>ZRg3>bAMxMKZg@_HW~F2NC!u+zYy2ni2>*$mR=UD}5o@ U5Yc;!?2X=yK8#vC1gIN*0R~Y?IRF3v literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.svtype b/aps_.sim/sim_1/behav/xsim/xsim.dir/im_tb_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..c57d57d606d10f8539584d90a21876681b15f524 GIT binary patch literal 120 zcmYdcU|?VYVn!hT4+J2Zfl&cS$OADWmTH_|K9~~a&TifaJ{Pgl5rL^Cb(o_Ez8>(%J@A0mP zTI5-~z_SeH@)`X_;k!$DPdnG6IRBsj{LX*#Z!4kNKUC`JIpXosZd_ zkNKTv*T8wc2{_LMg7fShIM3dJ^Xwfs&t8G^BXZz8^+4%ol<#j$6>$CRJUBlg2hLB* zf%8*x;QX{4I6or?&d +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2013 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + + +#include "iki.h" +#include +#include +#ifdef __GNUC__ +#include +#else +#include +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +extern void execute_2(char*, char *); +extern void execute_12(char*, char *); +extern void execute_35(char*, char *); +extern void execute_36(char*, char *); +extern void execute_37(char*, char *); +extern void execute_38(char*, char *); +extern void execute_6(char*, char *); +extern void execute_9(char*, char *); +extern void execute_17(char*, char *); +extern void execute_19(char*, char *); +extern void execute_22(char*, char *); +extern void execute_23(char*, char *); +extern void execute_24(char*, char *); +extern void execute_25(char*, char *); +extern void execute_26(char*, char *); +extern void execute_27(char*, char *); +extern void execute_28(char*, char *); +extern void execute_29(char*, char *); +extern void execute_30(char*, char *); +extern void execute_31(char*, char *); +extern void execute_32(char*, char *); +extern void execute_33(char*, char *); +extern void execute_34(char*, char *); +extern void execute_5(char*, char *); +extern void execute_18(char*, char *); +extern void execute_8(char*, char *); +extern void execute_20(char*, char *); +extern void execute_21(char*, char *); +extern void execute_11(char*, char *); +extern void execute_14(char*, char *); +extern void execute_15(char*, char *); +extern void execute_16(char*, char *); +extern void execute_39(char*, char *); +extern void execute_40(char*, char *); +extern void execute_41(char*, char *); +extern void execute_42(char*, char *); +extern void execute_43(char*, char *); +extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +funcp funcTab[38] = {(funcp)execute_2, (funcp)execute_12, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_6, (funcp)execute_9, (funcp)execute_17, (funcp)execute_19, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_5, (funcp)execute_18, (funcp)execute_8, (funcp)execute_20, (funcp)execute_21, (funcp)execute_11, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)vlog_transfunc_eventcallback}; +const int NumRelocateId= 38; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/top_tb_behav/xsim.reloc", (void **)funcTab, 38); + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/top_tb_behav/xsim.reloc"); +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/top_tb_behav/xsim.reloc"); + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern void implicit_HDL_SCinstatiate(); + +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_sv_type_file_path_name("xsim.dir/top_tb_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/top_tb_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/top_tb_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/.xsim_webtallk.info b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/.xsim_webtallk.info new file mode 100644 index 0000000..c3c7afe --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/.xsim_webtallk.info @@ -0,0 +1,5 @@ +1666285819 +1666535348 +73 +1 +88fd13c424e241a2b1d7269d9396082e diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/usage_statistics_ext_xsim.html b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/usage_statistics_ext_xsim.html new file mode 100644 index 0000000..19f5342 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/usage_statistics_ext_xsim.html @@ -0,0 +1,53 @@ +Device Usage Statistics Report +

XSIM Usage Report


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version2552052
date_generatedSun Oct 23 17:29:08 2022os_platformWIN64
product_versionXSIM v2019.1 (64-bit)project_id88fd13c424e241a2b1d7269d9396082e
project_iteration72random_idd9ce6c1c-2b3d-4d37-a5d5-eb6432f23822
registration_idd9ce6c1c-2b3d-4d37-a5d5-eb6432f23822route_designFALSE
target_devicenot_applicabletarget_familynot_applicable
target_packagenot_applicabletarget_speednot_applicable
tool_flowxsim_vivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Xeon(R) CPU E5-2620 v3 @ 2.40GHzcpu_speed2400 MHz
os_nameWindows Server 2016 or Windows 10os_releasemajor release (build 9200)
system_ram17.000 GBtotal_processors1

+ + +
vivado_usage

+ + + + +
xsim
+ + + +
command_line_options
command=xsim
+
+ + + + + + + +
usage
iteration=1runtime=1 ussimulation_memory=6576_KBsimulation_time=0.05_sec
trace_waveform=true
+

+ + diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/usage_statistics_ext_xsim.xml b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..5529fa1 --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.dbg b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..c3ba4acfb1b6554db4402ac44e34114b02f290a8 GIT binary patch literal 10392 zcmd6sO>7-k6@YKbUkIgffr1NxOp>-SZ5sPM|HMh!#`d#ghdA-;v#nUDXyVwf@dMj2 ze$TNZA+e!SRtSlW*%?&=ZxC655kd+qP$Vi8x~+tekg6;YiUb0$Fz1^&$J}?5nJ8It zl=IHL_k45i-xG2}*rwse7Xxd{s zu1`z-sQNx57FMRY%SbU93*+H>`jBOGZK4)OicQStq)xwGqFiG_41_*TFN=@$oyuVt$0tR#)>TUM3C$z(de){Ep&GYv?!cRWuUC%w5=O;(={M?&)e)iD(?|Nm{ zA7zeaf93f(H~h7S`@QGq8rGThH%mW=t-sxevbf#Vs$7%2O^?VmI! zKK9$^jI}i~j%S7WX!HB!dVKW7b&-~>4NE&`6GBcgKiW-*XF18?i z7Iy?l1dj78i{lxOz}cLV2KixptO4^VXd@i^7{((%;7*GoaO6wK(GGW36rs+!l*PRX zBm&2on8m#XBm&1XgS@ajpbe$pSy6;Kd*gGIjhmM;LY?PA*e^cfH7JO{@odQAc;`Ui z*y}9r9Uu|7uZV^m?ezOYQG_}iKWkOyrlgvGWw<4<5(${&~;ygzI0mhJB`j|=mZ=a}v9agR&ZRbXP%%z7f! zi_*aOC^N=Lt(YWF&DZO3u#qS{LOvV!hW5+&@zZYN=ly`k5f7yap68;?cf{j}>2o|6 zjl1Y^te?;EO=XZXN@;UO>xT_uq%idW$t}$-G<5)k&Z^Hgk z23hvF@SHrP7l7D3rAm%wZ(d4d1a)*<3dMMPsI~)q1e>YBQ4!F zADMrl$y?}(3k3~dI2kujtuOaex&e8L(zUtH?;fZlKd}+v)E2D#MDPEDiSy*!A(b0HxtaN@@+Q)_m;^EPOQCE^MV`=ehX?#G7 zgJ#dAE(sdf78?XkEP<24*CzUh;|aslTIqaxk@_@5TDvgr`zqrTUbWO;o|vo*c`cQp z@gbiWA31l{uTPc-`zM+%n7lYK)D(1lXtdx(lxnfL+Sy#~@~V^LLlq|H2e39jinaNn ztWD!p#!B&}bAuBjZeq72D_`j7xG?f{H-5>D4h_2g(aHGj`RS`kVYym&<&vweHL8oV z)!DedT5HVA`KkOr-^?{haJM{L5G}gZSyvikAu8HszZ-Owu?sF8z~E3>hA}h$EjK;8 zEP2UqG5PIut5u00ZSqremHrEEfU%4%xw8zbQmwDd+h@Bgoob(~SC{MU{qxne_WtsC z`}9&hPJWKpmuKqj_1Z#QXkVFTlSddQ9|H z(RNY3llcbb`?gDzarTIw6g?%%-@0BCeO1GI7w!Jo%}*c3g!AEjn|EZ!z`HHqWxTtF()5hfm*Bl>Wyuri z{nJ10*meK@u5+8}zm>sp9bB1CMfks1B#g%%!ELMG1{iXTG3l2@^Tg+f#a_c*X7NPk z)Z#E1N13sSP>%_P?Y4 zyBVB)`!BKiB5P{Hc=qkzO2UgoYD11ZLvE}8P6kIVB6Hk4B1>w+c*!@G_*=NaL|WB` zoW1AY;l>rYt2X4wdt~XWsa;bWa=PuVl^a?lQX6u*oo-9Vbvt8xx}EMaHA4mT zLXlRrA*aK3Tk5}^!RfHwWe!!5IkjOtJx<(S4s4MYwIOH6#Vz%3WpH-hO>vNmTvi*# zJD9rD!(PgJIrK$BE=pSE{8Rs}4DOI}R!C9)!2l+B3tR3rB@X&U-xwr__cVw>8{`9)z1}Lyp@T zZrcvl430EU;^{_jstx0j=5Qmr(PgzENBY9uSO0bfNBY98M^X^kh!V5=_Yhkb(h{yu z6JkVd*e_`bcTfHIGdR)>?gxj{{?^ro@kl4Q-Xkg3r#9qBBe=h)|6T^ysoZvd8qa?H zc;r==a_jq2VneMxFUrRjeuBpy<9+&IDyt2-o-~ZRsfm446Efs@heF!MQft3H$M|~H z(eViGq;g^2KcnsU-%sC%PFY>YzpXapPAj+mbXwR@8*;BH*QWy?Q5$lvD|b)*+Zo&& z%H7u&ify%FyfezJt7B7b$n_~VvOg_MsSPBJ~aBhU$S&MftE!epca>L5~7Zt=krvLx| literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.mem b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..38d68c945e90bdc92df236391d1245acd69b70a0 GIT binary patch literal 4710 zcmeH|dpy%^8^9|iMW_iyc-MgTN00|6c-G0069yzoSb2J^4$@>ulJtRXkS`kH!D+`!Bcu zS!Mp!?@!BY*buUD_Uct%RkuCaU&@|U)gEzhyerL9l+xRHlU>>nbj8VX^K`nS_uepu z=a`lXwYThN9FpN=WaE8o(}iuXHf=e&%e&2G8|H-We%9kEEA9D@(s87dT52!l?5{oY zSVNG3*){wqr_}tGj?08So`q#wvNG91|7hV1nH4sL9zQKD>Rq_Y{mJ-eJHPC@DwqeuLTb8iAx;84 z@v77k8`&+_o|YaKneTBjQBbjxR(pq3--j2)A+xJYC#$coxYu@{fTZ0zuy%moS}6bg zz*A%`_xUb6Qynwn3-A=?o(I}u+w{w->#<0n;L$6G!Wr;dA#uYSEr(q;IT9u?*_DkLwzCF zp=YXHRqSGZ@;V%X>vWpnHGLFt>rzn(nG?&2?`)cv-xGZDpLQzKZPWwORcXjgyV?Jz?ETIuBw+c4RgeoP9|O z>XXGOAhR}jA2#I0%Zm9`{X~u!glmK0`Ax>$bS83&rDH;243nYDDV6%~+$L}VkR0r+ zCBZXNidQrntlOoNU0fbZv58Q>w!$i!-%ZEgj&EbYZeaVrRKBAfj2Lt|hd$pKk?Y?1 zF(xf5EwrKch1?G5bG%-qe0rMQCzZdayx&rMXWJb_MU&h2>IdmO%{ zhRm?`wCCLI@yQ6hbFa|lwvzf~>!HlSo#5u_rsioUAG(?}-j!1ID(DeK3w!wT0l#0c z)Nd%hD`qS86UUF6Ork<1+wl2ipKiD5Z)mZgIF9eHSvL4km6TXA$5S23GTk*aJh1k@ zjJ(gC&0`7!I#Edu5rYlN`^;--@UY@|XQdrJhf9m(B@5AmCfAZOux93GM@R+j-YHPa z`st*jNg0qOW|#@&dGgA%7S>RrHMF%8!#q;Nt||1rSDBQ@j@=k`+W1%`?WuEh>kj6? z6A3_jc1_O_k6Ab@eX-6z%CkA)4klGgf*L64cM*yC4U8S?Rp}TqGr`hyR7-&mS*}*2 zWvO!Vr^d}FmcZ6v$^9{P1HQtF1=3{H{7eIl7!ZsXu#=Zw)A0I!S~sPp$f2~m3e;lA z31$}~dh_c>VV#POM&O<5gqOYe-LszphT%Pc?9$Z)s`_HI_gk8Rxl>up7uyTz2XK|V zleVFek70p};SQ0N@wAa{wzoj^mNP?89F=2ERs;9j+1{MhN4(;i*l5mhrkukU` z$@HpiztV3w)1Q*4y(bnT5up*qw?0=7f4m9~zzm}mHtUN37f#4N)5pyCa!JxVi>0S) zuH+5*kkpIj#ZiqWQ3%db7*K}7cz{zAFB68^-_D#P@7HkrxUy<{^n2{sH+ASf-K|cs z@#SCF9@5N?cVx*@l>9S5XITN=$S>XW3=B_kV=l)1DPz*D@`b>uK^9yJ=i*gmm?1{l%5GQ&=ObD z{q+cy%a0Vm)ze)AxaC>zyNvYKdydu~dy{{8d<~<#Z}l3_z0+0;txY3SYS;x0fg=$% zFTRGJ>2eC_(T;f=;bOaJoEI`yKi3$oEJ#v8T@t4WRzV#T3w0Br;&@zukg^ZSU}HE0 zeTkOU!C!^(DsjpuY67<;gS(~fg9Mt<3OBz(=r1I(#5PbKAia|9Un~HgDYvk-Hvx_d zYrlMpO5*SbrO6QEie6mC@nXz6xt%@0Bk{Q)-U9b^+8y%}Vyr+7y?UJuCre%Zls6hfQ~ z${h4YkBs8B5IZ&-td$#2U10*F;zCQ-1y}V=s2TU}<@E0V=z1N~)`n0Scuk4I{Ivk&BaE zoD&v|;4rzTiDwdJ5^r0GvVs8t(|K891eNbb%)$DA6`3(~2f{O<-d587} zd5H`W!Ki7vaQ=MGFD6#$bG)A4HMDvd1&1`WbnJtrrc!Ry%BQporn0*ydKPtNC!yDR zur=o}gSSmyZ}ceNn{O#Pqr|AdPW4|h&Di{Tl4C1tY@Jf{+o$t&?a+dT*61_iK@gP* zB&u#xvU^bI$vpIcHX}Hkn73+Mn>d$6BOalS^G)X31gahuEH7f~3|TNRALOC#LA1=N zZ>Pk7veK_-aDzsAIQANl%adP@zJT`@jBx^x1QyxxYIz%Pt>x2G)MZI(x03 zlh(o3^WqS@IKmaq@g8q*{DRD{afTZ_;2yhA$@mklu=i`p>*w>ae!c|n8K2_#X_>dh z`uR(&pTET!;}3Yi)^&Lw{eCvq?-yXlmT@88;WgIppJV<09q!(i`MY-kNvp5FrN`P*VWe|xOouf_WP2CV1L`kfq4&)*6=FG@bZJDlMLuW@um z#_w>7D}2I7Y$#NMAIuYW%u>)$WYe#WQT|6Q54)qYQ0YCoU9#R=mNxW`r~ z^Yrg$WBvOD*uE^|LR{iC*6Sz7di_+mVSJ6F?^$QB4(s*RW4*rIKg+m`@g5#{l z*5eo0b>w}vxWaoi*LREc_^bD2p3gcE+*tU7x%-9759j^S&AEq#t6TH_B%0g4J$JKk zc4yx2-krN$IKMaV_wUbrTzK=5^lLp9SnILDT5o%-^>)I8t{1rYxx8=ov9t+!JD$77 zy52Wf*ZUr8y`HevtM?Z^KlS8et;Yn{T5mX{Uba~4sl-}OE!OqyfOS2yKH>P6X|dK* zfVEyito5?i@h{8#9Q(f%A92C=E*?l;9Xy=7!&+ZG*7|ZkmGN3X9@hGav99k4*7d!> zx<78Q?vHz&|DqhH)%jP%{v&A{K3D&l_~1x;!s++L-e0BNU>`d_kbHuDoZ<#=@e!9e zdsgPRxWEVO{!sd@zeyWl8@D*X9S-sOM>21X3!G#3$I@Tn6|V6fcld~Voc%=RyMLE< zgFWoHl8U|k2uEJYcg+xH@Lvg>(bv~AMbI4PxycboW3FR z{Z!fi zu+#C}Cs_ZI@db8p%QzSJxaa+B@*URa#4XQt5zk2l*5|;LEyvU6x(?@$B!9$u-@dsZ zdA(0xT@)v=v_97R^dqh!=|5n-4=E}_R@v9Cm| z+q%x57VCPsSjR)wVO_U-tm|~iy4>?S!4})^$oCDOm$nR~4Y2;M(_#G`XZwPT8?-*K z{*JSHQTp|{zQY@y1CCgq>x(PA-z{l3SfA@x9(jF^!1`RD@ONqM$haH_JU5gJH`r$U z5hu50{(vJskNfZW@dI4mlzg&qhC|+GjZ>WC4c_1#F7O_2afeH6={#DGwxSJbkDh$a z6d$nu?y-4E`t|RVWBuKuWnO+?#`U=8{0_LLwf-aH4%ojcK4A~*?95q>$HlKQpZk;n>wVJhHR;#;qUd$8-Upqq-uIM?`<(Di8K0)o_E_&@ zwu}3gU?t=9KBdEYUsAj!{dym=;=V%fJEZr2|4*NiTsb!O)8}zLi4*%gZ(TT#t4SPR zJkJlryD?QgbDobcoyWr@-m%x2@iF_Bi7oah6W5bCW$!V0mwm>3{&o_t*-uQ~WA8BW zVG?iHGfduRe>fX6iF5Xav-e?7FmXGH*Y21YUncLe514pAi8I!JlXqC_P25c4RM-45 zZ?k@zG4&))Sf@?iVof%2HHl--bjs%$ytDA^v+Y}9j@Xpc`eVtPGIC;x-LdIDk=T^Z_JPEvbi#)cn{rt_ zlGv2X>I;cYiLAbq*p$eL*p$WkvE;q6ai2(RN}(mbI>z}|lD{@KekQTG`~!1R$JZ~$ ze}y@znlYzITvC%JA5xPh z?kDk6-RR!04})J{vAQ!_TT(9mWd%6ykvXlZk5z~}lu z(iU9z0oQy_TXL;OT;~IA#r1vU+D^EBZLZzmu^hjn-E#efTze_69E4h}8zP6srgU3x7qlk(fdjVgx^6r-j!L0)m(D4j#$)X4zfz z!P_@GpEnb$Oyy@0&D2)R7voS2qfscN45;^b-6mzDxPxuPynU^l+23x7 z3m9FHjqOtQ#+>tI|0c+Qt@iFCcE@-a@Q|>FaBRWH{Kob$&P`3>Wb|qDZPa5!;0v2g BJ!b#_ literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.svtype b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..ebc0ce365b43d880cc28f21a77265a6c7d3f5b8e GIT binary patch literal 93 zcmXYn+YJC92m@RFaSNHkE!=+_^&mF6J_uV<2g5~~a$pl&lhQyAtd!^TzwKbv_xNRz FsRsgd2~Yq4 literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.type b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..14a09a21be380ea00ada81606e1595c3a4e4d8f5 GIT binary patch literal 24 TcmZQzU|CBMVm7p8cFUvrpCjR`=Q4e`o)_gZ19U{dd=U zr(QU--Z?pa^T{i3K0i&>*Aw{<$FUyu zR0hlOck8L2F`xCqa$Ux+mhtP$csgYom`;yah|^QU;hEyaJvS0B8O zCtG>0dH)~YnrBzj`Ahh%W5ECa*_Fjt=T74JJh^|le(P!cm+z~ym#!Ai{Y>Th&XfO? zdDfjW&pg$=f7YC`>vK)Y{KjD^^Q~bi^E}s-d7f*^JkK>{p68Y_zin8`{Ptlf^Ap2T zy`TMR(B_EeK4pJwKQqs*rlb9F&pfsHxpn%;*&pWY4|Dd1dDfLOXMdQpKg`)5=Ijr1 z_J=w9!<_wL&i*iGf0(mB%-J8Uua2I7?sM){j_uv~yc*8)H|P1A^Zd|b;CuQ~hI zJijwj=64QDncp=mWq$XtlzDQMGQW3N$~^Pb7;cWbfAe=<%C6rYmNI`}SjzmtVJY*6 zhNa9O9+onHWLV1l(P1g`$A+a^Fa0YyO4f25yf~k0|1u~4=H%a;{F~1!ng52#YjQk! zc0M0pU*~mwo!9ksUf0+8ypnl+^w%F{eVy0!bzaxkd0k)Ub$#h|IX*o%pO4?a&g=R* zuj}i)uCH_Yd+u%LnWs9>XHMDmxh7>!e>bPUo73OT>F?(BcXRr?IsM(7{%%fxH>baw z)8EbM@8baw)8EbM@8USOM{>9`D&JqO zo}TCG_m|u!j$fCr<#GQ0Gp9e8(;v*~59ag-^L*}@=e=w&%nA0E3 z=?~_7=6p_$uV0%-HUH-1-<{n1d2*35&pb7!Vw!3`$iH1r{>{n1Ir%py|K{Ys_455M zIpO=?=NINrTE8EdlYevaZ%+Qr$-g=IH_trPeLnJU*OPy9@^4Q5&B?zx`8Oy3=H%a; z{F{@1bMkLa{>{n1Ir%py|K{Z1ocx<7Hz{-SZ%+Qr$-g=IHz)t*hzleHYxYyLa0`R}~uzw?^^&NG+F=j%N4ROdDSo!9(#Ui061&41@L|DD(TcV6?~ zdCh<4HUFL0{C8gS-+9e{=QaPG*Zg;0^WS;Rf9Ey-o!9(#Ui061&41@L|DD(TcV6?~ ddCh<4BOaS0IecyzUpX@mYW~g1zd8Bu{7*0#!7~5= literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimSettings.ini b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimSettings.ini new file mode 100644 index 0000000..c32014c --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=1024 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=65536 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=75 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=75 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=75 +OBJECT_NAME_COLUMN_WIDTH=75 +OBJECT_VALUE_COLUMN_WIDTH=75 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPEPROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +PROTO_DATA_TYPE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimcrash.log b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimkernel.log b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimkernel.log new file mode 100644 index 0000000..086a52c --- /dev/null +++ b/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/top_tb_behav/xsimk.exe -simmode gui -wdb top_tb_behav.wdb -simrunnum 0 -socket 57281 +Design successfully loaded +Design Loading Memory Usage: 5844 KB (Peak: 5844 KB) +Design Loading CPU Usage: 15 ms +Simulation completed +Simulation Memory Usage: 6576 KB (Peak: 6576 KB) +Simulation CPU Usage: 46 ms diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@s@e.sdb b/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/@s@e.sdb new file mode 100644 index 0000000000000000000000000000000000000000..d0a5b21f9d67f2fa4ec03368c33d9c681f9fc3c9 GIT binary patch literal 743 zcmZ8fy-EW?5T3oLcNc#!lG+5cP#YV;N|_)+P9-7u0%AngXfIgEX@nf838IB|cR9gA z1QCm9At`JGpCOgKzCoOw%bMU8%Qv&%&)jfhd#RWW-~%1}I!ML(o(>B|0m&i2pSRCf zEWl&DZ)9mXSIX^VR-F~hEpHn)jTjmLO*`Gbt-JN(lL`oSpu72xjH(wahXLjZwCT+4 z%GyoC-*WPPfYQkpcQ&Livqf1$xta0#YCK)|+fMGnmqkau_;=U+;HnW}6hPnyIjM&2 zRotV%J39%@pq3sEs%f0iN+^*(+DQ@ZMwECDGgjS#}Qj&2;<#*ELeHYq~&MZc_yUnk2-GF0C#U zU8s$P1$adpW`8wNY}~kXV;PC_<_P7dTWoa6#g4;KUvN12gk|Z|t|Ms`bJ8X6Addzj=PUwl_W*wmKnv z7xX26-ygQ}UypCy&celBkbj^2`J3Ddk5}`xclHiGKKN+-?*0uq*t^qtbW|Qsch61^ zTe1|wd1o~HetJ0l^!OxXXr%Aw|63!LYkl^xl$$DM`g-e8xi)Xq|6%f=KiVxPN7J(} z%YJz@E!TF-)5AXAULUUaf1I4G_si*|yw<-u$iv8h!+Hpr{Gkmk(V3ha9+i_Xjvp?U zr=x!PU?i`3X?`JFrYMht{PX0ezd}1?g}kY*LK|e-P9%JOPv3qINVhG$QA;mtx;Wjr zwpm}XqNV)$_~08X`szcG!$F2#9j&x=8}IJ_oYri|{G~9jM3^8SxYDY;=Yfn}4Hq)5 z&$5*uU+YJLF2>F-P~L@U>jK3t?yyE#)=9e9sO$peU6{5mP%W^ygI!jWF19P|LUkuI zkG`_38{`*9wk@KKiZ%?-gk2Dd*pTRBo%GCFr!14V)z-6KL0+0mJ)H95B1+G}atMPE zbgeDcW#@e?4)SWIEne`%Y&9Fm%Nng&S*`E8E^=KvLwnRTN{-o84P*NydBfMl&hXEA z@~vJfh~A9sE^WUw4J*K)?mVSQH#Ql26K!a?7ZP0PB42S_#CB!9T)Zo4xX}Xw=n5_} zvh27t5SN;`&~awwQ(Uha?s|d?UF6!FyNkF1H+p~zUBN|0wjGxS;!+bAI^r^);(FC^ z2MI28k?Tn!F5(8<=m9SD9QT5EZ{OIzd42D0mf?KBBwe3?Yd8V5-oIl#kT@mC#5q_^ z=kTh{v60LHUF0pF198I~(Stdl=X2PhMrHv`1EHz8?a&dI`4rcyhWku{3ti+oGq)Xa z18(#H7rKIrjBNQH(?DEm;zCDU=2Kj+8t%me7rMv`$3@(L8$G~-QWFeG9mz{`99dVyaaG{HQ z+i?*$;6@K{p)0to0=I5-T)R6_UEH0v;YckW<_Kq;fI5Byaoe9y=728p9iIbn!yM6r zIiM?Zu!=eA#&ix;t8*YFy(}IagmDh)${gFt9MDC6#pgiWFh}%Y4(Q4ptYVJ3(dV$u zM^(CheAtPbPaTiXPJ#+izV*6~_0r_qOB2xdKUwlE#pT3J7Wi6iS4}xQ(kg4GvL|Xay1>3E?Cu7m2Hi zknGr%4NYtH4S_285{ak?siH5Ghbl0QRXZakrI_JUpzM1b^ zGvCbK`^?Tc^!$m@iK<$!sJC>gxTIRr=rCfBj>+RK2mg+4t1!{H^($ zGtbPOPxG@kCZD>MZlCMSUQ0LUXGYUVRXv!P>fYO2*u1m6Qq|OwzYqFsN!v!3wl>m5 z0bTi=x!qZdwbrlCU0mNtU!3i%cg|hwtfkJ?nRWjB;rFku-1gek4TMLDyFJhdM;H92kTz*A(|IM^HEW^Ysa5BVvgALkaRU?XXby6>CTU(;GZjM zeNcMFWGy>$Z*J?88V`<1-{{u;C7GUl($muP6=~Z4;^OK{i_@>Xyu7urxw`hUbyrnW zx9OzYs_w3UCj#Cb@ScDtbD6rT$J3WRy$pLf!*s*8@>BX(96^#yC%#)EnOS0 z1&p<UvKmNtL>`t^|5#(g4<=gMuu88N?%> zx}Ge>&WKDmLyDCJo_Kw6V|jD>{)#r&_1-ES6xG9~I#r-pWO{yOjf=FZAYlj(8M zoIq|>!QFlJDEVP^500iUWqsR7fiC{3;0G7K_238A_<_Oyq2LD>zxCjEsN(~J|77rk ziyvn5cc^|~+w98oKNbAo;)mI#032cdz~KK#@PmsVHp2WJ>iEF6ksY!1dnEY5#cw_M zfi^xc_&*x_;Npkb_`rtw1KUQf02}{f!4EEenB@oB_`u*l9sJIQ2NyqV7x_)Z{DHy$c<_UZA2yC3X!jqmZT9BzKNI}m;)k{Pfi{0@?fIVw zesJ+y4}PGH4{V#UJpSi`A6)z}TYnRg9~k^+f*)M`*2DM?b^n0D|M}nt7eCC#Hxc6l z+eV(FcKuEVKe+f|=69&$1B3qy!4EEeSb9>Pv}6cW>p2}T)I z%R<}cc%JQCC<`vLwI0F)Z3BS8|4i_Miyvm+?NU;^zhpINmsYnHSJ!^KiYOlIzb2x+KVMk+#k)&5es3RNcy`v|Dk`*%bihCPvZ&HdIp7PJqYzc z_LY|v;*hgx`lmX3rF1pC6fhpftwFCYkWJ4F;*pP(<0-_;05j8d^Kw8hXWD;h7h1_R zC1t;kx=J6HWLyF?beUs)Fg+rYD=Lw3^r8Z{ja2ETQ<-Z`vHPhy{Y^L@xxsb$KHbwX z0yVle?bpOtC3(fQ%4sZ5!$mCp$=uzwU3iy*WV3=Z*&)R>!Z$($upe4A0$gVyL%&x9 z*AW3c5P?>E%;}U}R3bR%LedCu88>MAB4mX|xEdmW{oJb&;6p@k9V3DVBG8(Sx+;T8sS=q0QRG^Mt~0y!F5CcZ;P-$R~kD%ck%3Or>?oS5T-pjmHSF6 z=bB2sN*np3+e52ob46datk7)NLpHD<%QYK(h-|JS8+cnby-8^81#wXc;=F7R+#`5j zUxcjC2;U45z<#pV2=E~yxQ+o4> zHE@sMeSHzKLL=M^5y1ZHq7mRjL~tDuzylFzO^0&?7nKOkO9Z$_@V>qXS)mbbg$Q7O z+tCQ{AtJbr2;glIW=bWVqC1Gg^z@mAA{~(bu8S2*ULQg8+IRWw5CQBjOBw+_LcUWDgE1hBtFX$1HX5nM+E@IVAp5Mc*#h@ejZ6ul>a zZVXMmFB@pr4#WC5aBiveThq4Z+aVj+U&S;Ve28qWBO7=in<>b)gSamnitX8GN|X5} zgNAI*`?Gx~WCQyvo@Rp&k3PL+={fIRdfyEZ!2X7) z5#U2aa2*lA0})I?gdN0v5m2Pb9KkD=2+n&EzNZoFjAqat9N=#MS5Q`HzVBlct8Dwu zC;gTw|G;$Q1Iw>B_Fkday|vhVpy$LN1~s@l5!BYw`_lYTP=mX>gW6i`1@W}h{)wyg zp$gue{*mEIzRA)}F?^TaazK4{Z>Ph#cvr^`#HsJWZg_X69 z<)zx*3~8M@b@}p)yeG=r;eSSDRF(g1M>(xir!LIR$nR(_ujI!Twq5s{MUa2>2hWjo INPY@a3U9pFMFr4$9JL3s0Dp^?Hd41ox=ia$9sa%^* z=8XB?@E`s8>2xynpmSl_np)n_-w*%zl`fcj!>Qt#`NfsRt8?d?3$!?YIkUOmZ)|P0 zlaw%KJ68{W=(Tz`I%~#)hJUyJRYBrQuJ(OeVi54(sXKnr(G+fXHwyKJ-);A{*8PIt z?)k-rzu79_=je2~aJRcwF8IB!KU+B9rOYSNtSK8~>360RN3OfpYWv;KJN=BmSugk- zbvo=qVYgDmZQL{T*TWwlnIV(#=p^T)rToOi?oz2fI__~2nVZ-7=Qo4!5Qh`@e}Vs2 z%%5R@vhVjE3uGlw-8GKDm$8Zw4rJe&TRcY(zWais#@x70rsY}aKjIIk=g zOmL4y4i+YkS8Jyl$95f`nw^wBkCFHr6}+_ExX&Z*+FZb2Hnc!hU|9C&+)$dzL-Ll`BgZ&(cD3VX3)tx%n9F zB2OQ4#Gs9=K%$Wf_RsDM0B0Tu>S zFN11NLCT2m;MZ#Mj9-3>vpQahZaeGGrju z6BXb|?`avkbsR^9KjqWHGNfS!HAo*rs2_%42BRsPM)Y-wx;+MVRSoznU;_?Dk^hGH z)0>n09HKSY$O3Z1Qm-rnXaXw&QO^g(Nh@m+F<~&j`X1VAHtX zrsyDZ%iY9=%^LcO?*aJaLNfF&M}atwGo@~62&jaH!VFGgmRyWQt}pQo$9!^gDKk*W ztZrcjQsyv2nMVxW=l*Pk-s3d6=o}FsJ+hL_kp;c}3U93#6tqah~80%Jf2*V-r*hF&3WJHRh5y8|>0d+)x z(TF@ZqSv@wUSBLCO8~5Vw0!Y=^E@qGoL@P6b(vpd@@liTqQ_F+ZLTN4cu(q(o)kqr z!PK4rwI{%+r)q55CU$O{m}n6Gpo(n+cH0JwZBrC&8%z}j%(`vUG+8r{ySCITn*x-N zF%DUG5D-bnB0IIR*ncE(gB4 zz-ZpJ*t0k_5CM#fs6#{)MIta&1W-i)BazXVho*?)FC2@A`zG>0;nQ@k;s@6 zd4tRMhzRj{HMB1@MU^+hecNVG$M>;Z3U$tzL0^UraSnD14xBe2cR185TLd(TjRxE; zj2^#~p5x$NVPocZLYzo3Z$PhvHjBtXKpuY`e9O_erwo>TF&5xNiaS;o*scb62a9^D zVA&sI0ZycnAq#BB0=$DoJq55FzbL86o%*8ris(ov12E;lO}dPnv@dM*e=weSei6sQ9jZl*dP{2L8{cKE7&1~ z(lihwDyk}xNDD-D!UCm2ikeNxB6Pt9i3Pua6?^yxmvi2kx%VWld!;(>ocEbCXU6uK zy7yKw8dvI(qDTMpSuq-$p1S_Ar?TBj|9AA~p?+8Gb;Xi%^9xH0H)r3v`leo(zY$xQ zTX-X;BT7Aum;9f$m$z3|*OZ4G^!oG!t~nOHv(q#)Mo-MRMy^lKrQPJ(%G%e7yQ|H| ziQVOI8Y_uXodz68)|>a16L={XQ;ElowN#?H-DuVl6X{dxFD_oil=Ac+l#B!M#@h1j zX5;SaPDk@zDMA1A1#6*toOO(2s%xI-tpfDA(NH4!D%|?Qaa<$b+vXP%Lh7vP+$cR1M+JDlLD@9J{;yX)v z@upscyYdmO^qW~JO>Vr-TB@5fXd!CWe+&houM=b$?ROZ zHm`%@lI}3M30qOMUPx8@Tk0wXNME`QQVNi4rVTO@AmuY{kWoUCIQ_$e{X@NR|M0t( zV#bL{quzX_RxPH|Va?0pM9vb{1FV`a=PS>KCPHW+J6L`;G)YhjMLjsY|M1DLVOes$ zb3s|va=toTYqR2c!pshvTMrJxRJTRxF9pm_TV^U?es0UW95B0WnG3{Za7?8kdgChj zaxFXsS=4j@jy@kO2jIx_!Aby*wFNyrOWlDRj?$05@~C<7n0t(UJUvDM{!%Ny#|6W` zhUY`)#>aE{aGmBubea!~^>t$SP?UGdbZg(lc>gD3yw#I2-c=Z*l>AWPllpzijGfTf zi|Y@mwJHSdc08}k2BSoBt0ezDCv}5)emw8A8)zoEcUltCnM`)O0Z4A9mV|UBlL=>{OV+gcJ*e-YN%bBx5BWOf9pKM%>2A$5}W!=|S9TIo+1z$)C9?JAHi zG1rrJ1CZRHEeYvNCVSlgB)4iyLOPSlj2nRDrfo?`YwEYDS`(OcDvA%8nLu;s@x1J@ zWZdL!GDw-s1UMO#NoFP>7bWWplJVz>F9n+nQs3YsW-Uz8@?HDY=~B_^PlR zq~!9JcXEHh91|azgu_UB3nH+QjkbIL^64IGWo^b<^ ze4$tp(wR&SxdBdyuN6x|%AF636XJWta*&cM3XZQ9%Rx%6BsjiZEC(sM5yA2OVmV03 zjS9~6I`j=l$&Crl^g(ctk{cJC>5%)rt#LsBp}gMLlW(0*Rfh*)}xa$goSv>!*7*F_xq z*qVrV^m9e|3V2=M(8m@<#Nm7Z8o1^IFtXhNM38+}WQX>1VoQjKEnN{bwBLm+b0Q9X XEDIvGM&(7~T}tuq$cXNx-TI>*PeHJ!`?}If3J(Z&T(;^w9Z2d3jiy}Pd_Yz#W;*Wun2uu|M5}9 ztVtrVPfkj<^GViN#Qhl0T%XjWD@O}iYsq=w^5?NvcR$Bb-Ia@2K6M{9ELf^0p$;JM zhf<_~6Gy>F#^+(OA?Lm;XFhHlNO!4HsQeP}_w|<>D1or>2{DQkvWM^b9VH3DdxW4N z7%3@a(CxMvnHYqsuF8a1$<;*7smvAyyl>)=I%|Ge6KEyE#y6%M>AlWeyrHHj@G=ij zIc^F(%-u{yl<`>cSxWV1q}W}T(gBsvUXi7Ag4PnkF;dTnqy{3Xe1_)R!O_9q0rrnN z!xtw5y&e#v0@xsWMbjVTF6B~NX15!3E&y~VKooG7I8~-=dG={V7eoQ>N~8FQh?|CZ zt96e=^f@U^gp^Z<@2?PIIwa4eRHJwtM6LOB8l|L~=D-~d#85T8*VMDQT)+92%bgV57iaRf`$JTEdMv@?kAfZ&7ErT7l8i~DLB%7oZ5r=kQk hSj`0aH{H7Jzf5{+zgkaM%}9SUNl@>&fK7Ve{{S7K+fD!g literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instruction_memory.sdb b/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/instruction_memory.sdb new file mode 100644 index 0000000000000000000000000000000000000000..a92ea1b968aea115f866dc01b3f4bb17138b73dc GIT binary patch literal 1136 zcmZ`(O=}Zj5T18GOg34oO?wbj64ZluP|<^kmkBkHtv0dfNiV_GxQPWt3K36f4W!~n zD0=X?X$4P05f9cw>A{QOPmrFx>pxg$=H0h0e!Pd}d7pV^o|)NfN(*J%1BihRet%T9 zoz1~~s9@R${JHh@6VAg%!I_w;HM)&X^-?g4jas{OJ8aDbbJ%Rvy7f-jjhZzZEr4yW zlDt{#uPqOTprj%_xBo~+=IqDoV{8&g=-@@t-i=0oSB-B4&3KGaP!Bt;D30o3G{Wd+ zHI~Q6_p5O`XspIj7(|=#+t)Ug51~J)6jmvLouQ&Jn{g%|3olcY^FVZh0O@6>WRB** zqvo1;zg3DN=}{J5GbVsn4a9FoqEsGl@I`defY#|=GCEJ|sNxAK!{ckAATGfDYMPtW zNSfSduI`a1&xcDiIJYf=!~?wUQgYQWdK0E7kD*in@Rda(Hs%FuV?oAP(`>BK?snvw z(LHkIS_R+PBkB@EH^(2+{c@W@_ zBZZKkp|5VX8idNhD~6Vz!?DGOizn~gdvKpJ64{<)C%%l8R^p}v;_mQTrGFZN6*>-? pULfg&`1AA_q*tjdd{V^YMw4Ov3@-S}%6rNx6oLO!EG*G~%`aU6;79-f literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc.sdb b/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/pc.sdb new file mode 100644 index 0000000000000000000000000000000000000000..62c494b074fd2ab8d1a0932630ef98e39ab52b58 GIT binary patch literal 455 zcmZXRF-yZx5XUchv2B8i6!&I!aS>cENFh;4D1HG+q`X#l!9iNBq=Fb*92{Q~!AU5^ zK^;m57s1cqveVcPJx7AUYh5BN2Dd&U|J z3~h0v>NeemwdHK0Tdk|M&rk&z>*nM(X(cC}5R}Y;^7v0PN{yYSF?v)?DAx9QWcy;z z@kET=v4h4g7u*hbgxt48mL7*aQFq*);DN)R#N7>pE4d;p0Vwz?ElJS3VXGZ?FFI*4 zj?9Jl(!|vnq8(*FT|NN(9NoV|0f6B;VT~r)S=c6waDGVX1u`%vK^iCjK!Jc3G(9^b z{ppB&@H7;LD>Gl(PALIitJEnqX(=`RmnPi+cZg;caoo-iXysM$r_8TW48R9+CPP-z M4Uk`@Vwt}18|mqAhyVZp literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/rf_riscv.sdb b/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/rf_riscv.sdb new file mode 100644 index 0000000000000000000000000000000000000000..ad4f05d14ba40090af88b719d13bb58aebf48713 GIT binary patch literal 1569 zcmZvdOKTHR6vxkGrp-*AleQZ{Onia35!?uha)JahsiYR&3gVP7ZQU1Kr8bg^Vko+B zIY|UpLJ=3%Md`wg;AiN{y?%pu9&^*tW){Q$p7TGyd+xb;EIh5+9zYEA;IC&@+d1g1 zh88Rp0RJ5R{D!NrpL0rg8|{tudVM))VY|_BH=9u-#O2V&48W0Bn|v5`N6&kGu!KYJ zqyI{fWA@H?h$|!}^xWKx28I)_1uO9oqo5hCKaS$48Abz)p44M`ef_)_cY^j_9ECx2 z5Py7c!+mZSd;kl7Fqi_~Zoj)d+82IO0gV#NH)281?i{Y*kaqPkA=dtfIWOLA3N;FTZHoE zupGr8S>i<#pOnxw8tE5C-RM`S_{8MNJQb9dSEdCgUO!#uO9z#IJK&|5Bt9ui2co3| zRnrdCl#^{m>(?NW+<_Kw2kO-hROfuWLy1MX761qEvRTSR&X^TGqJc?Q;+@b`@RCXL zC@G!%AZ2;RKsU}KEs$qP&nMlB#zg~@<)kQJ)pbLO5WUyWjz6Dcr$wbg$gi}ifP(mxKvYI!K@dqwXi0a71tdgJx@s4dSlO|W zEtC&7EU1LUv%3f&q=>FyQ3bI=qW=JO#eyBEf8a55=03lhh6QgCojY^p%-orKuQPYG z6_kzn#_&gfe%cE1KMgLeS#!K*=$|{k|4f(6ekEUjZDHlg%Ez7M#U)x<*eGmH+MkaD z${BM|j*?sB?s#)BG!`QK_uv6Oi7n_)VtSiF!ru$u#dU~;-;Q>|sJ%6a!?-t&>+N{A z8{*G%tw#9$XxIqj@hE;dJlhP+7gD5Y7-Q)-QDj4TH0<``(biy6jCZ3j-ihcL=h7-A zgwyXE`uolg_sopRl~8wqgJ*8;e|h_>ADQv2xmqwuLN9S_kv+jfCz_JW^lp&pHZ2?_ zcH|bFPv(R``sh1ii9j34XH_vsPX)ffKUQ%-iCeC<>n0^%u2vyug}}z&r*kN zvISF7OWw}VF~>8(XTu(yPFG1e^Jtl#ubTOIYo4Q$ISP^N&GUtsk2mKzYMCP+)6$yf zs%xEmNNj~Jr`7XTY>D3G0T~#j_Y7Cr4@mdKC7-or+L-cW6pjbz^C})sM&o#ZjzQgBu9*;rst;g$(tW7tkLosTdkcn^eU5@N;)%z9AT%| zs|a0w7K{z(Mdr+buA;zv7{6w|p^K^4=6RXWQ@lRr*!&>J6VCfP7=jay}brDXda23Vtc<~^!)a@8l(6}`tw7z&&TPYbPb zRPD4|U|zuXFh>qY%k@Bp2b|DbT!1?uv#GVXwcX$8j`t+%T|O)r{3$OMIH|a}4#&Y_ zL43?30w*;`l(cHmv{KS(j}4quJ+@lp=o&O8QZ18c92%Lmo$!f_;e&SHcSY;(+IW5^y4b`}uCtVh~n!wadPXU%m9VbGu z_Vab7p3|`6t?qC)9`tP<_a0Vym<7(P&qwWO{YG?N!L{go^nsYJU;psh`uov^#m*bt z?F8ZocHw6X zkd}F`_?Up>vmpkOZYrUgb`#4)NuAUkahMzm)z!=A6YoRbC6~vCwdFSykp6&|ZA`!g SLvsG%HAeo~%h4SF6ZkJ%V*bPc literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/top.sdb b/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/top.sdb new file mode 100644 index 0000000000000000000000000000000000000000..234021ad7cdad1369f8208955dcc2560784750e8 GIT binary patch literal 3869 zcma)9OK%%h6u#FUCwAiTGmlnj8aF%&tx(?&K!Ic0NO9666j*_fDqN~GbYF=DvYVi| zDoKQ3gAjK3c3ImRTgZJ_zhjLhkr1f^PM~62`wrk#rn>7&iT%{XYSmYRIW{> zbH;pU_@X~Qo=ST^esZyC%}CzR-w*%zl`fk5Ltf$B?9%Ge)%rqXo|a~>q_;PFX-XNh zldFZ_cU#>X>l?;Gf`51Z6-~mW*LndhGYI)QbvG!mgny^A<=19{PP==1Gw_3UHz>>m z+bthI$EHgDz0O9-54xS;tp9x3Gc{?_l#H?TJ4*?X>uj{zLFcpe-e9m@^MkD#9dRz{ zQWCiQeM5ge{Na%qFsTZiVSh$aPfzSC7Hgy9Wey^9^E&_hX5b!Rck02<;BO`PLFUuF zp!=93D~{@(aX7w6WDK*Tc&pu8zZ1-?b=I~zy?Y%FGG^^MnO51NT!Djzw>H;%?c3d6 zu(ghW8;O822V~FJmlo)kZ$E#`TVd}I-=395^NIdZ_7_%HmM_iGd}DsOv3jMkOM?fx zGcFYxD}*qf8ef!RelPR>#EI(2%QMIKoY;SMQigTZT+5g+MDG(Eked4dg3+2p@SyOE zvrbB8eu$ZLZB2$}OmcOH#WC$1t;Dil5{>!GNN77=w2uhC&~)0uG(_U|MoX#q*Rv_A zvRhVXva8E=ox%$dMbAVi^yxx`sUD$xA7(_<@2i!2TP?mFIa+SWo@rYn1oFM5|N^hs-?-er)+mY@zCR?&s>)dcUejAyg(9+j;0ryFhf zVTI~BCZ0@frn}Z683b%Z0l7gaTaWx>=nQ0qtGGcNhtzZ|1shU8PD)vk0xClaP#Shp zCm?komVyl_ASb1)NCA0}0u&`DbyB2w+aMc8IF6Z|f}?R6_XY-ySRT4eK~32XKwM9% z0Ppjk7BQ*&;;BXA_7lhAj zPo5nwp*7fu0&>Grw$94{n!t(x`Iw4^wJ1^^A1z-aA7)rUl_hZv;9U6$P7opQWL(yx zL#!<)DK~GkhQ3VkFol!j)6m-yVm}@(rRx!0L_;7sLN04_36(*oJe1(%oKSRCTY*5WR~NTlcbA;sK(M97(>dG!eC;y_Aulf#1K72*~I=oW zZJ4z_)I$lZDD!2l@1`MBEBA{4CZ|?N#8vunfXQZ*kY*Ic&A`-V0JRxF?S-2uCW?&q zivT8zC?Q1@#YJFdv0^|i0vH!5xgxJ{I$j)l&jC|k@kaqSQZ|@6&Tp|R^d1jYNqHl> zTLGACObKaB5gLt&~uR~F|;?b=zVSp`wg*S zmaS(aC+j6Xj0V}{Gn4;VAkQ&1tUjD-C?Q1@#YJFh z5kM^h7#A5&JdE<$=k6|G_M^F#*(Tvb(AqtQwM!E!Sb>l#xm0cFz7h*$H$ib# zk_f>934ypai#}ATDqR4JDhoD9{D!XB!#}v3^PRcZH?*kr!uihY`_7!1JH9DjosDOV z`O)x){`zb-o_O&2`L;FHtf7A%{rMZ6H}^*q`BU=?OAA*TXPYfrn7@>|-CM73tPSE6 zGiEbW^MC4h`qx)hjRg&VZ~mu(#1~&4c(lmC=g;vwUf$8<_;0RO_j=v_tu-&_b^Bhv z?rn5(_&PLO%-!v+7IR*|=gsBzmJ;ZeOwkxie=wamGQHJK*Xw<`GDvwFwVbzJqXRA! zbSo?5Htrkx`_a9}X2irwbc}P7Qhs!5d!bMppDb|_nH$&m>vx0j2!~@2e}VsI#Ghh+ zeBkw;2&5%Y**1y5*O7`b4x~>v7S7VIKYYbeW3FE#(nVXhA51Ok<r!W=c+gmCw5Zi+w&`@Ujhs%T@mbD^wJyTnb$)4OpZL#mzI;eLCq(AfY6l+o zWvRdsnVL!Nys@_0wU!!zhe)#M!=(H%2^*sdhbAQ17HL<2{Q~@x@K@jm_IdVFmPUBL zgyUbVc98(f*T}09N!IH9P$ZMe58B)Op6_BG+ zuTTM%Kn0i^QoRnU9U&ELPysnA^$HbG0#ty)V}FT5U+f^sA6QjL;wm!Su%yHi_ul5_NkF?5gVU zm%#??k0bx2_>&vc{79lT*vJBM!&0v-1852>0^~a&7}h&zjGzARP!H43LWLwW!uRXt z=FbSi;bYUd-X>@tbIUcwhD{s#hOY$p$HA;_VFps>AcK>bWz5h+?$4I#15T4W&k+IAV=HO=u{KMW#6VtlmjP8~z)+;TV47udAE@alZ!HIQba0KL6$c+dvIwEz* zh!lk*f~lPX>WBct5tZDC-r{okC1Vj;0$}-*_JwoJbF_G2e(B7WHvgi{bKKgp9!vR| zb3Fk@ds2t=q$unOruGD=JpnSAe5|cRwrvU`;>EU!i3Z{KE7&$*w{5`KHbvpK!Bkg1F>r`(WVOXa$dR|Yq4!05cy zA@f!g&KssK8&KyBD9rq^wi{8XL%p&^K-1W0!0p0V;vc1#IJisLnEAZ`#}drz(;I=!B69AK$8QJUb2REHf@ODv z1vr-Aj+F(rs{!7^qMiy^_C#2KV~Kdc0^6|wZ(&hS4lH{yA1pwjwhJ5<$y{92kX$SB zR_f>h)1RKXJl`g~r&>E{NOtQ@Ng{r8F|;QzF})W8i$3Q0U|`7g(`?Dc!V&=vKW$^P zoO2W{Xf?1uN;6OO7xdZI0+QNeu2zmDu)ePi%?bHskY`(?vZX-o^$nO`--iC|v$FYB zPP#*-TeC89cSBuVL_^j>M4^KExyY)4eOC{I!g?3OF$R`F%g&tu0eAs3ce>t6zpnpJ zSi8-T{IZ@>89C}rXJUcyqZr5^!wZXg<&XgBTM{7ep)q4mEv-IJX_enyY$BZ*9W(NO KdW_2a|NFmyT@wia literal 0 HcmV?d00001 diff --git a/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/top_tb.sdb b/aps_.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/top_tb.sdb new file mode 100644 index 0000000000000000000000000000000000000000..772bce980b0dd289cf5799735080036314d7dd3a GIT binary patch literal 1286 zcmZWpL2DCH5T3WYO|sjhY17se6dTZ^pogL$C=*J+R81{<^CC?N+t|C{DY1d}poSg< z6@=YHuoodz53Pq1kAlCUC-3?X)|q)P&C|Za^38nTd~e>o-7Rb_x;{VzwD8ySMb|s( ztko6FWdZ*j|NMq)FbKS<KIOe|rCJlFWmN=h)sK7s zl+Xc&1tIpgd1ic`GUd1<2srkXhqFcn{`K=0^7#@qgqKFS#2@p{*F%d4#)Lb&P_&py zi=mb*Cd+%$QlK*UXw(VC6h5Zefh2h>1RoMF5KoxmrIe42Qyovq=mZ)a-lIaD;KK^a z%ei#{8?jYjS@B?vl|b7{C)i4mw$cS`#8#7*72kxh5@>gyHM-kmmesn;r;v*1Xy)}v zvoX+%56Za4zB!H2C#@6Y-NfE!6rXhjp?yLT-{cf8Nta5<#O$_I> zh9{&U6;KCfC*> b; expected_flag = 0; end + `SRA: begin expected_result = $signed(A) >>> b; expected_flag = 0; end + `OR: begin expected_result = a | b; expected_flag = 0; end + `AND: begin expected_result = a & b; expected_flag = 0; end + `BEQ: begin expected_result = 0; expected_flag = (a == b); end + `BNE: begin expected_result = 0; expected_flag = (a != b); end + `BLT: begin expected_result = 0; expected_flag = $signed(a < b); end + `BGE: begin expected_result = 0; expected_flag = $signed(a >= b); end + `BLTU: begin expected_result = 0; expected_flag = (a < b); end + `BGEU: begin expected_result = 0; expected_flag = (a >= b); end + default: expected_flag = 0; + endcase + // ïîäñ÷åò ñ ïîìîùüþ ÀËÓ è ñðàâíåíèå + begin + A = a; + B = b; + ALUOp = option; + + #10; + + if (expected_result == Result && expected_flag == Flag) + $display("PASS"); + else + $display("FAIL"); + end +endtask + +endmodule diff --git a/aps_.srcs/sim_1/new/im_tb.sv b/aps_.srcs/sim_1/new/im_tb.sv new file mode 100644 index 0000000..0b6ac1d --- /dev/null +++ b/aps_.srcs/sim_1/new/im_tb.sv @@ -0,0 +1,16 @@ +`timescale 1ns / 1ps + +module im_tb(); + +logic [31:0] inst; +logic [5:0] addr; + +instruction_memory dut(addr, inst); + +initial begin + addr = 0; + for(integer i = 0; i < 8; i++) begin + addr = addr + 1; + end +end +endmodule diff --git a/aps_.srcs/sim_1/new/rf_tb.sv b/aps_.srcs/sim_1/new/rf_tb.sv new file mode 100644 index 0000000..96c6a93 --- /dev/null +++ b/aps_.srcs/sim_1/new/rf_tb.sv @@ -0,0 +1,49 @@ +`timescale 1ns / 1ps + +module rf_tb(); + +parameter PERIOD = 10; + +logic CLK; +logic we; +logic [4:0] adr_3; +logic [31:0] wd; + +logic [4:0] RA1; +logic [31:0] RD; + +rf_riscv dut(.clk(CLK), .adr_3(adr_3), .we(we), .adr_1(RA1), .wd(wd), .rd_1(RD)); + +always begin + CLK = 1'b0; + #(PERIOD/2) CLK = 1'b1; + #(PERIOD/2); +end + +initial begin + + int data; + + for(integer i = 1; i < 32; i++) begin + + @(posedge CLK); #1; + + data = $urandom(); + + we = 1; + wd = data; + adr_3 = i; + + @(posedge CLK); #1; + we = 0; + + RA1 = i; + @(posedge CLK); + if (RD != data) + $display("FAIL adress = %b ; rd = %d; wd = %d", adr_3, RD, data); + else + $display("PASS adress = %b ; rd = %d; wd = %d", adr_3, RD, data); + end +end + +endmodule diff --git a/aps_.srcs/sim_1/new/top_tb.sv b/aps_.srcs/sim_1/new/top_tb.sv new file mode 100644 index 0000000..fe23a77 --- /dev/null +++ b/aps_.srcs/sim_1/new/top_tb.sv @@ -0,0 +1,27 @@ +`timescale 1ns / 1ps + +module top_tb(); + +parameter PERIOD = 10; + +logic CLK; +logic [15:0] sw; +logic [15:0] leds; +logic rst; + +always begin + CLK = 1'b0; + #(PERIOD/2) CLK = 1'b1; + #(PERIOD/2); +end + +cpu_top dut(.CLK100MHZ(CLK), .SW(sw), .LED(leds), .rst(rst)); + +initial begin + rst <= 1; + #10; + rst <= 0; + sw <= 16'b0000_0000_0000_0100; +end + +endmodule diff --git a/aps_.srcs/sources_1/new/alu_riscv.sv b/aps_.srcs/sources_1/new/alu_riscv.sv new file mode 100644 index 0000000..7a85261 --- /dev/null +++ b/aps_.srcs/sources_1/new/alu_riscv.sv @@ -0,0 +1,33 @@ +`timescale 1ns / 1ps +`include "defines.v" + +module alu_riscv ( + input [31:0] A, + input [31:0] B, + input [3:0] ALUOp, + output reg Flag, + output reg [31:0] Result +); + +always @* begin + case (ALUOp) + `ADD: begin Result = A + B; Flag = 0; end + `SUB: begin Result = A - B; Flag = 0; end + `SLL: begin Result = A << B; Flag = 0; end + `SLT: begin Result = $signed(A < B); Flag = 0; end + `SLTU: begin Result = A < B; Flag = 0; end + `XOR: begin Result = A ^ B; Flag = 0; end + `SRL: begin Result = A >> B; Flag = 0; end + `SRA: begin Result = $signed(A) >>> B; Flag = 0; end + `OR: begin Result = A | B; Flag = 0; end + `AND: begin Result = A & B; Flag = 0; end + `BEQ: begin Result = 0; Flag = (A == B); end + `BNE: begin Result = 0; Flag = (A != B); end + `BLT: begin Result = 0; Flag = $signed(A < B); end + `BGE: begin Result = 0; Flag = $signed(A >= B); end + `BLTU: begin Result = 0; Flag = (A < B); end + `BGEU: begin Result = 0; Flag = (A >= B); end + default: begin Result = 0; Flag = 0; end + endcase +end +endmodule \ No newline at end of file diff --git a/aps_.srcs/sources_1/new/cpu_top.sv b/aps_.srcs/sources_1/new/cpu_top.sv new file mode 100644 index 0000000..370865a --- /dev/null +++ b/aps_.srcs/sources_1/new/cpu_top.sv @@ -0,0 +1,69 @@ +`timescale 1ns / 1ps +`include "defines.v" + +module cpu_top ( + input CLK100MHZ, + input [15:0] SW, + input rst, + output [15:0] LED +); +// alu +logic ALU_flag; +logic [`WORD_LEN-1:0] ALU_res; +// pc +parameter COUNTER_WIDTH = $clog2(`INSTR_DEPTH); +logic [COUNTER_WIDTH-1:0] PC; +// switches +logic [`WORD_LEN-1:0] extended_switch; +assign extended_switch = {{(`WORD_LEN - 15) {SW[14]}}, SW[14:0]}; +// instruction memory +logic [`WORD_LEN-1:0] instruction; +instruction_memory im( + .A(PC), + .D(instruction) +); +// constant +logic [`WORD_LEN-1:0] extended_const; +assign extended_const = {{(`WORD_LEN - `CONST_LEN) {instruction[`CONST+(`CONST_LEN-1)]}},instruction[`CONST]}; +// rf +logic [`WORD_LEN-1:0] rd1; +logic [`WORD_LEN-1:0] rd2; +logic [`WORD_LEN-1:0] wd; +// wd multiplexer +always_comb begin + case (instruction[`WS]) + 2'b01: wd = extended_switch; + 2'b10: wd = extended_const; + 2'b11: wd = ALU_res; + default: wd = 0; + endcase +end +// connection +rf_riscv rf ( + .clk (CLK100MHZ), + .adr_1(instruction[`RA1]), + .adr_2(instruction[`RA2]), + .adr_3(instruction[`WA]), + .wd (wd), + .we (instruction[29] | instruction[28]), + .rd_1(rd1), + .rd_2(rd2) +); +// PC +always_ff @(posedge CLK100MHZ) begin + if (rst)PC <= 0; + else if ((instruction[`C] & ALU_flag) | instruction[`B]) PC <= PC + extended_const; + else PC <= PC + 1; +end +// ALU +alu_riscv alu ( + .A(rd1), + .B(rd2), + .ALUOp(instruction[`ALUOp]), + .Flag (ALU_flag), + .Result(ALU_res) +); + +assign LED[15:0] = rd1[15:0]; + +endmodule diff --git a/aps_.srcs/sources_1/new/defines.v b/aps_.srcs/sources_1/new/defines.v new file mode 100644 index 0000000..522c642 --- /dev/null +++ b/aps_.srcs/sources_1/new/defines.v @@ -0,0 +1,54 @@ + +`define ADD 5'b00000 +`define SUB 5'b01000 +`define SLL 5'b00001 +`define SLT 5'b00010 +`define SLTU 5'b00011 +`define XOR 5'b00100 +`define SRL 5'b00101 +`define SRA 5'b01101 +`define OR 5'b00110 +`define AND 5'b00111 +`define BEQ 5'b11000 +`define BNE 5'b11001 +`define BLT 5'b11100 +`define BGE 5'b11101 +`define BLTU 5'b11110 +`define BGEU 5'b11111 + +//`define ADD 4'b0000 +//`define SUB 4'b0001 +//`define SLL 4'b0010 +//`define SLT 4'b0011 +//`define SLTU 4'b0100 +//`define XOR 4'b0101 +//`define SRL 4'b0110 +//`define SRA 4'b0111 +//`define OR 4'b1000 +//`define AND 4'b1001 +//`define BEQ 4'b1100 +//`define BNE 4'b1010 +//`define BLT 4'b1011 +//`define BGE 4'b1101 +//`define BLTU 4'b1110 +//`define BGEU 4'b1111 + +`define WORD_LEN 32 + +`define ALU_OP_LEN 4 +`define ALU_OP_NUM 16 + +`define INSTR_WIDTH 32 +`define INSTR_DEPTH 64 + +`define CONST_LEN 8 + +`define CONST 12:5 +`define WA 4:0 +`define RA1 22:18 +`define RA2 17:13 +`define ALUOp 27:23 +`define WS 29:28 +`define C 30 +`define B 31 + diff --git a/aps_.srcs/sources_1/new/instruction_memory.sv b/aps_.srcs/sources_1/new/instruction_memory.sv new file mode 100644 index 0000000..0b70acf --- /dev/null +++ b/aps_.srcs/sources_1/new/instruction_memory.sv @@ -0,0 +1,16 @@ +`timescale 1ns / 1ps + +module instruction_memory #( + int WIDTH = 32, + int DEPTH = 64 +)( + input [$clog2(DEPTH)-1:0] A, + output [WIDTH-1:0] D +); + + logic [WIDTH-1:0]ROM[0:DEPTH-1]; + initial $readmemb("prog.txt", ROM, 0, DEPTH-1); + + assign D = ROM[A]; + +endmodule diff --git a/aps_.srcs/sources_1/new/prog.txt b/aps_.srcs/sources_1/new/prog.txt new file mode 100644 index 0000000..80ff991 --- /dev/null +++ b/aps_.srcs/sources_1/new/prog.txt @@ -0,0 +1,4 @@ +0_0_01_00000_00000_00000_00000000_00001 +0_0_10_00000_00000_00000_00000101_00010 +0_0_11_00000_00001_00010_00000000_00011 +1_0_00_00000_00011_00000_00000000_00000 diff --git a/aps_.srcs/sources_1/new/rf_riscv.sv b/aps_.srcs/sources_1/new/rf_riscv.sv new file mode 100644 index 0000000..1aa9736 --- /dev/null +++ b/aps_.srcs/sources_1/new/rf_riscv.sv @@ -0,0 +1,23 @@ +`timescale 1ns / 1ps + +module rf_riscv( + input clk, + input [4:0] adr_1, + input [4:0] adr_2, + input [4:0] adr_3, + input [31:0] wd, + input we, + output [31:0] rd_1, + output [31:0] rd_2 +); +// indexing 0-31 or 31-0? +logic [31:0] RAM [0:31]; + +assign rd_1 = (adr_1 == 0) ? 0 : RAM[adr_1]; +assign rd_2 = (adr_2 == 0) ? 0 : RAM[adr_2]; + +always_ff @(posedge clk) begin + if (we && adr_3) RAM[adr_3] <= wd; +end + +endmodule diff --git a/aps_.xpr b/aps_.xpr new file mode 100644 index 0000000..7eab4a2 --- /dev/null +++ b/aps_.xpr @@ -0,0 +1,288 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Vivado Synthesis Defaults + + + + + + + + + + + Default settings for Implementation. + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + default_dashboard + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/desktop.ini b/desktop.ini new file mode 100644 index 0000000..ab17096 --- /dev/null +++ b/desktop.ini @@ -0,0 +1,4 @@ +[ViewState] +Mode= +Vid= +FolderType=Documents diff --git a/top_tb_behav.wcfg b/top_tb_behav.wcfg new file mode 100644 index 0000000..51d78dd --- /dev/null +++ b/top_tb_behav.wcfg @@ -0,0 +1,47 @@ + + + + + + + + + + + + + + + + + + + + + + + + CLK + CLK + + + sw[15:0] + sw[15:0] + + + leds[15:0] + leds[15:0] + + + PERIOD[31:0] + PERIOD[31:0] + + + instruction[31:0] + instruction[31:0] + + + pc[4:0] + pc[4:0] + + diff --git a/vivado.jou b/vivado.jou new file mode 100644 index 0000000..8909100 --- /dev/null +++ b/vivado.jou @@ -0,0 +1,58 @@ +#----------------------------------------------------------- +# Vivado v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 20:09:12 2022 +# Process ID: 22044 +# Current directory: C:/Users/Alex/APS/aps_ +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent21664 C:\Users\Alex\APS\aps_\aps_.xpr +# Log file: C:/Users/Alex/APS/aps_/vivado.log +# Journal file: C:/Users/Alex/APS/aps_\vivado.jou +#----------------------------------------------------------- +start_gui +open_project C:/Users/Alex/APS/aps_/aps_.xpr +launch_simulation +source top_tb.tcl +close_sim +launch_simulation +source top_tb.tcl +run all +run all +close_sim +launch_simulation +source top_tb.tcl +close_sim +launch_simulation +source top_tb.tcl +run all +close_sim +launch_simulation +source top_tb.tcl +run all +close_sim +launch_simulation +source top_tb.tcl +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +close_sim +launch_simulation +source top_tb.tcl +run all +close_sim +launch_simulation +source top_tb.tcl +close_sim diff --git a/vivado.log b/vivado.log new file mode 100644 index 0000000..3556dd2 --- /dev/null +++ b/vivado.log @@ -0,0 +1,537 @@ +#----------------------------------------------------------- +# Vivado v2019.1 (64-bit) +# SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 +# IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 +# Start of session at: Thu Oct 20 20:09:12 2022 +# Process ID: 22044 +# Current directory: C:/Users/Alex/APS/aps_ +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent21664 C:\Users\Alex\APS\aps_\aps_.xpr +# Log file: C:/Users/Alex/APS/aps_/vivado.log +# Journal file: C:/Users/Alex/APS/aps_\vivado.jou +#----------------------------------------------------------- +start_gui +open_project C:/Users/Alex/APS/aps_/aps_.xpr +Scanning sources... +Finished scanning sources +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2019.1/data/ip'. +open_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:05 . Memory (MB): peak = 730.895 ; gain = 103.430 +launch_simulation +INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... +INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... +INFO: [USF-XSim-101] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xvlog --incr --relax -prj top_tb_vlog.prj" +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu_riscv +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/rf_riscv.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module rf_riscv +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module instruction_memory +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module cpu_top +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/alu_riscv_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu_riscv_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/rf_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module rf_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/top_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module top_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/im_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module im_tb +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +Vivado Simulator 2019.1 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/xelab.exe -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 4 for port 'ALUOp' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:62] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling module xil_defaultlib.instruction_memory +Compiling module xil_defaultlib.rf_riscv +Compiling module xil_defaultlib.alu_riscv +Compiling module xil_defaultlib.cpu_top +Compiling module xil_defaultlib.top_tb +Compiling module xil_defaultlib.glbl +Built simulation snapshot top_tb_behav + +****** Webtalk v2019.1 (64-bit) + **** SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 + **** IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 + ** Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. + +source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Thu Oct 20 20:10:22 2022... +run_program: Time (s): cpu = 00:00:01 ; elapsed = 00:00:05 . Memory (MB): peak = 757.840 ; gain = 0.000 +INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Vivado Simulator 2019.1 +Time resolution is 1 ps +source top_tb.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 772.727 ; gain = 14.887 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/top_tb.sv:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:] +ERROR: [Common 17-180] Spawn failed: No error +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... +INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... +INFO: [USF-XSim-101] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xvlog --incr --relax -prj top_tb_vlog.prj" +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/im_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module im_tb +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +Vivado Simulator 2019.1 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/xelab.exe -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 4 for port 'ALUOp' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:62] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Vivado Simulator 2019.1 +Time resolution is 1 ps +source top_tb.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 831.754 ; gain = 0.000 +run all +run: Time (s): cpu = 00:00:47 ; elapsed = 00:00:37 . Memory (MB): peak = 831.754 ; gain = 0.000 +run all +run: Time (s): cpu = 00:00:23 ; elapsed = 00:00:19 . Memory (MB): peak = 832.652 ; gain = 0.000 +close_sim +INFO: [Simtcl 6-16] Simulation closed +close_sim: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 833.211 ; gain = 0.000 +launch_simulation +INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... +INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... +INFO: [USF-XSim-101] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xvlog --incr --relax -prj top_tb_vlog.prj" +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu_riscv +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/rf_riscv.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module rf_riscv +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module instruction_memory +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module cpu_top +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/alu_riscv_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu_riscv_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/rf_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module rf_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/top_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module top_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/im_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module im_tb +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +Vivado Simulator 2019.1 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/xelab.exe -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 4 for port 'ALUOp' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:62] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling module xil_defaultlib.instruction_memory +Compiling module xil_defaultlib.rf_riscv +Compiling module xil_defaultlib.alu_riscv +Compiling module xil_defaultlib.cpu_top +Compiling module xil_defaultlib.top_tb +Compiling module xil_defaultlib.glbl +Built simulation snapshot top_tb_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Vivado Simulator 2019.1 +Time resolution is 1 ps +source top_tb.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 833.211 ; gain = 0.000 +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... +INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... +INFO: [USF-XSim-101] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xvlog --incr --relax -prj top_tb_vlog.prj" +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu_riscv +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/rf_riscv.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module rf_riscv +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module instruction_memory +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module cpu_top +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/alu_riscv_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu_riscv_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/rf_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module rf_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/top_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module top_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/im_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module im_tb +INFO: [USF-XSim-69] 'compile' step finished in '1' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +Vivado Simulator 2019.1 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/xelab.exe -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 4 for port 'ALUOp' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:62] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling module xil_defaultlib.instruction_memory +Compiling module xil_defaultlib.rf_riscv +Compiling module xil_defaultlib.alu_riscv +Compiling module xil_defaultlib.cpu_top +Compiling module xil_defaultlib.top_tb +Compiling module xil_defaultlib.glbl +Built simulation snapshot top_tb_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Vivado Simulator 2019.1 +Time resolution is 1 ps +source top_tb.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 835.363 ; gain = 0.000 +run all +run: Time (s): cpu = 00:00:18 ; elapsed = 00:00:15 . Memory (MB): peak = 835.363 ; gain = 0.000 +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... +INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... +INFO: [USF-XSim-101] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xvlog --incr --relax -prj top_tb_vlog.prj" +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/alu_riscv.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu_riscv +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/rf_riscv.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module rf_riscv +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/instruction_memory.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module instruction_memory +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module cpu_top +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/alu_riscv_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu_riscv_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/rf_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module rf_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/top_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module top_tb +INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/im_tb.sv" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module im_tb +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +Vivado Simulator 2019.1 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/xelab.exe -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 4 for port 'ALUOp' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:62] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling module xil_defaultlib.instruction_memory +Compiling module xil_defaultlib.rf_riscv +Compiling module xil_defaultlib.alu_riscv +Compiling module xil_defaultlib.cpu_top +Compiling module xil_defaultlib.top_tb +Compiling module xil_defaultlib.glbl +Built simulation snapshot top_tb_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Vivado Simulator 2019.1 +Time resolution is 1 ps +source top_tb.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 835.363 ; gain = 0.000 +run all +run: Time (s): cpu = 00:00:14 ; elapsed = 00:00:12 . Memory (MB): peak = 835.363 ; gain = 0.000 +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... +INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... +INFO: [USF-XSim-101] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xvlog --incr --relax -prj top_tb_vlog.prj" +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +Vivado Simulator 2019.1 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/xelab.exe -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 4 for port 'ALUOp' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:62] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Vivado Simulator 2019.1 +Time resolution is 1 ps +source top_tb.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 835.363 ; gain = 0.000 +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +run 10 us +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... +INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... +INFO: [USF-XSim-101] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xvlog --incr --relax -prj top_tb_vlog.prj" +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +Vivado Simulator 2019.1 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/xelab.exe -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 4 for port 'ALUOp' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:62] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Vivado Simulator 2019.1 +Time resolution is 1 ps +source top_tb.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 839.559 ; gain = 0.000 +run all +run: Time (s): cpu = 00:00:14 ; elapsed = 00:00:12 . Memory (MB): peak = 839.559 ; gain = 0.000 +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... +INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... +INFO: [USF-XSim-101] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xvlog --incr --relax -prj top_tb_vlog.prj" +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +"xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +Vivado Simulator 2019.1 +Copyright 1986-1999, 2001-2019 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2019.1/bin/unwrapped/win64.o/xelab.exe -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +WARNING: [VRFC 10-3091] actual bit length 5 differs from formal bit length 4 for port 'ALUOp' [C:/Users/Alex/APS/aps_/aps_.srcs/sources_1/new/cpu_top.sv:62] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Vivado Simulator 2019.1 +Time resolution is 1 ps +source top_tb.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 839.559 ; gain = 0.000 +close_sim +INFO: [Simtcl 6-16] Simulation closed +close_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:10 . Memory (MB): peak = 839.988 ; gain = 0.430 diff --git a/vivado_pid22044.str b/vivado_pid22044.str new file mode 100644 index 0000000..74c6120 --- /dev/null +++ b/vivado_pid22044.str @@ -0,0 +1,2583 @@ +/* + +Xilinx Vivado v2019.1 (64-bit) [Major: 2019, Minor: 1] +SW Build: 2552052 on Fri May 24 14:49:42 MDT 2019 +IP Build: 2548770 on Fri May 24 18:01:18 MDT 2019 + +Process ID (PID): 22044 +License: Customer + +Current time: Thu Oct 20 20:09:28 MSK 2022 +Time zone: Moscow Standard Time (Europe/Moscow) + +OS: Windows 10 +OS Version: 10.0 +OS Architecture: amd64 +Available processors (cores): 12 + +Screen size: 1920x1080 +Screen resolution (DPI): 100 +Available screens: 1 +Available disk space: 56 GB +Default font: family=Dialog,name=Dialog,style=plain,size=12 + +Java version: 9.0.4 64-bit +Java home: C:/Xilinx/Vivado/2019.1/tps/win64/jre9.0.4 +Java executable location: C:/Xilinx/Vivado/2019.1/tps/win64/jre9.0.4/bin/java.exe +Java initial memory (-Xms): 128 MB +Java maximum memory (-Xmx): 3 GB + + +User name: Alex +User home directory: C:/Users/Alex +User working directory: C:/Users/Alex/APS/aps_ +User country: RU +User language: ru +User locale: ru_RU + +RDI_BASEROOT: C:/Xilinx/Vivado +HDI_APPROOT: C:/Xilinx/Vivado/2019.1 +RDI_DATADIR: C:/Xilinx/Vivado/2019.1/data +RDI_BINDIR: C:/Xilinx/Vivado/2019.1/bin + +Vivado preferences file location: C:/Users/Alex/AppData/Roaming/Xilinx/Vivado/2019.1/vivado.xml +Vivado preferences directory: C:/Users/Alex/AppData/Roaming/Xilinx/Vivado/2019.1/ +Vivado layouts directory: C:/Users/Alex/AppData/Roaming/Xilinx/Vivado/2019.1/layouts +PlanAhead jar file location: C:/Xilinx/Vivado/2019.1/lib/classes/planAhead.jar +Vivado log file location: C:/Users/Alex/APS/aps_/vivado.log +Vivado journal file location: C:/Users/Alex/APS/aps_/vivado.jou +Engine tmp dir: C:/Users/Alex/APS/aps_/.Xil/Vivado-22044-DESKTOP-G9CJ5KV + +Xilinx Environment Variables +---------------------------- +XILINX: C:/Xilinx/Vivado/2019.1/ids_lite/ISE +XILINX_DSP: C:/Xilinx/Vivado/2019.1/ids_lite/ISE +XILINX_PLANAHEAD: C:/Xilinx/Vivado/2019.1 +XILINX_SDK: C:/Xilinx/SDK/2019.1 +XILINX_VIVADO: C:/Xilinx/Vivado/2019.1 +XILINX_VIVADO_HLS: C:/Xilinx/Vivado/2019.1 + + +GUI allocated memory: 195 MB +GUI max memory: 3,072 MB +Engine allocated memory: 699 MB + +Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. + +*/ + +// TclEventType: START_GUI +// Tcl Message: start_gui +// TclEventType: PROJECT_OPEN_DIALOG +// by (cl): Open Project : addNotify +// Opening Vivado Project: C:\Users\Alex\APS\aps_\aps_.xpr. Version: Vivado v2019.1 +// TclEventType: DEBUG_PROBE_SET_CHANGE +// Tcl Message: open_project C:/Users/Alex/APS/aps_/aps_.xpr +// TclEventType: MSGMGR_MOVEMSG +// TclEventType: FILE_SET_NEW +// TclEventType: RUN_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_CURRENT +// TclEventType: PROJECT_DASHBOARD_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_NEW +// Tcl Message: open_project C:/Users/Alex/APS/aps_/aps_.xpr +// Tcl Message: Scanning sources... Finished scanning sources +// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified +// TclEventType: PROJECT_NEW +// [GUI Memory]: 94 MB (+96394kb) [00:00:09] +// [Engine Memory]: 639 MB (+518982kb) [00:00:09] +// [GUI Memory]: 110 MB (+11281kb) [00:00:09] +// [Engine Memory]: 684 MB (+13448kb) [00:00:11] +// WARNING: HEventQueue.dispatchEvent() is taking 3072 ms. +// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2019.1/data/ip'. +// HMemoryUtils.trashcanNow. Engine heap size: 699 MB. GUI used memory: 52 MB. Current time: 10/20/22, 8:09:29 PM MSK +// Tcl Message: open_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:05 . Memory (MB): peak = 730.895 ; gain = 103.430 +// Project name: aps_; location: C:/Users/Alex/APS/aps_; part: xc7a100tcsg324-1 +dismissDialog("Open Project"); // by (cl) +// PAPropertyPanels.initPanels (cpu_top.sv) elapsed time: 0.2s +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, top_new (cpu_top.sv)]", 2, true); // B (F, cl) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, top_new (cpu_top.sv)]", 2, true, false, false, false, false, true); // B (F, cl) - Double Click - Node +selectCodeEditor("cpu_top.sv", 99, 61); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 705, 252); // cl (w, cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 8, true); // B (F, cl) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 8, true, false, false, false, false, true); // B (F, cl) - Double Click - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 9, true); // B (F, cl) - Node +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 6); // B (F, cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv)]", 8, true); // B (F, cl) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv)]", 8, true, false, false, false, false, true); // B (F, cl) - Double Click - Node +selectCodeEditor("top_tb.sv", 90, 47); // cl (w, cl) +// HMemoryUtils.trashcanNow. Engine heap size: 729 MB. GUI used memory: 55 MB. Current time: 10/20/22, 8:09:57 PM MSK +selectCodeEditor("top_tb.sv", 215, 59); // cl (w, cl) +selectCodeEditor("top_tb.sv", 2, 299); // cl (w, cl) +// [Engine Memory]: 729 MB (+11315kb) [00:00:44] +selectCodeEditor("top_tb.sv", 562, 414); // cl (w, cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (O, cl) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (O, cl) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ah (an, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// e (cl): Run Simulation : addNotify +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... INFO: [USF-XSim-101] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design +// Tcl Message: INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xvlog --incr --relax -prj top_tb_vlog.prj" +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +// TclEventType: LAUNCH_SIM +// TclEventType: LOAD_FEATURE +// Tcl Message: ****** Webtalk v2019.1 (64-bit) **** SW Build 2552052 on Fri May 24 14:49:42 MDT 2019 **** IP Build 2548770 on Fri May 24 18:01:18 MDT 2019 ** Copyright 1986-2019 Xilinx, Inc. All Rights Reserved. source C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/xsim.dir/top_tb_behav/webtalk/xsim_webtalk.tcl -notrace INFO: [Common 17-206] Exiting Webtalk at Thu Oct 20 20:10:22 2022... +// Tcl Message: run_program: Time (s): cpu = 00:00:01 ; elapsed = 00:00:05 . Memory (MB): peak = 757.840 ; gain = 0.000 +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// Tcl Message: Vivado Simulator 2019.1 +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// WARNING: HEventQueue.dispatchEvent() is taking 1236 ms. +// Tcl Message: Time resolution is 1 ps +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Elapsed time: 10 seconds +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// Waveform: addNotify +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: source top_tb.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:26 PM MSK +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:12 ; elapsed = 00:00:12 . Memory (MB): peak = 772.727 ; gain = 14.887 +// 'd' command handler elapsed time: 11 seconds +dismissDialog("Run Simulation"); // e (cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 110 MB. Current time: 10/20/22, 8:10:31 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:31 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:31 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:31 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:32 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:35 PM MSK +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:35 PM MSK +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:36 PM MSK +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:37 PM MSK +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 78 MB. Current time: 10/20/22, 8:10:41 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:42 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:42 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 68 MB. Current time: 10/20/22, 8:10:42 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:42 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:43 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:43 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:43 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 68 MB. Current time: 10/20/22, 8:10:43 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:44 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:44 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 68 MB. Current time: 10/20/22, 8:10:44 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:44 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:44 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:45 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:45 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:45 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:45 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:46 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 69 MB. Current time: 10/20/22, 8:10:46 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 738 MB. GUI used memory: 63 MB. Current time: 10/20/22, 8:10:46 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 14 seconds +selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // Z (q, cl) +selectMenu(RDIResourceCommand.RDICommands_CUSTOM_COMMANDS, "Custom Commands"); // ae (cl) +selectMenuItem(RDIResourceCommand.RDICommands_SETTINGS, "Settings..."); // ah (cl) +dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // Z (q, cl) +// Run Command: RDIResourceCommand.RDICommands_SETTINGS +// Tcl Command: 'rdi::info_commands {device::*}' +// Tcl Command: 'rdi::info_commands {debug::*}' +// Tcl Command: 'rdi::info_commands {*}' +// D (cl): Settings: addNotify +// WARNING: HEventQueue.dispatchEvent() is taking 1932 ms. +expandTree(PAResourceQtoS.SettingsDialog_OPTIONS_TREE, "[optionsRoot, Text Editor]", 7); // L (E, D) +selectTree(PAResourceQtoS.SettingsDialog_OPTIONS_TREE, "[optionsRoot, Text Editor, Fonts and Colors]", 11, false); // L (E, D) +selectButton(RDIResource.BaseDialog_APPLY, "Apply"); // a (D) +// [Engine Memory]: 784 MB (+18707kb) [00:01:56] +// [GUI Memory]: 132 MB (+17085kb) [00:01:56] +selectButton(RDIResource.BaseDialog_OK, "OK"); // a (D) +dismissDialog("Settings"); // D (cl) +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - top_tb", "DesignTask.SIMULATION"); +// A (cl): Confirm Close: addNotify +selectCheckBox(RDIResource.MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN, "Don't show this dialog again", true); // g (Q, A): TRUE +selectButton(RDIResource.BaseDialog_OK, "OK"); // a (A) +// [GUI Memory]: 148 MB (+10358kb) [00:02:03] +// by (cl): Close : addNotify +// TclEventType: WAVEFORM_CLOSE_WCFG +dismissDialog("Confirm Close"); // A (cl) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // by (cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files]", 13, true); // B (F, cl) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files]", 13, true, false, false, false, false, true); // B (F, cl) - Double Click - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files, prog.txt]", 14, false); // B (F, cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files, prog.txt]", 14, false, false, false, false, false, true); // B (F, cl) - Double Click +// ad (cl): Unable to Open File: addNotify +selectButton(PAResourceOtoP.OpenFileAction_OPEN_DIRECTORY, "Open Directory"); // a (ad) +dismissDialog("Unable to Open File"); // ad (cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed time: 13 seconds +selectCodeEditor("top_tb.sv", 539, 125); // cl (w, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "top_tb.sv", 2); // k (j, cl) +selectTab((HResource) null, "PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "top_tb.sv", 2, false, true); // k (j, cl) - Double Click +maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // O (aH, cl) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (O, cl) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ah (an, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// e (cl): Run Simulation : addNotify +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... INFO: [USF-XSim-101] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xvlog --incr --relax -prj top_tb_vlog.prj" +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-2263] Analyzing SystemVerilog file "C:/Users/Alex/APS/aps_/aps_.srcs/sim_1/new/im_tb.sv" into library xil_defaultlib INFO: [VRFC 10-311] analyzing module im_tb +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// Tcl Message: Vivado Simulator 2019.1 +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// Tcl Message: Time resolution is 1 ps +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// Waveform: addNotify +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 793 MB. GUI used memory: 85 MB. Current time: 10/20/22, 8:11:52 PM MSK +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: source top_tb.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 831.754 ; gain = 0.000 +// 'd' command handler elapsed time: 7 seconds +dismissDialog("Run Simulation"); // e (cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 794 MB. GUI used memory: 85 MB. Current time: 10/20/22, 8:11:58 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 794 MB. GUI used memory: 85 MB. Current time: 10/20/22, 8:11:59 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 794 MB. GUI used memory: 85 MB. Current time: 10/20/22, 8:12:00 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// Elapsed time: 27 seconds +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, "simulation_live_run_all"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// Tcl Message: run all +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectButton(RDIResource.WaveformView_GOTO_LAST_TIME, "Waveform Viewer_RunAll"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 812 MB. GUI used memory: 84 MB. Current time: 10/20/22, 8:12:27 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 812 MB. GUI used memory: 84 MB. Current time: 10/20/22, 8:12:30 PM MSK +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "dut ; cpu_top ; Verilog Module", 1, "dut", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 814 MB. GUI used memory: 85 MB. Current time: 10/20/22, 8:12:34 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 814 MB. GUI used memory: 85 MB. Current time: 10/20/22, 8:12:38 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 814 MB. GUI used memory: 85 MB. Current time: 10/20/22, 8:12:40 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 814 MB. GUI used memory: 85 MB. Current time: 10/20/22, 8:12:43 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 814 MB. GUI used memory: 85 MB. Current time: 10/20/22, 8:12:47 PM MSK +// Elapsed time: 16 seconds +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true, false, false, false, false, true); // c (c, cl) - Double Click - Node +// TclEventType: SIMULATION_OPEN_SOURCE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "02", 1, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true, false, false, false, false, true); // c (c, cl) - Double Click - Node +// TclEventType: SIMULATION_OPEN_SOURCE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, "simulation_live_break"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_STOPPED +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run: Time (s): cpu = 00:00:47 ; elapsed = 00:00:37 . Memory (MB): peak = 831.754 ; gain = 0.000 +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 2", 2); // k (j, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, PERIOD[31:0]]", 4, true, false, false, false, true, false); // a (r, cl) - Popup Trigger - Node +selectMenuItem((HResource) null, "New Virtual Bus"); // ah (an, Popup.HeavyWeightWindow) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_RENAME_COMMAND +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, New Virtual Bus]", 5); // a (r, cl) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, New Virtual Bus]", 5); // a (r, cl) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, New Virtual Bus]", 5, true, false, false, false, true, false); // a (r, cl) - Popup Trigger - Node +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 23, 394); // n (o, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 820 MB. GUI used memory: 87 MB. Current time: 10/20/22, 8:13:17 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, New Virtual Bus]", 5, true); // a (r, cl) - Node +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "we ; 1 ; Logic", 5, "1", 1, false); // c (c, cl) +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "dut ; cpu_top ; Verilog Module", 1, "dut", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 02 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// [Engine Memory]: 823 MB (+548kb) [00:04:17] +// TclEventType: WAVEFORM_MODEL_EVENT +// by (cl): Add to Waveform : addNotify +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +dismissDialog("Add to Waveform"); // by (cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, "simulation_live_run_all"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// Tcl Message: run all +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectButton(RDIResource.WaveformView_GOTO_LAST_TIME, "Waveform Viewer_RunAll"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 826 MB. GUI used memory: 87 MB. Current time: 10/20/22, 8:13:47 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 826 MB. GUI used memory: 87 MB. Current time: 10/20/22, 8:13:51 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 826 MB. GUI used memory: 87 MB. Current time: 10/20/22, 8:13:54 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 826 MB. GUI used memory: 87 MB. Current time: 10/20/22, 8:13:58 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 13 seconds +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, "simulation_live_break"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_STOPPED +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run: Time (s): cpu = 00:00:23 ; elapsed = 00:00:19 . Memory (MB): peak = 832.652 ; gain = 0.000 +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - top_tb", "DesignTask.SIMULATION"); +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +// by (cl): Close : addNotify +selectButton("OptionPane.button", "Discard"); // JButton (A, G) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// WARNING: HEventQueue.dispatchEvent() is taking 1096 ms. +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +// Tcl Message: close_sim: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 833.211 ; gain = 0.000 +dismissDialog("Close"); // by (cl) +// Elapsed time: 34 seconds +selectCodeEditor("top_tb.sv", 477, 462); // cl (w, cl) +// Elapsed time: 15 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "cpu_top.sv", 1); // k (j, cl) +// Elapsed time: 82 seconds +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // az (aK) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, alu_riscv_tb (alu_riscv_tb.sv)]", 11, true); // B (F, cl) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, alu_riscv_tb (alu_riscv_tb.sv)]", 11, true, false, false, false, false, true); // B (F, cl) - Double Click - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv), dut : cpu_top (cpu_top.sv)]", 10, true); // B (F, cl) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv), dut : cpu_top (cpu_top.sv)]", 10, true, false, false, false, false, true); // B (F, cl) - Double Click - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv), dut : cpu_top (cpu_top.sv), alu : alu_riscv (alu_riscv.sv)]", 13, false); // B (F, cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv), dut : cpu_top (cpu_top.sv), alu : alu_riscv (alu_riscv.sv)]", 13, false, false, false, false, false, true); // B (F, cl) - Double Click +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // B +// Elapsed time: 10 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "rf_riscv.sv", 3); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "top_tb.sv", 2); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "cpu_top.sv", 1); // k (j, cl) +// Elapsed time: 16 seconds +selectCodeEditor("cpu_top.sv", 519, 453); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 678, 539); // cl (w, cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +selectCodeEditor("cpu_top.sv", 678, 539); // cl (w, cl) +// Elapsed time: 50 seconds +selectCodeEditor("cpu_top.sv", 640, 614); // cl (w, cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed time: 27 seconds +selectCodeEditor("cpu_top.sv", 728, 220); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 766, 388); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 457, 262); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 457, 262); // cl (w, cl) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (O, cl) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ah (an, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// e (cl): Run Simulation : addNotify +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... INFO: [USF-XSim-101] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xvlog --incr --relax -prj top_tb_vlog.prj" +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// Tcl Message: Vivado Simulator 2019.1 +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v +// Tcl Message: Time resolution is 1 ps +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// Waveform: addNotify +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 91 MB. Current time: 10/20/22, 8:18:49 PM MSK +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// Tcl Message: source top_tb.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 833.211 ; gain = 0.000 +// 'd' command handler elapsed time: 7 seconds +dismissDialog("Run Simulation"); // e (cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed time: 30 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 401, 223); // n (o, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 316, 255); // n (o, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 91 MB. Current time: 10/20/22, 8:19:21 PM MSK +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, leds[15:0]]", 2); // a (r, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, leds[15:0]]", 2); // a (r, cl) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 583, 323); // n (o, cl) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 91 MB. Current time: 10/20/22, 8:19:27 PM MSK +// Run Command: RDIResourceCommand.RDICommands_WAVEFORM_SAVE_CONFIGURATION +dismissFileChooser(); +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - top_tb", "DesignTask.SIMULATION"); +// by (cl): Close : addNotify +// TclEventType: WAVEFORM_CLOSE_WCFG +// TclEventType: SIMULATION_CLOSE_SIMULATION +// WARNING: HEventQueue.dispatchEvent() is taking 1101 ms. +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // by (cl) +selectCodeEditor("cpu_top.sv", 608, 602); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 763, 370); // cl (w, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "top_tb.sv", 2); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "rf_riscv.sv", 3); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "alu_riscv.sv", 4); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "rf_riscv.sv", 3); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "cpu_top.sv", 1); // k (j, cl) +// Elapsed time: 53 seconds +selectCodeEditor("cpu_top.sv", 230, 432); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 230, 432, false, false, false, false, true); // cl (w, cl) - Double Click +selectCodeEditor("cpu_top.sv", 498, 430); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 498, 430, false, false, false, false, true); // cl (w, cl) - Double Click +selectCodeEditor("cpu_top.sv", 613, 511); // cl (w, cl) +// Elapsed time: 11 seconds +selectCodeEditor("cpu_top.sv", 649, 366); // cl (w, cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files, prog.txt]", 22, false); // B (F, cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files, prog.txt]", 22, false, false, false, false, false, true); // B (F, cl) - Double Click +// ad (cl): Unable to Open File: addNotify +selectButton(PAResourceOtoP.OpenFileAction_OPEN_DIRECTORY, "Open Directory"); // a (ad) +dismissDialog("Unable to Open File"); // ad (cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed time: 16 seconds +selectCodeEditor("cpu_top.sv", 484, 164); // cl (w, cl) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (O, cl) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ah (an, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// al (cl): Save Project: addNotify +selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a (al) +// by (cl): Save Constraints : addNotify +// TclEventType: DG_GRAPH_STALE +// e (cl): Run Simulation : addNotify +dismissDialog("Save Project"); // al (cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// TclEventType: LAUNCH_SIM +// Tcl Message: launch_simulation +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... INFO: [USF-XSim-101] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xvlog --incr --relax -prj top_tb_vlog.prj" +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '1' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// Tcl Message: Vivado Simulator 2019.1 +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v +// Tcl Message: Time resolution is 1 ps +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// Waveform: addNotify +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: source top_tb.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 95 MB. Current time: 10/20/22, 8:21:44 PM MSK +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 835.363 ; gain = 0.000 +// 'd' command handler elapsed time: 10 seconds +dismissDialog("Run Simulation"); // e (cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, "simulation_live_run_all"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// Tcl Message: run all +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 95 MB. Current time: 10/20/22, 8:21:56 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 95 MB. Current time: 10/20/22, 8:22:01 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 95 MB. Current time: 10/20/22, 8:22:05 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 14 seconds +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, "simulation_live_break"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 96 MB. Current time: 10/20/22, 8:22:08 PM MSK +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_STOPPED +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run: Time (s): cpu = 00:00:18 ; elapsed = 00:00:15 . Memory (MB): peak = 835.363 ; gain = 0.000 +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4", 4); // k (j, cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 95 MB. Current time: 10/20/22, 8:22:15 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 95 MB. Current time: 10/20/22, 8:22:15 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 95 MB. Current time: 10/20/22, 8:22:16 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 95 MB. Current time: 10/20/22, 8:22:19 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 95 MB. Current time: 10/20/22, 8:22:25 PM MSK +// Elapsed time: 15 seconds +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - top_tb", "DesignTask.SIMULATION"); +// by (cl): Close : addNotify +// TclEventType: WAVEFORM_CLOSE_WCFG +// TclEventType: SIMULATION_CLOSE_SIMULATION +// WARNING: HEventQueue.dispatchEvent() is taking 1094 ms. +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // by (cl) +selectCodeEditor("cpu_top.sv", 796, 627); // cl (w, cl) +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Project Manager", "Project Manager", "DesignTask.PROJECT_MANAGER"); +// Run Command: PAResourceCommand.PACommandNames_CLOSE_PROJECT +// A (cl): Close Project: addNotify +selectCheckBox(RDIResource.MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN, "Don't show this dialog again", true); // g (Q, A): TRUE +selectButton(RDIResource.BaseDialog_CANCEL, "Cancel"); // a (A) +// 'b' command handler elapsed time: 4 seconds +dismissDialog("Close Project"); // A (cl) +selectCodeEditor("cpu_top.sv", 637, 107); // cl (w, cl) +// Elapsed time: 16 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Verilog Header]", 1, true); // B (F, cl) - Node +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Verilog Header]", 1); // B (F, cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Verilog Header, defines.v]", 2, false); // B (F, cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Verilog Header, defines.v]", 2, false, false, false, false, false, true); // B (F, cl) - Double Click +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "alu_riscv.sv", 4); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "rf_riscv.sv", 3); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "top_tb.sv", 2); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "cpu_top.sv", 1); // k (j, cl) +selectCodeEditor("cpu_top.sv", 230, 430); // cl (w, cl) +// Elapsed time: 15 seconds +selectCodeEditor("cpu_top.sv", 633, 509); // cl (w, cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed time: 36 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "defines.v", 5); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "rf_riscv.sv", 3); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "top_tb.sv", 2); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "cpu_top.sv", 1); // k (j, cl) +selectCodeEditor("cpu_top.sv", 224, 312); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 642, 461); // cl (w, cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +selectCodeEditor("cpu_top.sv", 638, 442); // cl (w, cl) +// Elapsed time: 10 seconds +selectCodeEditor("cpu_top.sv", 42, 292); // cl (w, cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +selectCodeEditor("cpu_top.sv", 644, 271); // cl (w, cl) +// Elapsed time: 16 seconds +selectCodeEditor("cpu_top.sv", 257, 229); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 257, 229, false, false, false, false, true); // cl (w, cl) - Double Click +selectCodeEditor("cpu_top.sv", 215, 233); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 215, 233, false, false, false, false, true); // cl (w, cl) - Double Click +typeControlKey((HResource) null, "cpu_top.sv", 'c'); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 158, 638); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 158, 638, false, false, false, false, true); // cl (w, cl) - Double Click +typeControlKey((HResource) null, "cpu_top.sv", 'v'); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 129, 551); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 129, 551, false, false, false, false, true); // cl (w, cl) - Double Click +typeControlKey((HResource) null, "cpu_top.sv", 'v'); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 413, 547); // cl (w, cl) +selectCodeEditor("cpu_top.sv", 494, 623); // cl (w, cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +selectCodeEditor("cpu_top.sv", 528, 631); // cl (w, cl) +// Elapsed time: 50 seconds +selectCodeEditor("cpu_top.sv", 514, 519); // cl (w, cl) +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // B +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // B +selectTab((HResource) null, "PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "alu_riscv.sv", 2, false, true); // k (j, cl) - Double Click +unmaximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // O (aH, cl) +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // B +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // B +selectCodeEditor("cpu_top.sv", 624, 353); // cl (w, cl) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (O, cl) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ah (an, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// e (cl): Run Simulation : addNotify +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... INFO: [USF-XSim-101] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xvlog --incr --relax -prj top_tb_vlog.prj" +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// Tcl Message: Vivado Simulator 2019.1 +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// Waveform: addNotify +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 827 MB. GUI used memory: 98 MB. Current time: 10/20/22, 8:26:22 PM MSK +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// Tcl Message: source top_tb.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 835.363 ; gain = 0.000 +// 'd' command handler elapsed time: 7 seconds +dismissDialog("Run Simulation"); // e (cl) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, "simulation_live_run_all"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// Tcl Message: run all +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// [GUI Memory]: 159 MB (+3327kb) [00:17:09] +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 828 MB. GUI used memory: 98 MB. Current time: 10/20/22, 8:26:27 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 828 MB. GUI used memory: 98 MB. Current time: 10/20/22, 8:26:32 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 11 seconds +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, "simulation_live_break"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 828 MB. GUI used memory: 124 MB. Current time: 10/20/22, 8:26:35 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_STOPPED +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run: Time (s): cpu = 00:00:14 ; elapsed = 00:00:12 . Memory (MB): peak = 835.363 ; gain = 0.000 +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "dut ; cpu_top ; Verilog Module", 1, "cpu_top", 1, true); // c (c, cl) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - top_tb", "DesignTask.SIMULATION"); +// by (cl): Close : addNotify +// TclEventType: WAVEFORM_CLOSE_WCFG +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // by (cl) +// Elapsed time: 698 seconds +selectCodeEditor("cpu_top.sv", 624, 426); // cl (w, cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed time: 64 seconds +selectCodeEditor("cpu_top.sv", 364, 189); // cl (w, cl) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (O, cl) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ah (an, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// e (cl): Run Simulation : addNotify +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... INFO: [USF-XSim-101] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xvlog --incr --relax -prj top_tb_vlog.prj" +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// Tcl Message: Vivado Simulator 2019.1 +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// Waveform: addNotify +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 102 MB. Current time: 10/20/22, 8:39:41 PM MSK +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: source top_tb.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 835.363 ; gain = 0.000 +// 'd' command handler elapsed time: 7 seconds +dismissDialog("Run Simulation"); // e (cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "dut ; cpu_top ; Verilog Module", 1, "dut", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 07 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 07 ; Array", 6, "PC[5:0]", 0, true, false, false, false, false, true); // c (c, cl) - Double Click - Node +// TclEventType: SIMULATION_OPEN_SOURCE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_const[31:0] ; fffffffd ; Array", 15, "fffffffd", 1, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_const[31:0] ; fffffffd ; Array", 15, "fffffffd", 1, true, false, false, false, false, true); // c (c, cl) - Double Click - Node +// TclEventType: SIMULATION_OPEN_SOURCE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_const[31:0] ; fffffffd ; Array", 15, "fffffffd", 1, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "[1] ; 1 ; Logic", 11, "1", 1, false); // c (c, cl) +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_const[31:0] ; fffffffd ; Array", 15, "extended_const[31:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_const[31:0] ; fffffffd ; Array", 15, "fffffffd", 1, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_const[31:0] ; fffffffd ; Array", 15, "fffffffd", 1, true, false, false, false, false, true); // c (c, cl) - Double Click - Node +// TclEventType: SIMULATION_OPEN_SOURCE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_const[31:0] ; fffffffd ; Array", 15, "fffffffd", 1, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_const[31:0] ; fffffffd ; Array", 15, "extended_const[31:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "instruction[31:0] ; 80001fa0 ; Array", 8, "instruction[31:0]", 0, true); // c (c, cl) - Node +// Elapsed time: 10 seconds +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "COUNTER_WIDTH[31:0] ; 6 ; Array", 24, "COUNTER_WIDTH[31:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "[7] ; 1 ; Logic", 13, "[7]", 0, false); // c (c, cl) +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 07 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "ALU_res[31:0] ; 00000000 ; Array", 5, "ALU_res[31:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "ALU_res[31:0] ; 00000000 ; Array", 5, "ALU_res[31:0]", 0, true); // c (c, cl) - Node +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "alu_riscv.sv", 1); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 6", 2); // k (j, cl) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 101 MB. Current time: 10/20/22, 8:40:55 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 101 MB. Current time: 10/20/22, 8:41:02 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 101 MB. Current time: 10/20/22, 8:41:05 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SW[15:0] ; 0004 ; Array", 1, "SW[15:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SW[15:0] ; 0004 ; Array", 1, "SW[15:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "im ; instruction_memory ; Verilog Module", 2, "im", 0, false); // c (c, cl) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "D[31:0] ; 80001fa0 ; Array", 1, "D[31:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "D[31:0] ; 80001fa0 ; Array", 1, "D[31:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "ROM[0:63][31:0] ; 200001a1,20000004,10000002,20000023,48080080,30102004,34086002,80001fa0,80100000,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX ; Array", 2, "ROM[0:63][31:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "ROM[0:63][31:0] ; 200001a1,20000004,10000002,20000023,48080080,30102004,34086002,80001fa0,80100000,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX,XXXXXXXX ; Array", 2, "ROM[0:63][31:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "[7][31:0] ; 80001fa0 ; Array", 10, "80001fa0", 1, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "[8][31:0] ; 80100000 ; Array", 11, "80100000", 1, true); // c (c, cl) - Node +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 101 MB. Current time: 10/20/22, 8:41:38 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Tcl Message: run 10 us +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 101 MB. Current time: 10/20/22, 8:41:40 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "[8][31:0] ; 80100000 ; Array", 11, "80100000", 1, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "[8][31:0] ; 80100000 ; Array", 11, "[8][31:0]", 0, true); // c (c, cl) - Node +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "[8][31:0] ; 80100000 ; Array", 11, "80100000", 1, true); // c (c, cl) - Node +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 101 MB. Current time: 10/20/22, 8:41:48 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "dut ; cpu_top ; Verilog Module", 1, "cpu_top", 1, true); // c (c, cl) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 07 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 07 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 07 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_switch[31:0] ; 00000004 ; Array", 7, "00000004", 1, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "instruction[31:0] ; 80001fa0 ; Array", 8, "80001fa0", 1, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "instruction[31:0] ; 80001fa0 ; Array", 8, "80001fa0", 1, true); // c (c, cl) - Node +// Elapsed time: 15 seconds +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 07 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "im ; instruction_memory ; Verilog Module", 2, "instruction_memory", 1, false); // c (c, cl) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "im ; instruction_memory ; Verilog Module", 2, "instruction_memory", 1, false, false, false, false, false, true); // c (c, cl) - Double Click +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_OPEN_SOURCE +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "rf ; rf_riscv ; Verilog Module", 3, "rf_riscv", 1, false); // c (c, cl) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "alu ; alu_riscv ; Verilog Module", 4, "alu_riscv", 1, false); // c (c, cl) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "dut ; cpu_top ; Verilog Module", 1, "dut", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "instruction[31:0] ; 80001fa0 ; Array", 8, "instruction[31:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "extended_const[31:0] ; fffffffd ; Array", 9, "extended_const[31:0]", 0, true); // c (c, cl) - Node +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - top_tb", "DesignTask.SIMULATION"); +// by (cl): Close : addNotify +// TclEventType: WAVEFORM_CLOSE_WCFG +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // by (cl) +// WARNING: HEventQueue.dispatchEvent() is taking 2051837 ms. +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 100 MB. Current time: 10/20/22, 9:18:51 PM MSK +// Elapsed time: 2642 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "cpu_top.sv", 1); // k (j, cl) +selectTab((HResource) null, "PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "cpu_top.sv", 1, false, true); // k (j, cl) - Double Click +maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // O (aH, cl) +// Elapsed time: 13 seconds +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (O, cl) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ah (an, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// e (cl): Run Simulation : addNotify +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... INFO: [USF-XSim-101] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xvlog --incr --relax -prj top_tb_vlog.prj" +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// Tcl Message: Vivado Simulator 2019.1 +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// Waveform: addNotify +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: source top_tb.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/20/22, 9:27:04 PM MSK +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 839.559 ; gain = 0.000 +// 'd' command handler elapsed time: 6 seconds +dismissDialog("Run Simulation"); // e (cl) +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "dut ; cpu_top ; Verilog Module", 1, "dut", 0, true); // c (c, cl) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "rst ; 0 ; Logic", 2, "rst", 0, false); // c (c, cl) +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 07 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "PC[5:0] ; 07 ; Array", 6, "PC[5:0]", 0, true); // c (c, cl) - Node +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// by (cl): Add to Waveform : addNotify +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +dismissDialog("Add to Waveform"); // by (cl) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, "simulation_live_run_all"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: run all +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 97 MB. Current time: 10/20/22, 9:27:17 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 97 MB. Current time: 10/20/22, 9:27:22 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Elapsed time: 11 seconds +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, "simulation_live_break"); // B (f, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 97 MB. Current time: 10/20/22, 9:27:26 PM MSK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_STOPPED +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run: Time (s): cpu = 00:00:14 ; elapsed = 00:00:12 . Memory (MB): peak = 839.559 ; gain = 0.000 +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - top_tb", "DesignTask.SIMULATION"); +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton("OptionPane.button", "Cancel"); // JButton (A, G) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 7*", 3); // k (j, cl) +selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 97 MB. Current time: 10/20/22, 9:27:37 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 97 MB. Current time: 10/20/22, 9:27:38 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 97 MB. Current time: 10/20/22, 9:27:38 PM MSK +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// Elapsed time: 27 seconds +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - top_tb", "DesignTask.SIMULATION"); +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +// by (cl): Close : addNotify +selectButton("OptionPane.button", "Discard"); // JButton (A, G) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // by (cl) +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // az (aK) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv)]", 13, true); // B (F, cl) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv)]", 13, true, false, false, false, false, true); // B (F, cl) - Double Click - Node +selectCodeEditor("top_tb.sv", 607, 489); // cl (w, cl) +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 97 MB. Current time: 10/20/22, 9:57:42 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 95 MB. Current time: 10/20/22, 10:27:42 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 95 MB. Current time: 10/20/22, 10:57:43 PM MSK +// WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking 36007450ms to process. Increasing delay to 2000 ms. +// WARNING: HEventQueue.dispatchEvent() is taking 36007474 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 36007451 ms to process. Increasing delay to 2000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 36007486 ms to process. Increasing delay to 2000 ms. +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 95 MB. Current time: 10/21/22, 9:12:47 AM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 95 MB. Current time: 10/21/22, 9:42:47 AM MSK +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 199362444 ms to process. Increasing delay to 3000 ms. +// WARNING: HSwingWorker (Monitor File Timestamp Swing Worker) is taking 199362434 ms to process. Increasing delay to 3000 ms. +// WARNING: HEventQueue.dispatchEvent() is taking 199362610 ms. +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 95 MB. Current time: 10/23/22, 5:20:38 PM MSK +// Elapsed time: 244353 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files, prog.txt]", 19, false); // B (F, cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files, prog.txt]", 19, false, false, false, false, false, true); // B (F, cl) - Double Click +// ad (cl): Unable to Open File: addNotify +// WARNING: HEventQueue.dispatchEvent() is taking 12961 ms. +// Elapsed time: 37 seconds +selectButton(PAResourceOtoP.OpenFileAction_OPEN_DIRECTORY, "Open Directory"); // a (ad) +dismissDialog("Unable to Open File"); // ad (cl) +// Elapsed time: 255 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files, prog.txt]", 19, false); // B (F, cl) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, Memory Initialization Files, prog.txt]", 19, false, false, false, false, false, true); // B (F, cl) - Double Click +// ad (cl): Unable to Open File: addNotify +selectButton(PAResourceOtoP.OpenFileAction_OPEN_DIRECTORY, "Open Directory"); // a (ad) +dismissDialog("Unable to Open File"); // ad (cl) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed time: 19 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv)]", 13, true); // B (F, cl) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv)]", 13, true); // B (F, cl) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, top_tb (top_tb.sv)]", 13, true, false, false, false, false, true); // B (F, cl) - Double Click - Node +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // u (O, cl) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ah (an, cl) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// e (cl): Run Simulation : addNotify +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-54] Inspecting design source files for 'top_tb' in fileset 'sim_1'... INFO: [SIM-utils-43] Exported 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim/prog.txt' INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-100] Fetching design files from 'sources_1'...(this may take a while)... INFO: [USF-XSim-101] Fetching design files from 'sim_1'... INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xvlog --incr --relax -prj top_tb_vlog.prj" +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' +// Tcl Message: "xelab -wto 88fd13c424e241a2b1d7269d9396082e --incr --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot top_tb_behav xil_defaultlib.top_tb xil_defaultlib.glbl -log elaborate.log" +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in 'C:/Users/Alex/APS/aps_/aps_.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "top_tb_behav -key {Behavioral:sim_1:Functional:top_tb} -tclbatch {top_tb.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// Tcl Message: Vivado Simulator 2019.1 +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v +// Tcl Message: Time resolution is 1 ps +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// Waveform: addNotify +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: source top_tb.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 100 MB. Current time: 10/23/22, 5:26:14 PM MSK +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'top_tb_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 839.559 ; gain = 0.000 +// 'd' command handler elapsed time: 7 seconds +dismissDialog("Run Simulation"); // e (cl) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed time: 85 seconds +selectButton(RDIResource.WaveformView_GOTO_TIME_0, "Waveform Viewer_RunReset"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 100 MB. Current time: 10/23/22, 5:27:43 PM MSK +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 100 MB. Current time: 10/23/22, 5:27:43 PM MSK +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 100 MB. Current time: 10/23/22, 5:27:44 PM MSK +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (f, cl) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// Elapsed time: 80 seconds +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // k (aA, cl) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - top_tb", "DesignTask.SIMULATION"); +// by (cl): Close : addNotify +// TclEventType: WAVEFORM_CLOSE_WCFG +// TclEventType: SIMULATION_CLOSE_SIMULATION +// WARNING: HEventQueue.dispatchEvent() is taking 1120 ms. +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +// Tcl Message: close_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:10 . Memory (MB): peak = 839.988 ; gain = 0.430 +// Elapsed time: 10 seconds +dismissDialog("Close"); // by (cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "cpu_top.sv", 1); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "alu_riscv.sv", 2); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "instruction_memory.sv", 3); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "top_tb.sv", 4); // k (j, cl) +selectCodeEditor("top_tb.sv", 524, 543); // cl (w, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // k (j, cl) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "cpu_top.sv", 1); // k (j, cl) +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 5:57:46 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 6:27:46 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 6:57:46 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 7:27:47 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 7:57:47 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 8:27:47 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 8:57:47 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 9:27:48 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 9:57:48 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 10:27:48 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 10:57:48 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 11:27:49 PM MSK +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/23/22, 11:57:49 PM MSK +// WARNING: HEventQueue.dispatchEvent() is taking 37154795 ms. +// HMemoryUtils.trashcanNow. Engine heap size: 832 MB. GUI used memory: 98 MB. Current time: 10/24/22, 10:19:17 AM MSK +// Elapsed time: 60644 seconds +selectCodeEditor("cpu_top.sv", 639, 251); // cl (w, cl)