Skip to content
This repository has been archived by the owner on Jan 4, 2022. It is now read-only.

Double empty lines completely removed #6

Open
Frame42 opened this issue Nov 20, 2019 · 0 comments
Open

Double empty lines completely removed #6

Frame42 opened this issue Nov 20, 2019 · 0 comments

Comments

@Frame42
Copy link

Frame42 commented Nov 20, 2019

Input

library ieee;
use ieee.std_logic_1164.all;

entity test_ent is
end;
architecture behav of test_ent is
begin


  procedure mde_wr (
    signal input  : in std_logic;
    signal output : out std_logic
  ) is
  begin
    output <= input;
  end procedure;


end architecture behav;

Output:

library ieee;
use ieee.std_logic_1164.all;

entity test_ent is
end;
architecture behav of test_ent is
begin
  procedure mde_wr (
    signal input  : in std_logic;
    signal output : out std_logic
  ) is
  begin
    output <= input;
  end procedure;
end architecture behav;

Expected:

library ieee;
use ieee.std_logic_1164.all;

entity test_ent is
end;
architecture behav of test_ent is
begin

  procedure mde_wr (
    signal input  : in std_logic;
    signal output : out std_logic
  ) is
  begin
    output <= input;
  end procedure;

end architecture behav;

Notice the 2 empty lines being completely removed instead of being replaced by a single empty line.

Vinrobot added a commit to Vinrobot/VHDLFormatter that referenced this issue Nov 23, 2019
Replace three or more new lines that follow each other by two new lines.
(Vinrobot/vscode-vhdl-formatter#6)
Vinrobot added a commit to Vinrobot/VHDLFormatter that referenced this issue Sep 20, 2020
Replace three or more new lines that follow each other by two new lines.
(Vinrobot/vscode-vhdl-formatter#6)
Sign up for free to subscribe to this conversation on GitHub. Already have an account? Sign in.
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant