You signed in with another tab or window. Reload to refresh your session.You signed out in another tab or window. Reload to refresh your session.You switched accounts on another tab or window. Reload to refresh your session.Dismiss alert
{{ message }}
This repository has been archived by the owner on Jan 4, 2022. It is now read-only.
library ieee;
use ieee.std_logic_1164.all;
entity test_ent is
end;
architecture behav of test_ent is
begin
procedure mde_wr (
signal input : in std_logic;
signal output : out std_logic
) is
begin
output <= input;
end procedure;
end architecture behav;
Output:
library ieee;
use ieee.std_logic_1164.all;
entity test_ent is
end;
architecture behav of test_ent is
begin
procedure mde_wr (
signal input : in std_logic;
signal output : out std_logic
) is
begin
output <= input;
end procedure;
end architecture behav;
Expected:
library ieee;
use ieee.std_logic_1164.all;
entity test_ent is
end;
architecture behav of test_ent is
begin
procedure mde_wr (
signal input : in std_logic;
signal output : out std_logic
) is
begin
output <= input;
end procedure;
end architecture behav;
Notice the 2 empty lines being completely removed instead of being replaced by a single empty line.
The text was updated successfully, but these errors were encountered:
Vinrobot
added a commit
to Vinrobot/VHDLFormatter
that referenced
this issue
Nov 23, 2019
Input
Output:
Expected:
Notice the 2 empty lines being completely removed instead of being replaced by a single empty line.
The text was updated successfully, but these errors were encountered: