Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

missing a linter complain on this assignment #356

Open
erik-ski opened this issue Dec 11, 2024 · 0 comments
Open

missing a linter complain on this assignment #356

erik-ski opened this issue Dec 11, 2024 · 0 comments

Comments

@erik-ski
Copy link

Hi, I am working with teros HDL using VHLD-LS as the linter. I have the following piece of code. The assignment is wrong because x"0" has 4 bits, and accum_sig has 5. I've thought taking this to your attention may be useful.

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;


entity acumulador_dp is
  generic (
    nbits    : positive := 5;
    nweights : positive := 10);
  
end entity;
architecture naive of acumulador_dp is


  signal accum_sig, weight, sum : signed (nbits - 1 downto 0);
  

begin
  accum_sig <= x"0"; --(others => '0');
end architecture;
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant