diff --git a/.github/workflows/gds.yaml b/.github/workflows/gds.yaml index 9a7c1f6..aa20c49 100644 --- a/.github/workflows/gds.yaml +++ b/.github/workflows/gds.yaml @@ -23,18 +23,6 @@ jobs: - name: Run Tiny Tapeout Precheck uses: TinyTapeout/tt-gds-action/precheck@tt06 - gl_test: - needs: gds - runs-on: ubuntu-latest - steps: - - name: checkout repo - uses: actions/checkout@v3 - with: - submodules: recursive - - - name: GL test - uses: TinyTapeout/tt-gds-action/gl_test@tt06 - viewer: needs: gds runs-on: ubuntu-latest diff --git a/.github/workflows/test.yaml b/.github/workflows/test.yaml deleted file mode 100644 index f85f37d..0000000 --- a/.github/workflows/test.yaml +++ /dev/null @@ -1,43 +0,0 @@ -name: test -on: [push, workflow_dispatch] -jobs: - test: - runs-on: ubuntu-latest - steps: - - name: Checkout repo - uses: actions/checkout@v4 - - # This installs cocotb and iverilog - - name: Install oss-cad-suite - uses: YosysHQ/setup-oss-cad-suite@v2 - with: - python-override: true - github-token: ${{ secrets.GITHUB_TOKEN }} - - run: | - yosys --version - iverilog -V - cocotb-config --libpython - cocotb-config --python-bin - - - name: Run tests - run: | - cd test - make clean - make - # make will return success even if the test fails, so check for failure in the results.xml - ! grep failure results.xml - - - name: Test Summary - uses: test-summary/action@v2 - with: - paths: "test/results.xml" - if: always() - - - name: upload vcd - if: success() || failure() - uses: actions/upload-artifact@v3 - with: - name: test-vcd - path: | - test/tb.vcd - test/result.xml diff --git a/.github/workflows/wokwi_test.yaml b/.github/workflows/wokwi_test.yaml new file mode 100644 index 0000000..fdc7b8e --- /dev/null +++ b/.github/workflows/wokwi_test.yaml @@ -0,0 +1,66 @@ +name: wokwi_test +# either manually started, or on a schedule +on: [ push, workflow_dispatch ] +jobs: + wokwi_test: + # ubuntu + runs-on: ubuntu-latest + steps: + # need the repo checked out + - name: checkout repo + uses: actions/checkout@v3 + with: + submodules: recursive + + # install oss fpga tools + - name: install oss-cad-suite + uses: YosysHQ/setup-oss-cad-suite@v2 + with: + python-override: true + github-token: ${{ secrets.GITHUB_TOKEN }} + - run: | + yosys --version + iverilog -V + cocotb-config --libpython + cocotb-config --python-bin + + - name: checkout tt tools repo + uses: actions/checkout@v3 + with: + repository: tinytapeout/tt-support-tools + path: tt + ref: tt06 + + # need python and requirements + - name: setup python + uses: actions/setup-python@v4 + with: + python-version: '3.10' + - run: pip install -r tt/requirements.txt + + # fetch the truth table + - name: fetch Verilog and build config + run: ./tt/tt_tool.py --create-user-config + + # does the wokwi project have a truthtable? + - name: Check the truthtable exists + id: check_files + uses: andstor/file-existence-action@v2 + with: + files: "src/truthtable.md" + + - name: test + if: steps.check_files.outputs.files_exists == 'true' + run: | + cd src + make clean + make + # make will return success even if the test fails, so check for failure in the results.xml + ! grep failure results.xml + + - name: upload vcd + if: success() || failure() + uses: actions/upload-artifact@v3 + with: + name: test-vcd + path: src/*.vcd diff --git a/README.md b/README.md index 15f6225..58ba2dd 100644 --- a/README.md +++ b/README.md @@ -1,4 +1,4 @@ -![](../../workflows/gds/badge.svg) ![](../../workflows/docs/badge.svg) ![](../../workflows/test/badge.svg) +![](../../workflows/gds/badge.svg) ![](../../workflows/docs/badge.svg) ![](../../workflows/wokwi_test/badge.svg) # Tiny Tapeout Verilog Project Template @@ -10,15 +10,6 @@ TinyTapeout is an educational project that aims to make it easier and cheaper th To learn more and get started, visit https://tinytapeout.com. -## Verilog Projects - -1. Add your Verilog files to the `src` folder. -2. Edit the [info.yaml](info.yaml) and update information about your project, paying special attention to the `source_files` and `top_module` properties. -3. Edit [docs/info.md](docs/info.md) and add a description of your project. -4. Optionally, add a testbench to the `test` folder. See [test/README.md](test/README.md) for more information. - -The GitHub action will automatically build the ASIC files using [OpenLane](https://www.zerotoasiccourse.com/terminology/openlane/). - ## Enable GitHub actions to build the results page - [Enabling GitHub Pages](https://tinytapeout.com/faq/#my-github-action-is-failing-on-the-pages-part) diff --git a/info.yaml b/info.yaml index f04da75..d59c6ac 100644 --- a/info.yaml +++ b/info.yaml @@ -1,22 +1,16 @@ -# Tiny Tapeout project information +# Tiny Tapeout project information (Wokwi project) project: + wokwi_id: 0 # Set this to the ID of your Wokwi project (the number from the project's URL) title: "" # Project title author: "" # Your name discord: "" # Your discord username, for communication and automatically assigning you a Tapeout role (optional) description: "" # One line description of what your project does - language: "Verilog" # other examples include SystemVerilog, Amaranth, VHDL, etc + language: "Wokwi" # other examples include SystemVerilog, Amaranth, VHDL, etc clock_hz: 0 # Clock frequency in Hz (or 0 if not applicable) # How many tiles your design occupies? A single tile is about 167x108 uM. tiles: "1x1" # Valid values: 1x1, 1x2, 2x2, 3x2, 4x2, 6x2 or 8x2 - # Your top module name must start with "tt_um_". Make it unique by including your github username: - top_module: "tt_um_example" - - # List your project's source files here. Source files must be in ./src and you must list each source file separately, one per line: - source_files: - - "project.v" - # The pinout of your project. Leave unused pins blank. DO NOT delete or add any pins. pinout: # Inputs diff --git a/src/cells.v b/src/cells.v new file mode 100644 index 0000000..8bad93c --- /dev/null +++ b/src/cells.v @@ -0,0 +1,102 @@ +/* +This file provides the mapping from the Wokwi modules to Verilog HDL. + +It's only needed for Wokwi designs. +*/ + +`define default_netname none + +module buffer_cell ( + input wire in, + output wire out + ); + assign out = in; +endmodule + +module and_cell ( + input wire a, + input wire b, + output wire out + ); + + assign out = a & b; +endmodule + +module or_cell ( + input wire a, + input wire b, + output wire out + ); + + assign out = a | b; +endmodule + +module xor_cell ( + input wire a, + input wire b, + output wire out + ); + + assign out = a ^ b; +endmodule + +module nand_cell ( + input wire a, + input wire b, + output wire out + ); + + assign out = !(a&b); +endmodule + +module not_cell ( + input wire in, + output wire out + ); + + assign out = !in; +endmodule + +module mux_cell ( + input wire a, + input wire b, + input wire sel, + output wire out + ); + + assign out = sel ? b : a; +endmodule + +module dff_cell ( + input wire clk, + input wire d, + output reg q, + output wire notq + ); + + assign notq = !q; + always @(posedge clk) + q <= d; + +endmodule + +module dffsr_cell ( + input wire clk, + input wire d, + input wire s, + input wire r, + output reg q, + output wire notq + ); + + assign notq = !q; + + always @(posedge clk or posedge s or posedge r) begin + if (r) + q <= 0; + else if (s) + q <= 1; + else + q <= d; + end +endmodule diff --git a/src/project.v b/src/project.v deleted file mode 100644 index 0420259..0000000 --- a/src/project.v +++ /dev/null @@ -1,24 +0,0 @@ -/* - * Copyright (c) 2023 Your Name - * SPDX-License-Identifier: Apache-2.0 - */ - -`define default_netname none - -module tt_um_example ( - input wire [7:0] ui_in, // Dedicated inputs - output wire [7:0] uo_out, // Dedicated outputs - input wire [7:0] uio_in, // IOs: Input path - output wire [7:0] uio_out, // IOs: Output path - output wire [7:0] uio_oe, // IOs: Enable path (active high: 0=input, 1=output) - input wire ena, - input wire clk, - input wire rst_n -); - - // All output pins must be assigned. If not used, assign to 0. - assign uo_out = ui_in + uio_in; // Example: ou_out is the sum of ui_in and uio_in - assign uio_out = 0; - assign uio_oe = 0; - -endmodule diff --git a/test/Makefile b/test/Makefile deleted file mode 100644 index 4413db2..0000000 --- a/test/Makefile +++ /dev/null @@ -1,42 +0,0 @@ -# Makefile -# See https://docs.cocotb.org/en/stable/quickstart.html for more info - -# defaults -SIM ?= icarus -TOPLEVEL_LANG ?= verilog -SRC_DIR = $(PWD)/../src -PROJECT_SOURCES = project.v - -ifneq ($(GATES),yes) - -# RTL simulation: -SIM_BUILD = sim_build/rtl -VERILOG_SOURCES += $(addprefix $(SRC_DIR)/,$(PROJECT_SOURCES)) -COMPILE_ARGS += -I$(SRC_DIR) - -else - -# Gate level simulation: -SIM_BUILD = sim_build/gl -COMPILE_ARGS += -DGL_TEST -COMPILE_ARGS += -DFUNCTIONAL -COMPILE_ARGS += -DUSE_POWER_PINS -COMPILE_ARGS += -DSIM -COMPILE_ARGS += -DUNIT_DELAY=\#1 -VERILOG_SOURCES += $(PDK_ROOT)/sky130A/libs.ref/sky130_fd_sc_hd/verilog/primitives.v -VERILOG_SOURCES += $(PDK_ROOT)/sky130A/libs.ref/sky130_fd_sc_hd/verilog/sky130_fd_sc_hd.v - -# this gets copied in by the GDS action workflow -VERILOG_SOURCES += $(PWD)/gate_level_netlist.v - -endif - -# Include the testbench sources: -VERILOG_SOURCES += $(PWD)/tb.v -TOPLEVEL = tb - -# MODULE is the basename of the Python test file -MODULE = test - -# include cocotb's make rules to take care of the simulator setup -include $(shell cocotb-config --makefiles)/Makefile.sim diff --git a/test/README.md b/test/README.md deleted file mode 100644 index 1a5abbf..0000000 --- a/test/README.md +++ /dev/null @@ -1,30 +0,0 @@ -# Sample testbench for a Tiny Tapeout project - -This is a sample testbench for a Tiny Tapeout project. It uses [cocotb](https://docs.cocotb.org/en/stable/) to drive the DUT and check the outputs. - -## Setting up - -1. Edit [Makefile](Makefile) and modify `PROJECT_SOURCES` to point to your Verilog files. -2. Edit [tb.v](tb.v) and replace `tt_um_example` with your module name. - -## How to run - -To run the RTL simulation: - -```sh -make -``` - -To run gatelevel simulation, first harden your project and copy `../runs/wokwi/results/final/verilog/gl/{your_module_name}.v` to `gate_level_netlist.v`. - -Then run: - -```sh -make GATES=yes -``` - -## How to view the VCD file - -```sh -gtkwave tb.vcd tb.gtkw -``` diff --git a/test/tb.gtkw b/test/tb.gtkw deleted file mode 100644 index c92ca3c..0000000 --- a/test/tb.gtkw +++ /dev/null @@ -1,39 +0,0 @@ -[*] -[*] GTKWave Analyzer v3.4.0 (w)1999-2022 BSI -[*] Mon Nov 20 16:00:28 2023 -[*] -[dumpfile] "/home/uri/p/tt-new-template-proto/test/tb.vcd" -[dumpfile_mtime] "Mon Nov 20 15:58:34 2023" -[dumpfile_size] 1110 -[savefile] "/home/uri/p/tt-new-template-proto/test/tb.gtkw" -[timestart] 0 -[size] 1376 600 -[pos] -1 -1 -*-24.534533 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -[treeopen] tb. -[sst_width] 297 -[signals_width] 230 -[sst_expanded] 1 -[sst_vpaned_height] 158 -@28 -tb.user_project.ena -@29 -tb.user_project.clk -@28 -tb.user_project.rst_n -@200 --Inputs -@22 -tb.user_project.ui_in[7:0] -@200 --Bidirectional Pins -@22 -tb.user_project.uio_in[7:0] -tb.user_project.uio_oe[7:0] -tb.user_project.uio_out[7:0] -@200 --Output Pins -@22 -tb.user_project.uo_out[7:0] -[pattern_trace] 1 -[pattern_trace] 0 diff --git a/test/tb.v b/test/tb.v deleted file mode 100644 index 2e86eb4..0000000 --- a/test/tb.v +++ /dev/null @@ -1,44 +0,0 @@ -`default_nettype none `timescale 1ns / 1ps - -/* This testbench just instantiates the module and makes some convenient wires - that can be driven / tested by the cocotb test.py. -*/ -module tb (); - - // Dump the signals to a VCD file. You can view it with gtkwave. - initial begin - $dumpfile("tb.vcd"); - $dumpvars(0, tb); - #1; - end - - // Wire up the inputs and outputs: - reg clk; - reg rst_n; - reg ena; - reg [7:0] ui_in; - reg [7:0] uio_in; - wire [7:0] uo_out; - wire [7:0] uio_out; - wire [7:0] uio_oe; - - // Replace tt_um_example with your module name: - tt_um_example user_project ( - - // Include power ports for the Gate Level test: -`ifdef GL_TEST - .VPWR(1'b1), - .VGND(1'b0), -`endif - - .ui_in (ui_in), // Dedicated inputs - .uo_out (uo_out), // Dedicated outputs - .uio_in (uio_in), // IOs: Input path - .uio_out(uio_out), // IOs: Output path - .uio_oe (uio_oe), // IOs: Enable path (active high: 0=input, 1=output) - .ena (ena), // enable - goes high when design is selected - .clk (clk), // clock - .rst_n (rst_n) // not reset - ); - -endmodule diff --git a/test/test.py b/test/test.py deleted file mode 100644 index 6e4b93c..0000000 --- a/test/test.py +++ /dev/null @@ -1,32 +0,0 @@ -# SPDX-FileCopyrightText: © 2023 Uri Shaked -# SPDX-License-Identifier: MIT - -import cocotb -from cocotb.clock import Clock -from cocotb.triggers import ClockCycles - -@cocotb.test() -async def test_adder(dut): - dut._log.info("Start") - - # Our example module doesn't use clock and reset, but we show how to use them here anyway. - clock = Clock(dut.clk, 10, units="us") - cocotb.start_soon(clock.start()) - - # Reset - dut._log.info("Reset") - dut.ena.value = 1 - dut.ui_in.value = 0 - dut.uio_in.value = 0 - dut.rst_n.value = 0 - await ClockCycles(dut.clk, 10) - dut.rst_n.value = 1 - - # Set the input values, wait one clock cycle, and check the output - dut._log.info("Test") - dut.ui_in.value = 20 - dut.uio_in.value = 30 - - await ClockCycles(dut.clk, 1) - - assert dut.uo_out.value == 50